JP2023537612A - 持続的接合及びパターニングの材料 - Google Patents

持続的接合及びパターニングの材料 Download PDF

Info

Publication number
JP2023537612A
JP2023537612A JP2023510401A JP2023510401A JP2023537612A JP 2023537612 A JP2023537612 A JP 2023537612A JP 2023510401 A JP2023510401 A JP 2023510401A JP 2023510401 A JP2023510401 A JP 2023510401A JP 2023537612 A JP2023537612 A JP 2023537612A
Authority
JP
Japan
Prior art keywords
substrate
bismaleimide
bonding layer
layer
divinyl ether
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023510401A
Other languages
English (en)
Inventor
シャオ リウ,
バロン フアン,
レイハネ セジュブサリ,
シア-シン リー,
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2023537612A publication Critical patent/JP2023537612A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L79/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen with or without oxygen or carbon only, not provided for in groups C08L61/00 - C08L77/00
    • C08L79/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C08L79/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08L79/085Unsaturated polyimide precursors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00055Grooves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00111Tips, pillars, i.e. raised structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00269Bonding of solid lids or wafers to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C3/00Assembling of devices or systems from individually processed components
    • B81C3/001Bonding of two components
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J179/00Adhesives based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09J161/00 - C09J177/00
    • C09J179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09J179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C09J179/085Unsaturated polyimide precursors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/085Photosensitive compositions characterised by adhesion-promoting non-macromolecular additives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/12Unsaturated polyimide precursors
    • C08G73/126Unsaturated polyimide precursors the unsaturated precursors being wholly aromatic
    • C08G73/127Unsaturated polyimide precursors the unsaturated precursors being wholly aromatic containing oxygen in the form of ether bonds in the main chain

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Analytical Chemistry (AREA)
  • Adhesives Or Adhesive Processes (AREA)

Abstract

マイクロ電子基板を被覆し得るか、又は他の構造的用途又は光学的用途に使用され得る持続性材料を調製するための方法が開示される。当該持続性材料は、少なくとも300℃まで熱的に安定であり、光プロセス又は熱プロセスを用いることで硬化し、(例えば金属不動態化において)良好な耐薬品性を示し、最終デバイスにおいて、少なくとも5年、好ましくは少なくとも10年の寿命を有する。有利には、この材料は、室温で接合することもできる。当該材料は、接合後の移動又ははみ出しがなく、様々な種類の基板に接着する。この材料を利用するチップ・トゥ・チップ、チップ・トゥ・ウェハ、及び/又はウェハ・トゥ・ウェハの接合方法についても記載する。【選択図】図1

Description

関連出願
本出願は、2020年8月14日に出願され、「PERMANENT BONDING AND PATTERNING MATERIAL」という名称の米国仮特許出願第63/065,727号の優先権の利益を主張し、その全体が参照により本明細書に組み込まれる。
分野
本発明は、半導体基板の接合又は被覆に有用な持続性材料に関する。
持続的に接合する接着材料は、CMOSイメージセンサ、3D IC用途、MEMS、並びにウェハレベル及びパネルレベルのパッケージング(それぞれ、WLP及びPLP)を含め、複数の技術分野に使用することができる。
これらの用途に現在利用可能な持続的接合材料には、長期安定性及び(ガラス転移温度より下での)温度安定性が限定的であり、かつ接合強度が低いといった限界がある。ビスフェノールA又はクレゾールから誘導されるエポキシ樹脂に関する懸念がある。多くの顧客は、アンチモン又は他の重金属を含有する材料を使用することが認められていないため、アンチモン含有の光酸発生剤を使用することができない。加えて、健康上及び環境上の懸念のため、ビスフェノールAの使用が制限される可能性がある。同様に、いくつかの用途では、シリコーン含有の材料の使用が認められていない。これらの用途で広く使用されている接合接着剤であるベンゾシクロブテン(benzocyclobutene:「BCB」)には、接合後の高い位置合せ精度と同時にボイドのない接着剤接合を達成するという点で課題がある。
異種集積化のための高密度金属相互接続を容易にするために、ハイブリッド接合技術に適した持続的接合材料が必要である。SiOx又はSiNxのような無機誘電材料には、通常、所望の接合性能及び収率を得るために、超平坦及び/又は超清浄な表面が必要とされる。ハイブリッド接合のための代替の誘電材料としてBCB又はポリイミドを使用するいくつかの他の方法ではまた、超平坦な接合表面を得るために、化学機械研磨(chemical mechanical polishing:「CMP」)又は他の平坦化工程が必要とされる。さらに、BCB又はポリイミドの接合には、より高い温度処理(>250℃)が必要であり、これはパッケージングの技術開発にとって望ましいことではない。
本開示は、概して、マイクロ電子構造体を形成する方法に関する。その方法は、裏面及び表面を有する基板を提供することを含み、その基板は、任意選択で、1つ又は複数の中間層を表面上に備える。前記表面に、又は存在する場合には1つ又は複数の中間層に組成物を塗布して、接合層を形成する。組成物は、溶媒系に分散又は溶解したビスマレイミドを含む。接合層を形成した後、以下の(A)、(B)、又は(C)の少なくとも1つが実施される。
(A)ダイ、又は少なくとも1つのダイを備えるウェハを接合層に取り付けること、
(B)接合層上にフォトレジスト層を形成し、
フォトレジスト層にパターンを形成し、
そのパターンを接合層に転写して、パターン化接合層を形成すること、又は
(C)接合層の少なくとも一部を除去するように接合層をレーザエネルギーに曝露すること。
別の実施形態では、マイクロ電子構造体が提供される。その構造体は、面を有するマイクロ電子基板と、任意選択で、その基板の面上に1つ又は複数の中間層とを備える。1つ又は複数の中間層が存在する場合、前記基板の面の上に最上部の中間層がある。中間層が存在する場合、接合層が最上部の中間層上にあり、又は中間層が存在しない場合、接合層は基板の面上にある。接合層は、ビスマレイミド又は架橋ビスマレイミドの少なくとも1つと、
(A)その接合層の上又は中のダイ、
(B)その接合層上に少なくとも1つのダイを備えるウェハ、
(C)その接合層上のパターン化フォトレジスト層、又は
(D)その接合層上のキャリアウェハ
の少なくとも1つとを備える。
本発明のさらなる実施形態では、仮接合方法が提供される。この方法は、裏面及び表面を有する第1の基板を備えるスタックを提供することを含む。前記第1の基板は、任意選択で、1つ又は複数の中間層をその表面上に備える。前記表面上に、又は1つ又は複数の中間層が存在する場合は、その中間層上に接合層がある。接合層は、ビスマレイミド又は架橋ビスマレイミドの一方又は両方を含む。接合層は、第2の基板の第1の面上にある。接合層は、第1の基板と第2の基板との分離を容易にするために、レーザ又は他のエネルギーに曝露される。
またさらなる実施形態では、本開示は、上面を有する第1の基板を提供することを含む接合方法を提供する。前記上面の中又は上に形成されたパッド、ピラー、マイクロバンプ、又はそれらの組合せから選ばれる第1組の特徴部がある。第1組の特徴部の少なくとも一部を覆い、接合層を形成するように、感光性組成物が前記上面に塗布される。その組成物は、溶媒系に分散又は溶解した化合物を含む。第1組の特徴部の少なくとも一部を露出させるように、接合層の一部を除去することで、パターン化された接合層が得られる。パターン化接合層はエネルギーに曝露され、第1の基板に第2の基板が接合される。第2の基板は、パターン化接合層内に受容されるように構成されたパターンを有する第2組の特徴部を備え、その結果、第1組の特徴部の少なくとも一部が、第2組の特徴部の少なくとも一部と接触する。エネルギー曝露は基板接合の前に行うことができ、又は、エネルギーに曝露する前に基板接合を行うことができる。
別の実施形態では、マイクロ電子構造体が提供され、その構造体は、上面を有する第1の基板を備える。前記上面は、その上面の中又は上に形成されたパッド、ピラー、マイクロバンプ、又はそれらの組合せから選ばれる第1組の特徴部を備える。第1組の特徴部と特徴部との間には間隙があり、その間隙内に接合層がある。接合層は、ビスマレイミド又は架橋ビスマレイミドの少なくとも1つを含む。第1の基板には、第2の基板が接合される。第2の基板は、第2の基板(substate)の上面の中又は上に形成されたパッド、ピラー、マイクロバンプ、又はそれらの組合せから選ばれる第2組の特徴部を備える上面を有する。第2組の特徴部の少なくとも一部は、第1組の特徴部の少なくとも一部と接触している。
本発明の一実施形態によるダイ・アタッチ・プロセスの(縮尺通りではない)概略図である。 パターン化フォトレジストをエッチングマスクとして使用し、ドライエッチングによって接合層をパターン化する、本発明の別の実施形態によるプロセスの(縮尺通りではない)概略図である。 本発明の別の実施形態による仮接合プロセスの概略図の断面図である。 本発明のさらなる実施形態によるチップ・トゥ・ウェハ(chip-to-wafer)接合プロセスの概略図の断面図である。 本発明のさらに別の実施形態によるウェハ・トゥ・ウェハ(wafer-to-wafer)接合プロセスの概略図の断面図である。 研削されたウェハ全体の写真画像(中央の写真)並びに研削されたウェハのエッジのいくつかの顕微鏡画像(50倍)を示しており、実施例4に記載されているように、エッジ欠陥がないことを示している。 研削されたウェハ全体の写真画像(中央の写真)並びにウェハのエッジのいくつかの顕微鏡画像を示しており、実施例6に記載されているように、ウェハは30μmまで研削され、エッジ欠陥がなくなっている。 (実施例8の組成物を使用した)実施例10に記載のパターン化及び接合されたウェハ対の顕微鏡画像(200倍)であり、画像はガラスウェハを通して撮影されている。 (実施例11の組成物を使用した)実施例12に記載のパターン化及び接合されたウェハ対の顕微鏡画像であり、画像はガラスウェハを通して撮影されている。 (実施例9の組成物を使用した)実施例13に記載のパターン化及び接合されたウェハ対の顕微鏡画像(200倍)であり、画像はガラスウェハを通して撮影されている。 実施例15で形成したパターン化ウェハの走査型電子顕微鏡(scanning electron microscope:「SEM」)画像(2,500倍)である。 は、実施例16に記載のダイ接合を実施したときの写真画像である。
本発明は、ダイ・アタッチ・プロセス及び他の持続的接合プロセス、パターン化層の形成、並びに/又はウェハ仮接合のための組成物及びその組成物を使用する方法に関する。
組成物
本発明の組成物は、溶媒系において、化合物と何らかの任意選択の成分とを混合することによって形成される。得られた組成物は室温で安定であり、マイクロ電子基板を容易に被覆することができる。
1.好ましい化合物
好ましい化合物は、ポリマー、オリゴマー、モノマー、又はさらにそれらの混合物とすることができ、好ましくはマレイミドの繰り返し単位又は部分を含む。
ビスマレイミドが特に好ましい。一実施形態において、ビスマレイミドは、以下の
(I)及び(II)、(II)及び(III)、(I)及び(III)、又は、(I)、(II)及び(III)から選ばれる部分を含む。
一実施形態において、ビスマレイミドは、1個~約15個の上記部分、好ましくは1個~約10個の上記部分を含む。
別の実施形態では、ビスマレイミドは、以下の
を含み、
式中、各Rは、個々に、以下の
から選ばれ、
各Rは、様々な連結基から個々に選ばれ、
各nは、個々に1~約15、好ましくは1~約10である。
好ましい連結基としては、アルキル(好ましくはC~約C36、より好ましくは約C~約C18、さらに好ましくは約C12~約C18)、アリール(好ましくはC~C18、最も好ましくはC)、環式(好ましくは約C~C18、より好ましくは約C~約C12、さらに好ましくはC)、及びそれらの組合せなどの、任意の数の炭化水素部分が挙げられる。特に好ましい実施形態では、連結基は上記の環状部分及び/又は芳香族部分を含み、それらは、同じく上記の1、2、3、4、5、又は6個のアルキル鎖を有する。好ましくは、アルキル鎖の1つ又は2つが、ビスマレイミドの残り部分への連結基の結合を担う。
好ましいビスマレイミドは、Designer Molecules(サンディエゴ、カリフォルニア州)によってBMI-1400、BMI-1500、BMI-1700、BMI-3000、及びBMI-5000の名称で販売されている。これらの構造は以下の通りである。
BMI-1400及びBMI-1700
BMI-3000及びBMI-5000
BMI-1500
なお、連結基C3670又はC3672は、必ずしもアルキル鎖である必要はなく、上記のように、異なる種類の炭化水素部分のブレンドとすることができる。例えば、以下は、全体が描かれたBMI-3000及びBMI-5000についての連結基Rである。
式中、n=1~10
好ましいビスマレイミドは、重量平均分子量が約500ダルトン~約8,000ダルトン、好ましくは約1,000ダルトン~約5,000ダルトン、より好ましくは約1,000ダルトン~約3,000ダルトン、さらに好ましくは約1,000ダルトン~約2,000ダルトンである。
選ばれる化合物にかかわらず、その(1つ又は複数の)化合物は、組成物の総重量を100重量%として、好ましくは約10重量%~約90重量%、より好ましくは約20重量%~約70重量%、さらに好ましくは約50重量%~約60重量%の濃度で組成物中に存在する。
2.溶媒
適切な溶媒系としては、単一溶媒又は溶媒混合物が挙げられる。例示的な溶媒としては、以下に限定されるものではないが、エチルラクテート、シクロペンタノン、シクロヘキサノン、メチルイソアミルケトン、イソアミルアセテート、プロピレングリコールメチルエーテルアセテート(PGMEA)、プロピレングリコールメチルエーテル(PGME)、メシチレン、アニソール、d-リモネン、及びそれらの混合物が挙げられる。溶媒系は、組成物の総重量を100重量%として、約20重量%~約80重量%、好ましくは約30重量%~約70重量%で材料中に存在し、これらの割合の残りの部分は組成物中の固形分によって占められる。組成物に添加される1つ又は複数の溶媒の量は、利用される被着方法に応じて異なってもよいことが理解されよう。
3.コモノマー
感光性及び/又は重合効率を改善するために、コモノマーを材料に添加してもよい。適切なコモノマー系としては、以下に限定されるものではないが、トリ(エチレングリコール)ジビニルエーテル、1,4-ブタンジオールジビニルエーテル、1,4-シクロヘキサンジメタノールジビニルエーテル、ジ(エチレングリコール)ジビニルエーテル、ポリ(エチレングリコール)ジビニルエーテル、ジビニルアジペート、ビニルエーテル架橋剤(Brewer Science,Inc.によってLIVELink(商標)という名称で販売されているものなど)、1H-ピロール-2,5-ジオン、1,1’-C36-アルキレンビス-、及びそれらの混合物が挙げられる。コモノマー(1つ又は複数)は、組成物の総重量を100重量%として、約1重量%~約50重量%、好ましくは約2重量%~約30重量%、より好ましくは約5重量%~約20重量%で、材料中に存在する。これらのコモノマーは、最終組成物の所望の特性及びその使用に応じて選択される。
4.添加剤
任意選択で、添加剤が組成物に含まれてもよい。可能性がある添加剤の例としては、以下に限定されるものではないが、架橋剤、開始剤、界面活性剤、湿潤剤、接着促進剤、色素、着色剤及び顔料、並びに/又は他のポリマー及び樹脂が挙げられる。これらの添加剤は、最終組成物の所望の特性及びその使用に応じて選択され得る。
レーザアブレーションなどの処理に適した光学特性とするために、材料に色素を添加してもよい。色素が使用される場合、適切な色素としては、以下に限定されるものではないが、ビス(ベンジリデンマロノニトリル)、トリメチロールプロパントリグリシジルエーテル-4-メトキシベンジリデンピルビン酸、及びそれらの混合物が挙げられる。色素が含まれる場合、色素は、組成物の総重量を100重量%として、約0.1重量%~約30重量%、好ましくは約1重量%~約20重量%、より好ましくは約5重量%~約10重量%で材料中に存在する。色素は、組成物に混合することができ、又は化合物に付着させることができる。
適切な開始剤としては、以下に限定されるものではないが、9,10-フェナントレンキノン、4,4’-ビス(ジエチルアミノ)ベンゾフェノン、2-ヒドロキシ-2-メチルプロピオフェノン(CibaのDAROCUR(登録商標)1173など)、ジクミルパーオキサイド、ベンゾイルパーオキサイド、ビス-アシルホスフィンオキシド(IGM ResinsのOmnirad 819など)、エチル(2,4,6-トリメチルベンゾイル)-フェニル-ホスフィネート(IGM ResinsのOmnirad TPO-Lなど)、オキシムエステル光開始剤(BASFのIrgacure OXE 01又はIrgacure OXE 02など)、及びそれらの混合物が挙げられる。光開始剤が使用される場合、光開始剤は、組成物の総重量を100重量%として、約0.1重量%~約10重量%、好ましくは約0.3重量%~約7重量%、より好ましくは約0.5重量%~約5重量%の濃度で材料中に存在する。
適切な界面活性剤としては、以下に限定されるものではないが、MEGAFACE R-30N(DIC Corporation)、F-556(DIC Corporation)などの非イオン性フッ素化界面活性剤、及びそれらの混合物が挙げられる。界面活性剤は、使用される場合、組成物の総重量を100重量%として、約0.01重量%~約0.5重量%、好ましくは約0.01重量%~約0.2重量%で材料中に存在する。
適切な接着促進剤としては、以下に限定されるものではないが、メタクリロキシプロピルトリメトキシシラン、3-グリシジルオキシプロピルトリメトキシシラン、ピロメリット酸ジメタクリレート、ピロメリット酸二無水物グリセロールジメタクリレート、4-メタクリロキシエチルトリメリット酸、及びそれらの混合物が挙げられる。接着促進剤は、使用される場合、組成物の総重量を100重量%として、約0.05重量%~約5重量%、好ましくは約0.1重量%~約3重量%で組成物中に存在する。
一実施形態において、組成物は、溶媒系に分散又は溶解した当該化合物から本質的になるか、又はそれからまさになる。さらなる実施形態では、組成物は、当該化合物(及び好ましくはビスマレイミド)と、開始剤、コモノマー、及び/又は接着促進剤の少なくとも1つと、溶媒系とから本質的になるか、又はそれらからまさになる。
実施形態にかかわらず、得られた組成物は室温で安定であり、マイクロ電子基板を容易に被覆することができる。本明細書で使用される場合、「安定である」とは、組成物が、少なくとも約180日、好ましくは約360日~約720日の間、溶液からの固形分の沈殿又は分離が約0.1%未満で保存できることを意味する。
使用方法
有利には、開示される組成物は、特定の構造体又はデバイスにおける持続的な層又は構成要素などとして、マイクロ電子構造体、光学用途、及び構造用途での使用に適している。
組成物を使用する方法には、組成物を基板に塗布して、基板上に組成物の層を形成することが含まれる。基板は、任意のマイクロ電子基板とすることができる。基板がデバイス基板である実施形態では、利用される基板は、好ましくはトポグラフィ部(例えば、コンタクトホール、ビアホール、隆起特徴部、及び/又はトレンチ)を備える。このトポグラフィ部は、基板表面上に直接備えることができ、又は基板の面上に形成された他の材料の1つ又は複数の層に備えることができる。好ましい基板としては、フロントエンド用途及びバックエンド用途で一般的に使用されるものが挙げられる。基板がキャリア基板である場合、利用される基板は、一般に、トポグラフィ部を備えない。特に好ましい基板は、シリコン、アルミニウム、タングステン、タングステンシリサイド、ヒ化ガリウム、ゲルマニウム、タンタル、亜硝酸タンタル、シリコンゲルマニウム、ガラス、銅、クロム、亜鉛、酸化シリコン、窒化シリコン(SiN)、及びそれらの組合せから選ばれる。
組成物は、スピンコーティング、スロット・ダイ・コーティング、インクジェット印刷、及び溶媒ベースの被覆配合物の塗布に対応する他の方法によって、基板上を被覆することができる。これらの技術では、欠陥のない所望の被膜厚さ及び均一性を得るために、例えば、溶液を主溶媒で希釈し、かつ/又はポリマー沈殿を引き起こさない共溶媒を添加することによって、溶液中のポリマー固形分濃度の調整が必要とされる場合がある。好ましい塗布方法は、速度が約800rpm~約2,500rpm、より好ましくは約1,000rpm~約1,500rpm、時間が約20秒~約60秒、好ましくは約30秒~約40秒のスピンコーティングである。
基板への塗布後、組成物を溶媒ベークして、いかなる残留溶媒も蒸発させる。溶媒ベーク温度は、約60℃~約150℃、好ましくは約60℃~約120℃とする。この加熱工程は、好ましくは約1秒~約6分、より好ましくは約60秒~約4分の時間で行われる。溶媒ベークは、2つ以上の工程で行われてもよく、すなわち、最初により低い温度でベークし、続いてより高い温度で2回目のベークが実施されてもよいことが理解されよう。
いくつかの実施形態では、組成物は、溶媒ベーク及び任意の中間工程の後に硬化される。他の実施形態では、硬化前に接合が行われる。いずれの場合も、開始剤が含まれていたかどうか、含まれていた場合には、それが熱開始剤であったか光開始剤であったかどうかに応じて、熱プロセス又は光プロセスによって硬化が行われることが好ましい。熱硬化(すなわち、熱開始剤が組成物中に含まれる)の場合、組成物は、その架橋温度より高い、好ましくは約180℃~約250℃、より好ましくは約200℃~約250℃に、約10分~約60分、好ましくは約10分~約30分の時間で加熱されるべきである。光硬化(すなわち光開始剤が組成物中に含まれる)の場合、組成物は、UV放射線又は可視放射線などの放射線への曝露によって硬化され得る。露光波長は化学的性質に基づいて変わるが、約60秒~約15分、好ましくは約60秒~約5分の時間で、好ましくは約200nm~約500nm、より好ましくは約300nm~約400nmである。露光量は化学的性質に基づいて変わるが、好ましくは約3mJ/cm~約50mJ/cm、より好ましくは約10mJ/cm~約30mJ/cmである。
被膜は、厚さ(エリプソメータによって5箇所にわたって測定された平均測定値)が、好ましくは約1μmと約20μmの間、より好ましくは約3μm~約10μmである。有利には、被膜厚が約5μmで硬化応力が比較的低く、これにより基板の湾曲が防がれるため、後被覆プロセスでのウェハ/基板処理が可能になる。
加えて、材料はUV放射線に反応して架橋する特性を有しており、これにより、材料を熱可塑性処理によって形状などに成形、形成し、次いでUV曝露によって硬化させることにより、使用時に基板に接着することができる自立型のフィルム又は積層体を形成することが可能である。あるいは、フィルム内の領域は、パターン化された曝露によって選択的に硬化し、例えば、より硬く、又はより熱的に安定した領域を生成することができる。架橋が経時的に起こり得るか、又は熱硬化又は光硬化によって起こるかにかかわらず、上記の化合物の間で架橋が形成され、材料は本来の熱可塑性から熱硬化性になる。
有利には、これらの材料は、様々な半導体パッケージングプロセスに使用され得る。プロセスに応じて、硬化前に、材料の初期被覆と溶媒ベークとの間に中間工程を実施してもよい。上記の条件と併せて(特に明記しない限り)これらの材料を利用する、例示的なプロセスフローを以下に記載する。
1.ダイ・アタッチ・プロセス
図1を参照すると、基板10が提供されており、基板10は表面12及び裏面14を有する。基板10は、上記の基板のいずれかとすることができる。上記の組成物の層16を、上記のように表面12に塗布し溶媒ベークする。層16は上面18及び下面20を有し、その下面20は基板10の表面12と接触している。次に、層16の上面18にダイ22を取り付け、組成物を硬化させる。硬化は、経時的に生じるか、又は、開始剤が利用されるか否か、利用される場合には開始剤の種類に応じて、熱硬化若しくは光硬化によって行うことができる。いずれにせよ、ここでダイ22は持続的接合層16に取り付けられる。次に、裏面14の方向から基板10を通して、(例えばレーザ穿孔によって)ビア24を穿孔することができる。次いで、従来のメタライゼーションプロセスに従って、金属層26をビア24内及び裏面14に被着し、特定の用途及びエンドユーザの目的に応じて、次いでさらなる処理工程(例えば、不動態化、パターニング、再配線層(redistribution layer:「RDL」)形成、シンギュレーション、電気めっき、プラズマエッチング、洗浄、化学気相成長、物理気相成長、及びこれらの組合せ)を行うことができる。
図1は、持続的接合層16に取り付けられているダイ22を示しているが、同じプロセスを使用して、1つ又は複数のダイを備えるウェハを持続的接合層16に取り付けることもできることが理解されよう。
2.光パターニングプロセス
図2を参照すると、基板28が提供されており、基板28は表面30及び裏面32を有する。基板28は、上記の基板のいずれかとすることができる。上記の組成物の層34を、上記のように表面30に塗布し溶媒ベークする。層34は上面36及び下面38を有し、その下面38は基板28の表面30と接触している。溶媒ベーク後、上記のように層34は硬化されるか、又は硬化し得る。
次に、下面42及び上面44を有する感光層40を形成するように、下面42が層34の(すなわち、本明細書に記載の本発明の実施形態による組成物から形成された層の)上面36と接触する状態で、従来のフォトレジスト組成物を層34の上面36に、(従来のプロセスに従って)塗布する。製造業者の使用説明書に従って、フォトレジスト層40を乾燥又はベークする。次いで、所望のパターンを有する(図示されていない)マスクを介して、フォトレジスト層40をUV光に曝露する。当業者は、例えばフォトレジストがポジ型作用であるかネガ型作用であるかを考慮して、パターン形成の方法を判断するであろう。加えて、露光波長、線量などは、フォトレジストの化学的性質及び/又は製造業者の推奨に基づいて、当業者によって決定することができる。曝露及び任意の曝露後ベークの後、パターン化フォトレジスト層40’を形成するために、水性現像剤を使用してフォトレジスト層40を現像する。パターン化フォトレジスト層40’は、現像後に残存する部分46、並びに現像中に除去された「空隙」48を有する。部分46及び空隙48は協働してパターン化フォトレジスト層40’を形成する。パターン化フォトレジスト層40’は、次に、本発明の層34を(例えばCFエッチャントを使用して)ドライエッチングするためのエッチングマスクとして使用することができ、パターン化フォトレジスト層40’から本発明の層34にパターンを転写することによって、パターン化フォトレジスト層34’の残存部分及び空隙に対応する残存部分36’及び「空隙」48’を有するパターン化層34’を形成する。パターン化された持続的接合材料を使用して、次に、後続の処理工程を実施することができる。例えば、1つ若しくは複数のダイ、又は少なくとも1つの(図示されていない)ダイを備えるウェハを、パターン化層34’に取り付けることができる。この場合、残存部分36’又は空隙48’は、1つ若しくは複数のダイ又は他の構造体を固定する箇所のテンプレートとして使用することができる。この段階で行うことができる他の処理としては、ダイカプセル化、気密封止、及び/又はハイブリッド接合が挙げられる。
3.接合プロセス
(縮尺通りではない)図3(A)を参照すると、前駆構造体50が概略断面図で表されている。構造体50は、第1の基板52を備える。基板52は、表面又はデバイス面54及び裏面56を有する。好ましい第1の基板52としてはデバイスウェハが挙げられ、例えばそのデバイス面が、集積回路、MEMS、マイクロセンサ、パワー半導体、発光ダイオード、フォトニック回路、インターポーザ、埋め込み受動デバイス、並びにシリコン、並びにシリコンゲルマニウム、ヒ化ガリウム、窒化ガリウム、ヒ化アルミニウムガリウム、リン化アルミニウムインジウム、及びリン化インジウムガリウムなどの他の半導体材料上で又はそれらから製造される他のマイクロデバイスからなる群から選択されるデバイスの(図示されていない)アレイを備えるデバイスウェハである。これらのデバイスの面は、一般的に、以下の材料、すなわちシリコン、ポリシリコン、二酸化シリコン、(酸)窒化シリコン、金属(例えば、銅、アルミニウム、金、タングステン、タンタル)、low-k誘電体、ポリマー誘電体、並びに様々な金属の窒化物及びシリサイドのうちの1つ又は複数から形成された(この場合も図示されていない)構造体を備える。デバイス面54はまた、以下からなる群から選択される少なくとも1つの構造体を備えることもでき、その群とは、はんだバンプ;金属ポスト;金属ピラー;並びにシリコン、ポリシリコン、二酸化シリコン、(酸)窒化シリコン、金属、low-k誘電体、ポリマー誘電体、金属窒化物、及び金属シリサイドからなる群から選択される材料から形成された構造体である。
本発明による組成物は、図3(a)に示すように、(先に記載した工程に続き)第1の基板52に塗布されて、デバイス面54に接合層58を形成する。接合層58は、第1の基板52から離れた上面60を有する。接合層50は、デバイス面54に直接形成することができ(すなわち、接合層58と基板52との間にいかなる中間層もない)、又は、最初にデバイス面54に1つ又は複数の中間層(図示していないが、例えば、ハードマスク層、スピンオンカーボン層、誘電体層、剥離層など)を形成し、次いで、接合層58を最上部の中間層上に直接形成することができる。いずれにせよ、先に記載の工程に従って、接合層58が塗布され溶媒ベークされる。
第2の前駆構造体62もまた、図3(a)に概略断面図で表されている。第2の前駆構造体62は、第2の基板64を有する。この実施形態では、第2の基板64はキャリアウェハであり、表面又はキャリア面66及び裏面68を有する。第2の基板64は任意の形状とすることができるが、通常、第1の基板52と同様の形状及び寸法とされる。好ましい第2の基板64としては、クリアウェハ、又はレーザエネルギーがキャリア基板を通過することが可能な任意の他の(レーザエネルギーに対して)透過性の基板、例えば、以下に限定されるものではないが、ガラス、Corning Gorillaガラス、及びサファイアが挙げられる。特に好ましい1つのガラスのキャリアウェハとしては、Corning EAGLE XGガラスウェハがある。
上記の溶媒ベークの後、接合スタック70(図3(B))を形成するように、2つの基板52及び基板64を、追加的な任意の中間層と共に2つの基板間の持続的接合材料(すなわち本明細書に記載の組成物)で、圧力下において対面構成で互いに接合する。好ましい接合圧力は、約100N~約5,000N、より好ましくは約1,000N~約3,000Nである。好ましい接合時間は、約30秒~約5分、より好ましくは約30秒~約2分である。好ましい接合温度は、約20℃~約120℃、より好ましくは約30℃~約70℃である。一実施形態において、接合は、好ましくは室温で行われる。
接合層58は、様々な種類の基板に接着し、接合後の移動又は「はみ出し」がない。これにより、第1の基板52を安全に取り扱うことができ、第1の基板52に対して、第2の基板64に接合されていなければ第1の基板52を損傷させる可能性があるさらなる処理を行うことができる。例えば、構造体に対して、基板52及び基板64の分離を生じることなく、かつこれらの後続の処理工程中に遭遇するいかなる化学物質の浸透もなく、裏面研削、化学機械研磨(「CMP」)、エッチング、金属被着(すなわちメタライゼーション)、誘電体被着、パターニング(例えば、フォトリソグラフィ、ビアエッチング)、不動態化、アニーリング、及びそれらの組合せなどの裏面処理を行うことができる。一実施形態では、接合スタック70は、後続の処理工程の間及び後に、持続的に接合された状態を保ち得る。
別の実施形態では、処理が完了すると、レーザを使用することによって基板52及び基板64を分離して、接合層58の全て又は一部を分解又は切除することができる。これは、接合層58を形成するために使用される組成物が色素を含んだ実施形態において特に有用である。適切なレーザ波長としては、約200nm~約400nm、好ましくは約300nm~約360nmが挙げられる。接合層58を剥離するために、ウェハ全体を曝露するためのスタンドアンドリピート方式又はライン走査方式で、基板64の面全体をレーザで走査する。例示的なレーザ剥離ツールとしては、SUSS MicroTec Lambda STEEL 2000レーザ剥離機及びKingyoupレーザ剥離機が挙げられる。基板64は、好ましくは、約40×40μm~約12.5×4mmの照射野面積を有するレーザスポットによって走査される。基板52、基板64を剥離するのに適したフルエンスは、約100mJ/cm~約400mJ/cm、好ましくは約150mJ/cm~約350mJ/cmである。基板52、基板64を剥離するのに適した電力は、約0.5W~約6W、好ましくは約1W~約2Wである。レーザ曝露後、基板52及び基板64は容易に分離する。分離後、プラズマエッチング又は接合層58を溶解することができる溶媒で、残存するいかなる接合層58も除去することができる。
あるいは、接合層58を機械的に破壊、切断、及び/又は溶解することによって剥離することができる。
上記の実施形態では、接合層58は、デバイスウェハである第1の基板52に接して示されている。この基板/層の構成は逆にすることができることが理解されよう。すなわち、第2の基板64(キャリアウェハ)に接して接合層58を形成することができる。この実施形態には、上に記載したものと同じ組成物及び処理条件が適用される。
4.位置合せ及び接合プロセス
(縮尺通りではない)図4(A)を参照すると、前駆構造体70が提供されている。前駆構造体70は、第1の基板72を備える。第1の基板72は、表面74及び裏面76を有する。表面74は、複数の特徴部78を備える。複数の特徴部78は同じであっても異なっていてもよく、それらは、バンプ又はダイパッド、ピラー、マイクロバンプ、及びそれらの組合せなどの金属接触部から選ばれる。マイクロバンプの形状は一般に球形であり、ピラーの形状は一般に円筒形である。それぞれは、通常、ピッチが約40μm以下、好ましくは約30μm以下、及び最小でサブミクロンの寸法(例えば約1μm)である。バンプ又はダイパッドは、ワイヤ、はんだボール、ピラー、又はマイクロバンプなどの電気接続部を取り付けることができる平坦な導電性領域である。バンプ又はダイパッド、マイクロバンプ、及びピラーは、任意の従来の材料、例えば、Cu、Sn、CuSn、SnAg、Al、Au、AlOx、Ti、Ta、導電性エポキシ、及びそれらの組合せから選ばれるもので形成することができる。いくつかの実施形態では、酸化又は他の損傷を防止するために、原子層被着によって特徴部78上に非常に薄い材料の層が被着される。
複数の特徴部78は、それぞれに上面80を有し、それらの間には間隙又は空間82がある。特徴部78どうしの間の間隙82の寸法は、従来のように、ユーザの特定のニーズ及び設計に応じて選択されることが理解されよう。感光性接合組成物、例えば先に記載した感光性接合組成物を、先に記載のプロセスに従って表面74及び上面80に塗布して、感光層84を形成する。次いで、所望のパターンを有する(図示されていない)マスクを介して、感光層84を放射線に曝露する。好ましくは、マスクは、特徴部78どうしの間にある感光層84の上記の部分に光が接触し、それにより、放射線に曝露された部分が現像剤又は溶媒(例えばシクロペンタノン)に不溶になり得るように設計される。この文脈において、「不溶」とは、曝露された部分が約180秒間現像剤と接触した場合に、その重量減少が約1%未満、好ましくは約0%となることを意味する。
曝露後、感光層84は、好ましくは、約50℃~約80℃で約3分間~約10分間ソフトベークされ、続いて約100℃~約150℃で約5分間~約20分間、2回目のベークが行われる。次いで、感光層84に対して溶媒現像工程を行って、感光層84の放射線に曝露されなかった部分(すなわち、未硬化の状態であるため現像剤に可溶である部分)を溶解除去する。図4(B)に示すように、この結果、隆起部86と、隆起部86どうしの間の開口部88とを有するパターン化層84’が形成され、開口部88により特徴部78が露出されている。好ましくは、次いで、感光層84を形成するために使用した感光性接合組成物中の化合物の完全重合を確実にするために、熱又はUVの硬化工程を行う。
図4(C)を参照すると、第2の前駆構造体90が提供されている。構造体90は、第2の基板92を備える。第2の基板92は、表面94及び裏面96を有する。表面94は、複数の特徴部98を備える。複数の特徴部98は同じであっても異なっていてもよく、それらは、バンプパッド、ピラー、マイクロバンプ、及びそれらの組合せから選ばれる。特徴部98によって形成されたパターンは、上記のように、パターン化感光層84’を調製するためのガイドとして使用されることが理解されよう。すなわち、パターン化感光層84’のパターンは、特徴部98によって形成されたパターンのネガ型である。加えて、パターン化感光層84’の厚さは、特徴部98のそれぞれの高さに対応するように選ばれる。したがって、開口部88は特徴部98を受容するように構成されているため、スタック100が示されている図4(D)に示すように、前駆構造体70及び90の位置合せが簡易化される。スタック100に対し、次に、例えば、約200℃未満の温度の接合チャンバ内で、又は先に記載の他の接合パラメータに従って、所望に応じて接合を行うことができる。加えて、特徴部78、98とパターン化感光層84’の隆起部86との間のいかなる間隙も、真空下で約1秒~約60秒間、高温(例えば、約80℃~約200℃、好ましくは約120℃)で封止することができる。
上記のプロセスは、様々な基板構成を可能にすることが理解されよう。図4に、「チップ・トゥ・ウェハ」接合プロセスの概略図を示す。すなわち、図4において、第1の前駆構造体70の第1の基板72はウェハであり、一方、第2の前駆構造体90はチップである。図5では、第1の基板72は同じくウェハであるが、第2の前駆構造体90もウェハ(すなわち「ウェハ・トゥ・ウェハ」接合プロセス)である。(わかりやすくするために、図5は図4と同様に番号付しており、102は曝露中に使用するマスクを表す。)加えて、図5は、感光性接合組成物のコンフォーマルな塗布を示しているのに対し、図4は、感光性接合組成物の平坦化塗布を表している。ウェハ・トゥ・ウェハ接合プロセスの場合、ウェハは、約10分~約30分間、真空下で高温(例えば、約100℃~約250℃、好ましくは約150℃)で接合及び封止される。
最後に、さらなる実施形態では、(図示されていない)「チップ・トゥ・チップ」接合プロセスも行うことができる。本実施形態では、第1の前駆構造体70及び第2の前駆構造体90はいずれもチップである。
記載した「位置合せ及び接合」の複数プロセスのそれぞれは、低温接合(通常約200℃未満)、低温硬化(約200℃未満)、粒子又は基板の表面/厚さ変動に対する高い許容性、及びCMP又は他の平坦化技術が不必要であるなど、同様の利点を示すことが理解されよう。
5.レーザ・パターニング・プロセス
さらなる実施形態では、本明細書に記載の組成物は、レーザ・パターニング・プロセスで利用することができる。レーザ・パターニング・プロセスは、先に記載したように、組成物が色素を含む実施形態において特に有用である。先に記載したマイクロ電子基板を含め、任意のマイクロ電子基板を本発明で使用することができる。組成物を塗布する方法は、先に記載の一般的な方法による。形成された層を、好ましくはエキシマレーザを使用し、レーザエネルギーにその層を曝露するレーザアブレーションによってパターン化する。レーザは、切除する領域においてのみ小さなレーザ光がラスター化される「直接書き込み」方式で使用されてもよく、又はレーザは、金属マスクを介して、レーザがそのマスクを通過することができる領域のみを切除するように照射されてもよい。レーザエネルギーは層の材料によって吸収され、様々な光化学的効果及び熱的効果の結果、層の一部が除去されて、その層にパターンが形成される。
エキシマレーザ波長は、好ましくは約200nm~450nm、より好ましくは約250nm~400nm、さらに好ましくは約300nm~400nmである。パルス周波数は、約4,000Hz未満、好ましくは約100Hz~約3,500Hz、より好ましくは約1,000Hz~約3,000Hz、さらに好ましくは約2,000Hz~約3,000Hzである。パルス長は、使用されるパルスレーザの種類によって、約1μs~約100psとすることができる。除去される材料の量は、材料、レーザ波長、パルス周波数、及びパルス長による。
この選択的な除去により、材料が除去されたラインとラインの間にスペースがある前記層のライン、又は前記層内のビア(穴)内などの特徴部を生成することができ、レーザアブレーションによって任意のパターンを形成することができることが理解されよう。レーザアブレーションを用いてライン及びスペースを形成する場合、ライン及びスペースの幅は、好ましくは約200ミクロン未満、より好ましくは約1ミクロン~約70ミクロン、さらに好ましくは約20ミクロン~約60ミクロンである。レーザアブレーションを用いてビアを形成する場合、形成されるビアの直径は、好ましくは約700ミクロン未満、より好ましくは約1ミクロン~約500ミクロン、さらに好ましくは約10ミクロン~約300ミクロンである。有利には、特徴部の側壁は、基板の面に対して実質的に垂直であってもよく、すなわち、特徴部の側壁は、基板の面(又は存在する任意の中間層の最上部の面)に対して好ましくは約70°~約110°の角度、より好ましくは基板の面に対して約90°の角度を成す。
層特性
実施形態にかかわらず、本明細書に記載の組成物によって形成された硬化層は、優れた熱特性及び接着特性を有する。材料は、ガラス転移温度(T)が、好ましくは約30℃~約200℃、より好ましくは約150℃~約200℃である。当該層はまた、好ましくは、分解温度(T)が少なくとも約300℃、より好ましくは少なくとも約330℃、さらに好ましくは少なくとも約390℃である高い熱安定性を有する。さらに、これらの材料は、好ましくは、膨張係数(coefficient of thermal expansion:CTE)が約45ppm/℃~約200ppm/℃である。
硬化層は、引張伸びが好ましくは少なくとも約4%、より好ましくは約120%であり、また低い吸湿性を示す。当該層は、銅、クロム、亜鉛、アルミニウム、酸化シリコン、窒化シリコン(SiN)などの材料に良好に接着することができ、ASTM D4541-17によって測定した場合の接着性が、少なくとも約10psi、好ましくは少なくとも約30psi、さらに好ましくは少なくとも約40psiである。
一実施形態では、当該層は好ましくは感光性である。すなわち、少なくとも約1mJ/cmの放射線に曝露すると、当該層をパターン化することができる。1mJ/cmの放射線に曝露してパターン化することができない層は、非感光性であると考えられる。
当該硬化材料はまた、誘電材料として機能することもできる。そのような場合、硬化層は、誘電率が少なくとも約2.0、好ましくは少なくとも約2.7になり、誘電損失が約0.001~約0.01、好ましくは約0.002~約0.008になる。上記のようにレーザアブレーション処理で使用される場合、硬化層は、k値が好ましくは少なくとも約0.1、より好ましくは少なくとも約0.15である。
当該硬化材料はまた、(金属不動態化の間においても)良好な耐薬品性を示す。良好な耐薬品性については、目的の化学物質(例えば、水酸化テトラメチルアンモニウム(TMAH)、PGME、PGMEA、乳酸エチル、シクロペンタノン、シクロヘキサノン)中に、材料を約室温~約90℃の温度で約10分~約30分の時間浸漬することによって試験する。硬化材料が目視検査で化学腐食の兆候を示さず、厚さの損失がほとんど又は全くない、すなわち、好ましくは厚さの損失が10%未満、より好ましくは厚さの損失が約5%未満である場合、耐薬品性が良好であることが示される。当該硬化材料は、最終デバイスにおいて、寿命が好ましくは少なくとも5年、より好ましくは少なくとも10年になる。
様々な実施形態のさらなる利点は、本明細書の開示及び下記の実施例を検討すれば、当業者には明らかであろう。本明細書に記載の様々な実施形態は、本明細書で特に明記されない限り、必ずしも相互に排他的ではないことが理解されよう。例えば、一実施形態で記載又は図示された特徴部は、他の実施形態においても含まれてもよいが、必ずしも含まれなくてもよい。このように、本開示は、本明細書に記載の特定の実施形態の様々な組合せ及び/又は統合を包含する。
本明細書で使用される場合、2つ以上の項目の列挙で使用される場合の「及び/又は」という語句は、列挙された項目のいずれか1つを単独で用いることができるか、又は列挙された項目の2つ以上の任意の組合せを用いることができることを意味する。例えば、組成物が成分A、B、及び/又はCを含有又は除外すると記載されている場合、組成物は、A単独、B単独、C単独、AとBとの組合せ、AとCとの組合せ、BとCとの組合せ、又はAとBとCとの組合せを含有又は除外することができる。
本明細書ではまた、数値範囲を使用して、様々な実施形態に関する特定のパラメータを定量化する。数値範囲が提供される場合、そのような範囲によって、前記範囲の下限値を記載しているだけの特許請求の範囲の限定、並びに前記範囲の上限値を記載しているだけの特許請求の範囲の限定が、文字通り裏付けされると解釈するべきであることを理解されたい。例えば、開示された数値範囲、約10~約100によって、(上限なしに)「約10より大きい」と記載している請求項及び(下限なしに)「約100未満」と記載している請求項が、文字通り裏付けされる。
以下の実施例により、本開示による方法について述べる。しかし、これらの実施例は例示として提供されており、その中のいかなるものも全体的な範囲に対する限定としてとらえられるべきではないことを理解されたい。
実施例1
接合組成物1
この実施例では、45グラムのBMI 1700(Designer Molecules、カリフォルニア州サンディエゴ)を55グラムのメシチレンに溶解した。その溶液を、混合物が均一になるまで撹拌ホイールで混合し、0.2μmフィルタで濾過してプラスチックボトルに入れた。
実施例2
接合組成物2
この手法においては、45グラムのBMI 1700及び2グラムのジクミルパーオキサイド(Sigma-Aldrich、ミズーリ州セントルイス)を53グラムのメシチレンに溶解した。その溶液を、混合物が均一になるまで撹拌ホイールで混合し、0.2μmフィルタで濾過してプラスチックボトルに入れた。
実施例3
接合組成物3(比較例)
この実施例では、45グラムのEbecryl 3720(Allnex、イリノイ州イーストセントルイス)、3グラムのメタクリルかご型オリゴマーシルセスキオキサン(「POSS」、Hybrid Plastics、ミシシッピ州ハッティズバーグ)、及び1.5グラムのジクミルパーオキサイド(Sigma)を50.5グラムのシクロペンタノンに溶解した。その溶液を撹拌ホイールで一晩混合し、0.2μmフィルタで濾過してプラスチックボトルに入れた。
実施例4
実施例2の組成物の処理
1,500rpm/秒のランプを用いた1,500rpmで30秒間のスピンコーティングにより、実施例2の材料の5μm被膜をシリコンウェハに塗布した。次いで、そのウェハを60℃で2分間、続いて120℃で2分間ベークした。そのシリコンウェハにガラスウェハを位置合わせし、EVGボンダを使用して、2,000Nの圧力、60℃で3分間かけて接合した。次いで、その材料をUVランプ(IntelliRay Flood Curingシステム、i線波長、ランプから3インチでの強度115mW/cm)の下で2分間かけて硬化させ、続いて、220℃で5分間、続いて250℃で5分間かけて熱硬化させ、ボイドのない接合ウェハ対を得た。接合ウェハ対に対して、DISCOによる研削試験を実施した。試験した全てのウェハは、図6に示すように、ボイド、欠陥、又はエッジチッピングなしに20μm又は30μmまでの研削に合格した。
実施例5
実施例2の組成物の接着試験
実施例2の材料を、携帯型引き抜き接着試験機を使用し、ASTM D4541-17に従って試験した。各試験セットから3つの破損値を平均することによって接着データを収集した。表1は、様々な基板に対する接着結果を示す。
表1 実施例2の組成物の接着特性
Figure 2023537612000009
実施例6
実施例3の組成物の処理
1,500rpm/秒のランプを用いた1,300rpmで30秒間のスピンコーティングにより、実施例3の材料の5μm被膜をシリコンウェハに塗布した。その被覆したウェハを60℃で2分間、続いて120℃で2分間ベークした。次いで、そのシリコンウェハにガラスウェハを位置合わせし、EVGボンダを使用して、3000Nの圧力、60℃で3分間かけて接合した。その材料を230℃で30分間かけて硬化させ、ボイドのない接合ウェハ対を得た。接合ウェハ対に対して研削試験を行った。試験した全てのウェハは、図7に示すように、ボイド、欠陥、又はエッジチッピングなしに20μm又は30μmまで研削に合格した。
実施例7
実施例3の組成物の接着試験
実施例3の組成物を、携帯型引き抜き接着試験機を使用し、ASTM D4541-17に従って試験した。各試験セットから3つの破損値を平均することによって接着データを収集した。表2は、異なる硬化条件下でのSiウェハ上の接着結果を示す。
表2 実施例3の組成物の接着特性
Figure 2023537612000010
実施例8
接合組成物4
この実施例では、58グラムのBMI 3000(Designer Molecules Inc,カリフォルニア州サンディエゴ)、1.2グラムのIrgacure OXE 02(光開始剤、BASF、ドイツ)、及び0.3グラムの3-グリシジルオキシプロピルトリメトキシシラン(東京化成工業株式会社、日本)を100グラムのメシチレンに溶解した。その溶液を撹拌ホイールで6時間混合し、0.2μmフィルタで濾過してプラスチックボトルに入れた。
実施例9
接合組成物5
この手法においては、58グラムのBMI 1700、1.2グラムのIrgacure OXE 02、及び0.3グラムの3-グリシジルオキシプロピルトリメトキシシランを60グラムのメシチレンに溶解した。その溶液を撹拌ホイールで6時間混合し、0.2μmフィルタで濾過してプラスチックボトルに入れた。
実施例10
実施例8の組成物の200℃での処理
3,000rpm/秒のランプを用いた1,000rpmで30秒間のスピンコーティングにより、実施例8の材料の5μm被膜をシリコンウェハに塗布した。次いで、そのウェハを60℃で5分間、続いて120℃で5分間ベークした。EVG610マスクアライナを使用して、100mJ/cmの露光量でその被覆ウェハをパターン化し、続いて、シクロヘキサノンで3分間現像した。次いで、そのシリコンウェハにガラスウェハを位置合わせし、CEE(登録商標)Apogee(登録商標)ボンダを使用して、2000Nの圧力、200℃で5分間かけて接合し、ボイドのない接合ウェハ対を得た。接合ウェハ対を、図8に示すように、180℃で60分間かけて硬化させた。
実施例11
接合組成物6
この実施例では、58グラムのBMI 3000、1.2グラムのIrgacure OXE 02、及び0.3グラムの3-グリシジルオキシプロピルトリメトキシシランを60グラムのメシチレンに溶解した。その溶液を撹拌ホイールで6時間混合し、0.2μmフィルタで濾過してプラスチックボトルに入れた。
実施例12
実施例11の材料の150℃での処理
3,000rpm/秒のランプを用いた1,000rpmで30秒間のスピンコーティングにより、実施例11の材料の5μm被膜をシリコンウェハに塗布した。次いで、そのウェハを60℃で5分間、次いで120℃で5分間ベークした。次いで、EVG610マスクアライナを使用して、200mJ/cmの露光量でその被覆ウェハをパターン化し、続いて、シクロヘキサノンで1分間現像した。次いで、そのシリコンウェハにガラスウェハを位置合わせし、CEE(登録商標)Apogee(登録商標)ボンダを使用して、8000Nの圧力、150℃で15分間かけて接合し、ボイドのない接合ウェハ対を得た。接合ウェハ対を、図9に示すように、200℃で60分間かけて硬化させた。
実施例13
実施例9の材料の処理
3,000rpm/秒のランプを用いた1,000rpmで30秒間のスピンコーティングにより、実施例9の材料の10μm被膜をシリコンウェハに塗布した。次いで、そのウェハを60℃で5分間、次いで120℃で5分間ベークした。次いで、EVG610マスクアライナを使用して、300mJ/cmの露光量で被覆ウェハをパターン化し、続いて、シクロヘキサノンで5分間現像した。次いで、そのシリコンウェハにガラスウェハを位置合わせし、CEE(登録商標)Apogee(登録商標)ボンダを使用して、2000Nの圧力、60℃で5分間かけて接合し、ボイドのない接合ウェハ対を得た。次いで、接合ウェハ対を、図10に示すように、180℃で60分間かけて硬化させた。
実施例14
接合組成物7
この実施例では、30グラムのBMI 3000及び2.53グラムのトリ(エチレングリコール)ジビニルエーテル(Sigma、セントルイス)を、30グラムのシクロペンタノン及び7.5グラムのメシチレンに溶解した。その溶液を撹拌ホイールで24時間混合し、0.2μmフィルタで濾過してプラスチックボトルに入れた。
実施例15
実施例14の組成物の処理
3,000rpm/秒のランプを用いた1,500rpmで30秒間のスピンコーティングにより、実施例14の組成物の5μm被膜をシリコンウェハに塗布した。そのウェハを60℃で5分間、続いて120℃で5分間ベークした。次に、UVランプ(IntelliRay Flood Curingシステム、i線波長、ランプから3インチでの強度115mW/cm)を使用して、被覆したウェハを10秒間でパターン化し、続いてシクロペンタノン/イソプロパノール(3/1)で1分間現像した。現像したウェハに、200℃で1分間、曝露後ベークを行った。図11に、パターン化されたウェハの画像を示す。
実施例16
実施例11の組成物の処理
3,000rpm/秒のランプを用いた700rpm/sで30秒間のスピンコーティングにより、実施例11の材料の5μm被膜を200mmシリコンウェハに塗布した。次いで、そのウェハを60℃で5分間、続いて120℃で15分間ベークした。次いで、SUSS MA300マスクアライナを使用して、200mJ/cmの露光量でその被覆ウェハをパターン化し、続いて、シクロヘキサノンで2分間現像した。次いで、そのウェハを200℃で60分間ベークして、接合材料を完全に硬化させた。被覆したウェハに対し、ダミーの10mmx10mmダイを使用して、10N~50Nの範囲の接合力で、100℃で10秒間のダイ接合を行った。20Nを超える接合力を使用した結果、100%の収率となった(すなわち、失敗ゼロ)。接合したダイを図12に示しているが、ここで「C2W」は「チップ・トゥ・ウェハ(chip-to-wafer)」の略であり、「わずかに引っ張った後」は、接合されている間は静止した状態を保ち、軽い指の圧力では動かず、又は取り外されないというダイの性能を指す。
実施例17
実施例11の組成物の接合強度
実施例12の接合ウェハ対のエッジにカミソリ刃を挿入し、次いで、得られたクラック長さを測定した。カミソリ刃の厚さ(h)、シリコンウェハのヤング率(E)、シリコンウェハの厚さ(t)、及び測定したクラック長さ(L)に基づいて、実施例11の組成物の接合エネルギー(bond energy:BE、表3参照)をMaszaraモデルに基づき計算した。
Figure 2023537612000011
表3 実施例11の接合層の接合強度
Figure 2023537612000012

Claims (47)

  1. マイクロ電子構造体を形成する方法であって、
    裏面及び表面を有し、前記表面上に1つ又は複数の中間層を任意選択で備える基板を提供することと、
    前記表面、又は存在する場合には前記1つ又は複数の中間層に、溶媒系に分散又は溶解したビスマレイミドを含む組成物を塗布して接合層を形成することと、
    下記の(A)、(B)、又は(C):
    (A)前記接合層に、ダイ又は少なくとも1つのダイを備えるウェハを取り付けること、
    (B)前記接合層の上にフォトレジスト層を形成すること、
    前記フォトレジスト層にパターンを形成すること、及び
    前記パターンを前記接合層に転写して、パターン化接合層を形成すること、又は
    (C)前記接合層の少なくとも一部を除去するように、前記接合層をレーザエネルギーに曝露すること
    の少なくとも1つを実施することと
    を含む、方法。
  2. 前記ビスマレイミドが、以下の
    (I)及び(II)、(II)及び(III)、(I)及び(III)、又は、(I)、(II)及び(III)から選ばれる部分を含む、請求項1に記載の方法。
  3. 前記ビスマレイミドが、1個~約15個の前記部分を含む、請求項2に記載の方法。
  4. 前記組成物が、コモノマー、架橋剤、開始剤、界面活性剤、湿潤剤、接着促進剤、色素、顔料、コポリマー、及びそれらの混合物から選ばれる化合物をさらに含む、請求項1又は3のいずれかに記載の方法。
  5. 前記組成物が、トリ(エチレングリコール)ジビニルエーテル、1,4-ブタンジオールジビニルエーテル、1,4-シクロヘキサンジメタノールジビニルエーテル、ジ(エチレングリコール)ジビニルエーテル、ポリ(エチレングリコール)ジビニルエーテル、ジビニルアジペート、ビニルエーテル架橋剤、1H-ピロール-2,5-ジオン、1,1’-C36-アルキレンビス-、及びそれらの混合物から選ばれるコモノマーを含む、請求項4に記載の方法。
  6. 前記組成物が、
    前記ビスマレイミドと、
    開始剤、コモノマー、及び/又は接着促進剤の少なくとも1つと、
    前記溶媒系と
    から本質的になる、請求項1から5のいずれかに記載の方法。
  7. 前記実施が、(B)を実施することであり、
    前記パターン化接合層の上又は中にダイを、又は
    前記パターン化接合層の上に少なくとも1つのダイを備えるウェハを
    配置することをさらに含む、請求項1から6のいずれかに記載の方法。
  8. マイクロ電子構造体であって、
    面を有するマイクロ電子基板と、
    任意選択で、1つ又は複数の中間層が存在する場合、前記基板の面の上に最上部の中間層がある、前記基板の面の上の1つ又は複数の中間層と、
    存在する場合には前記最上部の中間層上に、又は前記中間層が存在しない場合には前記基板の面の上にある接合層であって、ビスマレイミド又は架橋ビスマレイミドの少なくとも1つを含む、接合層と、
    (A)前記接合層の上又は中のダイ、
    (B)前記接合層の上に少なくとも1つのダイを備えるウェハ、
    (C)前記接合層の上のパターン化フォトレジスト層、又は
    (D)前記接合層の上のキャリアウェハ
    の少なくとも1つと
    を備えるマイクロ電子構造体。
  9. 前記ビスマレイミドが、以下の
    (I)及び(II)、(II)及び(III)、(I)及び(III)、又は、(I)、(II)及び(III)から選ばれる部分を含む、請求項8に記載の構造体。
  10. 前記ビスマレイミドが、1個~約15個の前記部分を含む、請求項9に記載の構造体。
  11. 前記接合層が、コモノマー、架橋剤、又はコポリマーの少なくとも1つをさらに含む、請求項8から10のいずれかに記載の構造体。
  12. 前記接合層が、トリ(エチレングリコール)ジビニルエーテル、1,4-ブタンジオールジビニルエーテル、1,4-シクロヘキサンジメタノールジビニルエーテル、ジ(エチレングリコール)ジビニルエーテル、ポリ(エチレングリコール)ジビニルエーテル、ジビニルアジペート、ビニルエーテル架橋剤、1H-ピロール-2,5-ジオン、1,1’-C36-アルキレンビス-、及びそれらの混合物から選ばれるコモノマーを含む、請求項11に記載の構造体。
  13. 前記コモノマーが前記ビスマレイミドと反応している、請求項11又は12に記載の構造体。
  14. 前記接合層が、
    前記ビスマレイミド又は前記架橋ビスマレイミドの一方又は両方と、
    コモノマー及び/又はコポリマーの少なくとも1つと
    から本質的になる、請求項8から13のいずれかに記載の構造体。
  15. 前記マイクロ電子基板が、シリコン基板、アルミニウム基板、タングステン基板、タングステンシリサイド基板、ヒ化ガリウム基板、ゲルマニウム基板、タンタル基板、亜硝酸タンタル基板、シリコンゲルマニウム基板、ガラス基板、銅基板、クロム基板、亜鉛基板、酸化シリコン基板、窒化シリコン基板、及びそれらの組合せからなる群から選択される、請求項8から14のいずれかに記載の構造体。
  16. 前記構造体が(D)を備え、前記キャリアウェハがガラス基板を備える、請求項8から15のいずれかに記載の構造体。
  17. 仮接合方法であって、
    裏面及び表面を有し、前記表面の上に1つ又は複数の中間層を任意選択で備える第1の基板、
    前記表面の上、又は前記1つ又は複数の中間層が存在する場合には前記1つ又は複数の中間層の上にあり、ビスマレイミド又は架橋ビスマレイミドの一方又は両方を含む接合層、並びに
    第1の面を有する第2の基板であって、前記第1の面の上に前記接合層がある、第2の基板
    を備えるスタックを提供することと、
    前記第1の基板と前記第2の基板との分離を容易にするために、前記接合層をレーザエネルギー又は他のエネルギーに曝露することと
    を含む、仮接合方法。
  18. 前記ビスマレイミドが、以下の
    (I)及び(II)、(II)及び(III)、(I)及び(III)、又は、(I)、(II)及び(III)から選ばれる部分を含む、請求項17に記載の方法。
  19. 前記ビスマレイミドが、1個~約15個の前記部分を含む、請求項18に記載の方法。
  20. 前記接合層が、コモノマー、架橋剤、又はコポリマーの少なくとも1つをさらに含む、請求項17から19のいずれかに記載の方法。
  21. 前記接合層が、トリ(エチレングリコール)ジビニルエーテル、1,4-ブタンジオールジビニルエーテル、1,4-シクロヘキサンジメタノールジビニルエーテル、ジ(エチレングリコール)ジビニルエーテル、ポリ(エチレングリコール)ジビニルエーテル、ジビニルアジペート、ビニルエーテル架橋剤、1H-ピロール-2,5-ジオン、1,1’-C36-アルキレンビス-、及びそれらの混合物から選ばれるコモノマーを含む、請求項20に記載の方法。
  22. 前記コモノマーが前記ビスマレイミドと反応している、請求項20又は21に記載の方法。
  23. 前記組成物が、
    前記ビスマレイミド又は前記架橋ビスマレイミドの一方又は両方と、
    コモノマー及び/又はコポリマーの少なくとも1つと
    から本質的になる、請求項17から22のいずれかに記載の方法。
  24. 前記第1及び第2の基板の少なくとも1つが、シリコン基板、アルミニウム基板、タングステン基板、タングステンシリサイド基板、ヒ化ガリウム基板、ゲルマニウム基板、タンタル基板、亜硝酸タンタル基板、シリコンゲルマニウム基板、ガラス基板、銅基板、クロム基板、亜鉛基板、酸化シリコン基板、窒化シリコン基板、及びそれらの組合せからなる群から選択される、請求項17から23のいずれかに記載の方法。
  25. 前記第1及び第2の基板の一方がデバイスウェハであり、前記第1及び第2の基板の他方がキャリアウェハである、請求項17から24のいずれかに記載の方法。
  26. 接合方法であって、
    a)上面を有する第1の基板であって、パッド、ピラー、マイクロバンプ、又はそれらの組合せから選ばれる第1組の特徴部が前記上面の中又は上に形成された第1の基板を提供することと、
    b)溶媒系に分散又は溶解した化合物を含む感光性組成物を、前記第1組の特徴部の少なくとも一部を覆い、接合層を形成するように、前記上面に塗布することと、
    c)前記第1組の特徴部の少なくとも一部を露出させるように前記接合層の一部を除去して、パターン化接合層を得ることと、
    d)前記パターン化接合層をエネルギーに曝露することと、
    e)前記パターン化接合層内に受容されるように構成されたパターンを有する第2組の特徴部を備える第2の基板を、前記第1組の特徴部の少なくとも一部が前記第2組の特徴部の少なくとも一部と接触するように、前記第1の基板に接合することと
    を含み、曝露すること(d)は接合すること(e)の前に行うことができ、又は接合すること(e)は、曝露すること(d)の前に行うことができる、接合方法。
  27. 前記除去すること(c)が、
    前記接合層の部分を放射線に選択的に曝露して、曝露部分を現像剤に不溶にすることと、
    前記特徴部の少なくとも一部を露出させるように、現像剤で前記曝露部分を除去することと
    を含む、請求項26に記載の方法。
  28. 前記曝露すること(d)が、前記パターン化接合層を熱又はUV光の一方又は両方に曝露することを含む、請求項26又は27に記載の方法。
  29. 前記第1組の特徴部が約40μm未満のピッチを有する、請求項26から28のいずれかに記載の方法。
  30. 前記塗布すること(b)の結果、前記接合層が前記第1組の特徴部の全てを覆う、請求項26から29のいずれかに記載の方法。
  31. 前記第1の基板及び前記第2の基板がチップを備え、
    前記第1の基板及び前記第2の基板がウェハを備え、又は
    前記第1の基板がウェハを備え、かつ前記第2の基板がチップを備える、
    請求項26から30のいずれかに記載の方法。
  32. 前記除去すること(c)の前に追加的な層が前記接合層に塗布されない、請求項26から31のいずれかに記載の方法。
  33. 前記化合物がビスマレイミドを含む、請求項26から32のいずれかに記載の方法。
  34. 前記ビスマレイミドが、以下の
    (I)及び(II)、(II)及び(III)、(I)及び(III)、又は、(I)、(II)及び(III)から選ばれる部分を含む、請求項33に記載の方法。
  35. 前記ビスマレイミドが、1個~約15個の前記部分を含む、請求項34に記載の方法。
  36. 前記組成物が、コモノマー、架橋剤、開始剤、界面活性剤、湿潤剤、接着促進剤、色素、顔料、コポリマー、及びそれらの混合物から選ばれる化合物をさらに含む、請求項26から35のいずれかに記載の方法。
  37. 前記組成物が、トリ(エチレングリコール)ジビニルエーテル、1,4-ブタンジオールジビニルエーテル、1,4-シクロヘキサンジメタノールジビニルエーテル、ジ(エチレングリコール)ジビニルエーテル、ポリ(エチレングリコール)ジビニルエーテル、ジビニルアジペート、ビニルエーテル架橋剤、1H-ピロール-2,5-ジオン、1,1’-C36-アルキレンビス-、及びそれらの混合物から選ばれるコモノマーを含む、請求項36に記載の方法。
  38. 前記組成物が、
    前記ビスマレイミドと、
    開始剤、コモノマー、及び/又は接着促進剤の少なくとも1つと、
    前記溶媒系と
    から本質的になる、請求項33から35のいずれかに記載の方法。
  39. マイクロ電子構造体であって、
    上面を有し、
    ピラー、マイクロバンプ、又はピラー及びマイクロバンプの両方から選ばれる第1組の特徴部が前記上面の中又は上に形成されており、かつ
    前記第1組の特徴部同士の間に間隙がある
    第1の基板と、
    ビスマレイミド又は架橋ビスマレイミドの少なくとも1つを含み、前記間隙内にある接合層と、
    上面を有し、前記第1の基板に接合された第2の基板であって、前記上面は、前記第2の基板の前記上面の中又は上に形成されたピラー、マイクロバンプ、又はピラー及びマイクロバンプの両方から選ばれる第2組の特徴部を備え、前記第2組の特徴部の少なくとも一部が前記第1組の特徴部の少なくとも一部に接触している、第2の基板と
    を備える、マイクロ電子構造体。
  40. 前記第1組の特徴部が約40μm未満のピッチを有する、請求項39に記載の構造体。
  41. 前記第1の基板及び前記第2の基板がチップを備え、
    前記第1の基板及び前記第2の基板がウェハを備え、又は
    前記第1の基板がウェハを備え、かつ前記第2の基板がチップを備える、
    請求項39又は40に記載の構造体。
  42. 前記ビスマレイミドが、以下の
    (I)及び(II)、(II)及び(III)、(I)及び(III)、又は(I)、(II)及び(III)から選ばれる部分を含む、請求項38から41のいずれかに記載の構造体。
  43. 前記ビスマレイミドが、1個~約15個の前記部分を含む、請求項42に記載の構造体。
  44. 前記接合層が、コモノマー、架橋剤、又はコポリマーの少なくとも1つをさらに含む、請求項39から43のいずれかに記載の構造体。
  45. 前記接合層が、トリ(エチレングリコール)ジビニルエーテル、1,4-ブタンジオールジビニルエーテル、1,4-シクロヘキサンジメタノールジビニルエーテル、ジ(エチレングリコール)ジビニルエーテル、ポリ(エチレングリコール)ジビニルエーテル、ジビニルアジペート、ビニルエーテル架橋剤、1H-ピロール-2,5-ジオン、1,1’-C36-アルキレンビス-、及びそれらの混合物から選ばれるコモノマーを含む、請求項44に記載の構造体。
  46. 前記コモノマーが前記ビスマレイミドと反応している、請求項44又は45に記載の構造体。
  47. 前記接合層が、
    前記ビスマレイミド又は前記架橋ビスマレイミドの一方又は両方と、
    コモノマー及び/又はコポリマーの少なくとも1つと
    から本質的になる、請求項39から46のいずれかに記載の構造体。
JP2023510401A 2020-08-14 2021-08-16 持続的接合及びパターニングの材料 Pending JP2023537612A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063065727P 2020-08-14 2020-08-14
US63/065,727 2020-08-14
PCT/US2021/046102 WO2022036307A1 (en) 2020-08-14 2021-08-16 Permanent bonding and patterning material

Publications (1)

Publication Number Publication Date
JP2023537612A true JP2023537612A (ja) 2023-09-04

Family

ID=80222708

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023510401A Pending JP2023537612A (ja) 2020-08-14 2021-08-16 持続的接合及びパターニングの材料

Country Status (7)

Country Link
US (1) US20220049095A1 (ja)
EP (1) EP4197028A1 (ja)
JP (1) JP2023537612A (ja)
KR (1) KR20230051202A (ja)
CN (1) CN116018675A (ja)
TW (1) TW202219231A (ja)
WO (1) WO2022036307A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117706869B (zh) * 2024-02-04 2024-05-14 微纳动力(北京)科技有限责任公司 基于两步原位光刻实现微流控芯片键合的方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005090510A1 (ja) * 2004-03-19 2005-09-29 Sumitomo Bakelite Company, Ltd. 樹脂組成物及び該樹脂組成物を使用して作製した半導体装置
JP4684293B2 (ja) * 2005-06-20 2011-05-18 凸版印刷株式会社 着色アルカリ現像型感光性樹脂組成物、及び該着色アルカリ現像型感光性樹脂組成物を用いたカラーフィルタ
JP4652197B2 (ja) * 2005-09-29 2011-03-16 富士フイルム株式会社 染料含有ネガ型硬化性組成物、カラーフィルタ及びその製造方法
US20070248913A1 (en) * 2006-04-24 2007-10-25 Rahman M Dalil Process for producing film forming resins for photoresist compositions
EP2477215A3 (en) * 2007-06-12 2013-08-14 Sumitomo Bakelite Company Limited Resin composition, embedding material, insulating layer and semiconductor device
CN101779268B (zh) * 2007-06-25 2013-11-06 布鲁尔科技公司 高温旋涂暂时性粘合组合物
CN101821352B (zh) * 2007-09-05 2013-06-19 日立化成株式会社 粘接剂以及使用该粘接剂的连接结构体
KR101332306B1 (ko) * 2012-03-30 2013-11-22 한국기계연구원 프리스탠딩 나노 박막 제조방법
US9127126B2 (en) * 2012-04-30 2015-09-08 Brewer Science Inc. Development of high-viscosity bonding layer through in-situ polymer chain extension
US9324601B1 (en) * 2014-11-07 2016-04-26 International Business Machines Corporation Low temperature adhesive resins for wafer bonding
US10319701B2 (en) * 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
KR102480063B1 (ko) * 2015-03-31 2022-12-21 나믹스 가부시끼가이샤 수지 조성물, 도전성 수지 조성물, 접착제, 도전성 접착제, 전극 형성용 페이스트, 반도체 장치
TW201739887A (zh) * 2016-02-04 2017-11-16 漢高智慧財產控股公司 可脫黏之黏著劑及其高溫用途
EP3727838B1 (en) * 2017-12-22 2023-08-09 Brewer Science, Inc. Laser-releasable bonding materials for 3-d ic applications

Also Published As

Publication number Publication date
WO2022036307A1 (en) 2022-02-17
TW202219231A (zh) 2022-05-16
KR20230051202A (ko) 2023-04-17
EP4197028A1 (en) 2023-06-21
US20220049095A1 (en) 2022-02-17
CN116018675A (zh) 2023-04-25

Similar Documents

Publication Publication Date Title
JP5111620B2 (ja) デバイスウェーハーをキャリヤー基板に逆に装着する方法
CN104022016B (zh) 薄晶片处理的多粘合层
TWI663058B (zh) 作爲三維積體電路應用的雷射釋放材料的聚醯亞胺
JP7362612B2 (ja) 3-d ic用途用レーザー離型性接着材料
KR101908630B1 (ko) 웨이퍼 가공체, 웨이퍼 가공용 부재, 웨이퍼 가공용 가접착재, 및 박형 웨이퍼의 제조방법
US10103048B2 (en) Dual-layer bonding material process for temporary bonding of microelectronic substrates to carrier substrates
US20100264566A1 (en) Rapid fabrication of a microelectronic temporary support for inorganic substrates
KR102288340B1 (ko) 반도체 디바이스 및 제조 방법
JP2017510058A (ja) ウェーハの一時接着処理に使用する環状オレフィンポリマー組成物およびポリシロキサン離型層
JP2023537612A (ja) 持続的接合及びパターニングの材料
US8361901B2 (en) Die bonding utilizing a patterned adhesion layer
Zussman et al. Using permanent and temporary polyimide adhesives in 3D-TSV processing to avoid thin wafer handling
JP2022522974A (ja) 3-d ic用途用レーザー離型性接着材料
Fukushima et al. 3-D sidewall interconnect formation climbing over self-assembled KGDs for large-area heterogeneous integration
US20210033975A1 (en) Permanent bonding and patterning material
Mukawa et al. Development of liquid photoresist for IMS (Injection Molded Solder) with high thermal stability
JP2023545481A (ja) ウエハレベルパッケージング用途のためのフォトニック剥離
KR20170130279A (ko) 봉지체의 제조 방법, 및 적층체
JP2023524637A (ja) 一次接着用多機能材料
TW202407071A (zh) 光照射剝離用之接著劑組成物、積層體、及加工後之半導體基板或電子裝置層之製造方法
Okamoto et al. Novel low temperature curable photo-sensitive insulator
Fowler et al. A Novel Photosensitive Permanent Bonding Material Designed for Polymer/Metal Hybrid Bonding Applications
Jampana Alternative processing methods for copper through silicon vias for three-dimensional packaging