KR20220170366A - 검사 방법 및 에칭 시스템 - Google Patents

검사 방법 및 에칭 시스템 Download PDF

Info

Publication number
KR20220170366A
KR20220170366A KR1020220074546A KR20220074546A KR20220170366A KR 20220170366 A KR20220170366 A KR 20220170366A KR 1020220074546 A KR1020220074546 A KR 1020220074546A KR 20220074546 A KR20220074546 A KR 20220074546A KR 20220170366 A KR20220170366 A KR 20220170366A
Authority
KR
South Korea
Prior art keywords
plasma etching
substrate
wafer
inspection
information
Prior art date
Application number
KR1020220074546A
Other languages
English (en)
Inventor
다케시 아키모토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220170366A publication Critical patent/KR20220170366A/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Immunology (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

[과제] 플라스마 에칭 후의 기판에 관한, 기판 상의 패턴의 치수를 포함하는 복수의 검사 항목에 대해, 높은 스루풋으로 검사를 행한다.
[해결 수단] 촬상 장치를 구비하는 에칭 시스템에 있어서의, 기판의 검사 방법으로서, (A) 플라스마 에칭 후의 기판을 상기 촬상 장치로 촬상하여 화상 데이터를 취득하는 공정과, (B) 플라스마 에칭 후의 기판의 화상 데이터에 근거하여, 플라스마 에칭 후의 기판 상의 패턴의 치수와, 플라스마 에칭 후의 기판 상의 결함의 정보, 기판 상의 막의 두께 및 기판의 외관의 정보 중 적어도 어느 하나를 산출하는 공정을 포함한다.

Description

검사 방법 및 에칭 시스템{INSPECTION METHOD AND ETCHING SYSTEM}
본 개시는, 검사 방법 및 에칭 시스템에 관한 것이다.
특허 문헌 1에는, 도포 현상 장치와 에칭 장치를 구비하는 시스템이 개시되어 있다. 이 시스템은, 현상 선폭이나 에칭 선폭을 검사하는 선폭 검사 장치와, 레지스트막의 두께를 측정하는 막 두께 측정 유닛과, 현상 후의 표면 결함이나 에칭 후의 표면 결함을 검사하는 결함 검사 장치를 구비하고 있다.
[특허 문헌 1] 일본 특개 2002-190446호 공보
본 개시에 따른 기술은, 플라스마 에칭 후의 기판에 관한, 기판 상의 패턴의 치수를 포함하는 복수의 검사 항목에 대해, 높은 스루풋으로 검사를 행한다.
본 개시의 일 태양은, 촬상 장치를 구비하는 에칭 시스템에 있어서의, 기판의 검사 방법으로서, (A) 플라스마 에칭 후의 기판을 상기 촬상 장치로 촬상하여 화상 데이터를 취득하는 공정과, (B) 플라스마 에칭 후의 기판의 화상 데이터에 근거하여, 플라스마 에칭 후의 기판 상의 패턴의 치수와, 플라스마 에칭 후의 기판 상의 결함의 정보, 플라스마 에칭 후의 기판 상의 막의 두께 및 플라스마 에칭 후의 기판의 외관의 정보 중 적어도 어느 하나를 산출하는 공정을 포함한다.
본 개시에 의하면, 플라스마 에칭 후의 기판에 관한, 기판 상의 패턴의 치수를 포함하는 복수의 검사 항목에 대해, 높은 스루풋으로 검사를 행할 수 있다.
도 1은 본 실시 형태에 따른 에칭 시스템의 구성의 개략을 모식적으로 나타내는 도면이다.
도 2는 촬상 모듈의 개략 구성을 나타내는 횡단면도이다.
도 3은 촬상 모듈의 개략 구성을 나타내는 종단면도이다.
도 4는 에칭 시스템에 있어서의 검사에 관한 검사용 제어 장치 및 주 제어 장치의 기능 블럭도이다.
도 5는 에칭 시스템을 이용하여 행해지는, 웨이퍼의 검사를 포함하는 웨이퍼 처리의 일례를 설명하기 위한 흐름도이다.
반도체 디바이스 등의 제조 프로세스에서는, 반도체 웨이퍼(이하, 「웨이퍼」라고 한다.) 등의 기판에 대해서, 플라스마를 이용한 에칭 처리 즉 플라스마 에칭이 행해진다.
이 플라스마 에칭의 처리 결과는, 기판에 대해 이후에 행해지는 처리에 영향을 미치거나, 반도체 디바이스의 성능에 영향을 미치거나 한다. 따라서, 플라스마 에칭의 처리 후의 기판 상태를 검사하는 것이 중요하다. 플라스마 에칭의 처리 후의 기판의 검사 항목으로서는, 기판 상의 패턴의 치수(선폭 또는 홀 지름 등)가 일반적으로 중요하지만, 그 외의 검사 항목, 예를 들면, 막 두께나 결함, 외관 등도 중요하다. 그러나, 검사 항목마다 다른 장치를 마련하고 검사를 행하면, 스루풋이 저하해 버린다.
그래서, 본 개시에 따른 기술은, 플라스마 에칭 후의 기판에 관한, 기판 상의 패턴의 치수를 포함하는 복수의 검사 항목에 대해, 높은 스루풋으로 검사를 행하는 것을 가능하게 한다.
이하, 본 실시 형태에 따른 검사 방법 및 에칭 시스템에 대해, 도면을 참조하면서 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 가지는 요소에 대해서는, 동일한 부호를 부여하는 것에 의해 중복 설명을 생략한다.
<에칭 시스템>
도 1은, 본 실시 형태에 따른 에칭 시스템의 구성의 개략을 모식적으로 나타내는 도면이다.
도 1의 에칭 시스템(1)은, 기판으로서의 웨이퍼 W에 대해서, 플라스마 에칭을 행한다. 에칭 시스템(1)은, 진공 반송 모듈 TM1, TM2, 에칭 장치로서의 플라스마 에칭 모듈(이하, 에칭 모듈로 생략.) PM1~PM12, 로드 록 모듈 LL1, LL2, 대기 반송 모듈 AM, 검사용의 촬상 모듈 IM 등을 구비한다.
진공 반송 모듈 TM1, TM2는, 각각 평면에서 보아서 대략 다각 형상(도면의 예에서는 대략 4각 형상)의 하우징으로 구성되는 감압 반송실(11), (12)을 가진다.
감압 반송실(11)은, 대향하는 2개의 측면에 에칭 모듈 PM1~PM6이 접속되어 있다. 감압 반송실(11)의 다른 대향하는 2개의 측면 중, 한쪽의 측면에는 로드 록 모듈 LL1, LL2가 접속되고, 다른 쪽의 측면에는 진공 반송 모듈 TM2의 감압 반송실(12)과 접속하기 위한 패스(도시하지 않음)가 접속되어 있다.
감압 반송실(12)은, 대향하는 2개의 측면에 에칭 모듈 PM7~PM12가 접속되어 있다. 감압 반송실(12)의 다른 대향하는 2개의 측면 중, 한쪽의 측면에는 진공 반송 모듈 TM1의 감압 반송실(11)과 접속하기 위한 패스(도시하지 않음)가 접속되어 있다.
감압 반송실(11), (12)은 각각, 감압 분위기로 유지되는 내부에, 웨이퍼 W를 반송 가능하게 구성된 진공 반송 기구 TR1, TR2가 배치되어 있다. 진공 반송 기구 TR1, TR2는, 웨이퍼 W를 반송 시에 유지하는 반송 암 AR1~AR4를 가진다. 반송 암 AR1~AR4는, 선회, 신축, 승강 자재로 구성되어 있다.
진공 반송 기구 TR1은, 예를 들면, 반송 암 AR1, AR2를 이용하여, 로드 록 모듈 LL1, LL2, 에칭 모듈 PM1~PM6 및 패스(도시하지 않음)의 사이에서, 웨이퍼 W를 반송한다.
또, 진공 반송 기구 TR2는, 예를 들면, 반송 암 AR3, AR4를 이용하여, 에칭 모듈 PM7~PM12 및 패스(도시하지 않음)의 사이에서 웨이퍼 W를 반송한다.
에칭 모듈 PM1~PM12는 각각, 웨이퍼 W에 대해, 플라스마 에칭을 행한다. 또, 에칭 모듈 PM1~PM12는 각각, 게이트 밸브 G1을 통하여, 진공 반송 모듈 TM1, TM2에 접속되어 있다.
로드 록 모듈 LL1, LL2는, 게이트 밸브 G2, G3을 통하여, 진공 반송 모듈 TM1과 대기 반송 모듈 AM(구체적으로는 후술의 로더 모듈 LM)을 연결하도록 마련되어 있다. 로드 록 모듈 LL1, LL2는, 웨이퍼 W를 일시적으로 유지하도록 구성되어 있다. 또, 로드 록 모듈 LL1, LL2는, 내부를 대기압 분위기와 감압 분위기로 전환할 수 있도록 구성되어 있다.
대기 반송 모듈 AM은, 후술하는 반송 기구 TR3을 구비한 로더 모듈 LM과, 풉(Front-Opening Unified Pod) F가 탑재되는 로드 포트 LP1~LP4를 가지고 있다. 풉 F는, 복수의 웨이퍼 W를 보관 가능한 용기이다. 또한, 대기 반송 모듈 AM은, 웨이퍼 W의 수평 방향의 방향을 조절하는 오리엔터 모듈(도시하지 않음) 등을 더 가지고 있어도 좋다.
로더 모듈 LM은 평면에서 보아 직사각형의 하우징을 갖고, 하우징의 내부는 대기압 분위기로 유지되어 있다. 로더 모듈 LM의 하우징의 긴 변을 구성하는 일 측면에는, 복수(도면의 예에서는 4개)의 로드 포트 LP1~LP4가 병설되어 있다. 로더 모듈 LM의 하우징의 긴변을 구성하는 다른 측면에는, 로드 록 모듈 LL1, LL2가 병설되어 있다.
로더 모듈 LM의 하우징의 내부에는, 웨이퍼 W를 반송 가능하게 구성된 반송 기구 TR3이 마련되어 있다. 반송 기구 TR3은, 웨이퍼 W를 반송 시에 유지하는 반송 암 AR5를 가진다. 반송 암 AR5는, 로더 모듈 LM의 하우징의 길이 방향을 따라 이동 가능하게 구성됨과 아울러, 선회, 신축, 승강 자재로 구성되어 있다.
반송 기구 TR3은, 예를 들면, 반송 암 AR5를 이용하여, 로드 포트 LP1~LP4 상의 풉 F, 로드 록 모듈 LL1, LL2 및 검사용의 촬상 모듈 IM의 사이에서 웨이퍼 W를 반송한다.
촬상 모듈 IM은, 예를 들면, 로더 모듈 LM의 하우징의 짧은 변을 구성하는 일 측면에 접속되어 있다. 촬상 모듈 IM은, 웨이퍼 W의 검사용으로 웨이퍼 W를 촬상한다. 촬상 모듈 IM의 구체적인 구성에 대해서는 후술한다.
또한, 에칭 시스템(1)은, 주 제어 장치 CU1과, 검사용 제어 장치 CU2를 가진다. 일 실시 형태에 있어서, 검사용 제어 장치 CU2는, 본 개시에 있어서 기술되는 여러 가지의 공정 중, 촬상 모듈 IM에 관한 공정을 위한 제어를 행하고, 주 제어 장치 CU1은, 그 이외의 공정을 위한 제어를 행한다. 또, 일 실시 형태에 있어서, 주 제어 장치 CU1 및 검사용 제어 장치 CU2는, 본 개시에 있어서 기술되는 여러 가지의 공정을 에칭 시스템(1)에 실행시키는 컴퓨터 실행 가능한 명령을 처리한다. 주 제어 장치 CU1과 검사용 제어 장치 CU2는, 여기서 기술되는 여러 가지의 공정을 실행하도록 에칭 시스템(1)의 다른 요소 각각을 제어하도록 구성될 수 있다. 일 실시 형태에 있어서, 주 제어 장치 CU1과 검사용 제어 장치 CU2의 일부 또는 모두가 에칭 시스템(1)의 다른 요소에 포함되어도 좋다. 예를 들면, 주 제어 장치 CU1과 검사용 제어 장치 CU2는 각각 컴퓨터(30), (40)를 포함하여도 좋다. 컴퓨터(30), (40)는, 예를 들면, 처리부(CPU:Central Processing Unit)(31), (41), 기억부(32), (42), 및 통신 인터페이스(33), (43)를 포함하여도 좋다. 처리부(31), (41)는, 기억부(32), (42)에 저장된 프로그램에 근거하여 여러 가지의 제어 동작을 행하도록 구성될 수 있다. 기억부(32), (42)는, RAM(Random Access Memory), ROM(Read Only Memory), HDD(Hard Disk Drive), SSD(Solid State Drive), 또는 이들 조합을 포함하여도 좋다. 통신 인터페이스(33), (43)는, LAN(Local Area Network) 등의 통신 회선을 통하여 에칭 시스템(1)의 다른 요소와의 사이에서 통신해도 좋다. 또한, 주 제어 장치 CU1과 검사용 제어 장치 CU2가 갖는 컴퓨터가 공통이어도 좋다. 바꾸어 말하면, 주 제어 장치 CU1과 검사용 제어 장치 CU2에서 컴퓨터의 일부 또는 전부를 공유하고 있어도 좋다.
<촬상 모듈 IM>
계속하여, 촬상 모듈 IM에 대해, 도 2 및 도 3을 이용하여 설명한다. 도 2는, 촬상 모듈 IM의 개략 구성을 나타내는 횡단면도이다. 도 3은, 촬상 모듈 IM의 개략 구성을 나타내는 종단면도이다.
촬상 모듈 IM은, 도 2에 나타내는 바와 같이 케이싱(110)을 가지고 있다. 케이싱(110) 내에는, 도 3에 나타내는 바와 같이 웨이퍼 W가 탑재되는 탑재대(120)가 마련되어 있다. 이 탑재대(120)는, 모터 등의 회전 구동부(121)에 의해, 회전, 정지가 자재이다. 케이싱(110)의 저면에는, 케이싱(110) 내의 일단 측(도 3 중의 X축 음 방향 측)으로부터 타단 측(도 3 중의 X축 양 방향 측)까지 연장하는 가이드 레일(122)이 마련되어 있다. 탑재대(120)와 회전 구동부(121)는, 가이드 레일(122) 상에 마련되고, 구동부(123)에 의해 가이드 레일(122)에 따라 이동할 수 있다.
케이싱(110) 내의 타단 측(도 3의 X축 양 방향 측)의 측면에는, 촬상 디바이스(130)가 마련되어 있다. 촬상 디바이스(130)에는, 예를 들면 광각형의 CCD 카메라가 이용된다. 케이싱(110)의 상부 중앙 부근에는, 하프 미러(131)가 마련되어 있다. 하프 미러(131)는, 촬상 디바이스(130)와 대향하는 위치에, 경면이 연직 하부를 향한 상태에서 촬상 디바이스(130)의 방향을 향해 45도 위쪽으로 경사진 상태로 마련되어 있다. 하프 미러(131)의 위쪽에는, 광원(132)이 마련되어 있다. 하프 미러(131)와 광원(132)은, 케이싱(110) 내부의 상면에 고정되어 있다. 광원(132)으로부터의 조명은, 하프 미러(131)를 통과하여 아래쪽을 향해 비추어진다. 따라서, 이 조사 영역에 있는 물체에서 반사된 광은, 하프 미러(131)에서 더 반사되어, 촬상 디바이스(130)에 받아들여진다. 즉, 촬상 디바이스(130)는, 광원(132)에 의한 조사 영역에 있는 물체를 촬상할 수 있다. 그리고 촬상된 화상은, 검사용 제어 장치 CU2에 입력된다.
촬상 모듈 IM에서는, 웨이퍼 W가 가이드 레일(122)을 따라 케이싱(110) 내의 일단 측으로부터 타단 측(도 4의 X축 음 방향 측으로부터 X축 양 방향 측)을 향해 이동하고 있을 때, 촬상 디바이스(130)가 간헐적으로 촬상을 행하여, 웨이퍼 W의 표면 전체를 촬상한다. 그 결과, 검사용 제어 장치 CU2에 있어서, 웨이퍼 W의 표면 전체의 화상 데이터가 취득된다.
<검사용 제어 장치 CU2 및 주 제어 장치 CU1>
도 4는, 에칭 시스템(1)에 있어서의 검사에 관한 검사용 제어 장치 CU2 및 주 제어 장치 CU1의 기능 블럭도이다.
검사용 제어 장치 CU2는, 도 4에 나타내는 바와 같이, CPU 등의 프로세서가 기억부(42)에 기억된 프로그램을 판독하여 실행하는 것에 의해 실현되는, 취득부(211), 화상 데이터 보정부(212), 필요 정보 선택부(213), 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216), 외관 정보 산출부(217), 제 1 조건 보정부(218), 제 2 조건 보정부(219) 및 처리 결과 판정부(220)를 가진다. 또, 검사용 제어 장치 CU2의 기억부(42)는, 화상 데이터 저장부(231), 처리 전 정보 저장부(232) 및 처리 후 정보 저장부(233)를 가진다.
주 제어 장치 CU1은, CPU 등의 프로세서가 기억부(32)에 기억된 프로그램을 판독하여 실행하는 것에 의해 실현되는, 판정부(241)를 가진다.
취득부(211)는, 촬상 모듈 IM에 의한 웨이퍼 W의 촬상 결과에 근거하여, 웨이퍼 W의 화상 데이터, 구체적으로는, 웨이퍼 W의 표면 전체의 화상 데이터를 취득한다. 검사용 제어 장치 CU2가 취득하는 화상 데이터는, 예를 들면, 화소마다 R(적), G(녹), B(청)의 각각의 휘도 정보를 나타낸 RGB 데이터이다. 또한, 화상 데이터로서, RBG 표색계 이외의 표색계(예를 들면 CMYK 표색계)의 데이터를 이용해도 좋다. 검사용 제어 장치 CU2가 취득한 화상 데이터는, 화상 데이터 저장부(231)에 저장 즉 기억된다.
화상 데이터 보정부(212)는, 취득부(211)가 취득하여 치수 산출부(214) 등에서 이용되는, 웨이퍼 W의 화상 데이터를 보정한다. 촬상 모듈 IM의 광원(132)으로부터 출사되는 광의 강도의 경시 변화나, 광원(132)의 상태(예를 들면 광원(132)의 온도) 등에 의해, 촬상 디바이스(130)의 촬상 결과가 변화하는 경우가 있다. 그 때문에, 화상 데이터 보정부(212)는, 예를 들면, 촬상 모듈 IM의 광원(132)의 사용 시간이나, 광원(132)의 온도에 근거하여, 취득부(211)가 취득한 웨이퍼 W의 화상 데이터를 보정한다. 이 보정에는, 예를 들면, 광원(132)의 사용 시간이나 광원(132)의 온도로부터 화상 데이터의 보정량(구체적으로는 화소값의 보정량)을 환산하기 위한 환산 테이블이 이용된다. 이들 환산 테이블은 미리 산출되어 기억부(42)에 기억되어 있다. 또, 이 보정을 위해, 예를 들면, 검사용 제어 장치 CU2에는, 광원(132)의 사용 시간을 계측하는 타이머(도시하지 않음)가 마련되고, 촬상 모듈 IM에는, 촬상 디바이스(130)의 온도를 측정하는 온도 센서(도시하지 않음)가 마련되어 있다.
필요 정보 선택부(213)는, 웨이퍼 W의 화상 데이터에 근거하는 검사를 행할 필요가 있는 검사 항목 즉 웨이퍼 W의 화상 데이터로부터 산출할 필요가 있는 정보를 선택한다. 이 선택은, 예를 들면, 주 제어 장치 CU1로부터 입력되는 후술의 검사용 정보에 근거하여 행해진다.
본 실시 형태에 따른 검사는, 플라스마 에칭 전의 웨이퍼 W와 플라스마 에칭 후의 웨이퍼 W 중, 적어도 플라스마 에칭 후의 웨이퍼 W에 대해 행해지고 또, 플라스마 에칭 전의 웨이퍼 W에 대해 행해지는 경우도 있다.
그 때문에, 필요 정보 선택부(213)는, 적어도 플라스마 에칭 후의 웨이퍼 W에 대해 검사 항목을 선택하고, 또, 플라스마 에칭 전의 웨이퍼 W에 대해 검사 항목을 선택하는 경우도 있다. 필요 정보 선택부(213)에 의해 선택되는 검사 항목은, 플라스마 에칭 후의 웨이퍼 W와 전의 웨이퍼 W에서, 일부 또는 전부가 공통이어도 좋고, 모두 달라도 좋다.
또, 필요 정보 선택부(213)는, 플라스마 에칭 후의 웨이퍼 W에 대해서는, 검사 항목으로서, 웨이퍼 W 상의 패턴의 치수와, 웨이퍼 W 상의 결함의 정보, 웨이퍼 W 상의 막의 두께 및 웨이퍼 W의 외관의 정보 중 적어도 어느 하나를 선택한다.
치수 산출부(214)는, 웨이퍼 W의 화상 데이터에 근거하여, 해당 웨이퍼 W 상의 패턴의 치수(구체적으로는 평면적인 치수)를 산출한다. 패턴의 치수란, 라인 앤드 스페이스의 패턴의 경우에는 예를 들면 선폭이며, 홀 패턴의 경우에는 예를 들면 홀 지름이다. 치수 산출부(214)에 의한 산출에는, 웨이퍼 W의 화상 데이터의 휘도 정보로부터 상기 치수를 환산하는 치수 환산 데이터가 이용된다. 치수 환산 데이터는 미리 취득되어 기억부(42)에 기억되어 있다.
결함 정보 산출부(215)는, 웨이퍼 W의 화상 데이터에 근거하여, 해당 웨이퍼 W 상의 결함의 정보를 산출한다. 구체적으로는, 결함 정보 산출부(215)는, 예를 들면, 웨이퍼 W의 화상 데이터와 결함 검출용 데이터(화상 데이터에 근거하여 결함을 검출하기 위한 데이터)에 근거하여, 예를 들면 해당 웨이퍼 W의 표면 상의 결함수를 산출한다. 보다 구체적으로는, 결함 정보 산출부(215)는, 예를 들면, 웨이퍼 W의 화상 데이터에 있어서의 웨이퍼 부분의 각 화소의 인접 화소와의 차이 즉 미분 데이터를 산출하고, 그 미분 데이터와 결함 검출용 데이터에 근거하여, 미소 결함을 검출하고, 미소 결함의 수를 산출한다. 결함 정보 산출부(215)가 산출하는 결함의 정보는, 미소 결함의 수를 대신하여, 또는, 더하여, 미소 결함의 크기 및 위치 중 적어도 어느 한쪽을 포함해도 좋다. 또, 결함의 정보는, 미소 결함의 수, 크기 및 위치 중 적어도 어느 2개를, 결함 검출용 데이터에 근거하여 지수화한 것이어도 좋다. 결함 검출용 데이터는 미리 취득되어 기억부(42)에 기억되어 있다.
막 두께 산출부(216)는, 웨이퍼 W의 화상 데이터에 근거하여, 해당 웨이퍼 W 상의 막의 두께를 산출한다. 구체적으로는, 막 두께 산출부(216)는, 웨이퍼 W의 화상 데이터에 근거하여, 예를 들면 해당 웨이퍼 W 상의 에칭 대상층의 두께나 에칭 대상층 상에 형성된 마스크 패턴(예를 들면 레지스트 패턴)의 막 두께를 산출한다. 막 두께 산출부(216)에 의한 산출에는, 웨이퍼 W의 화상 데이터의 휘도 정보로부터 상기 막의 두께를 환산하는 막 두께 환산 데이터가 이용된다. 막 두께 환산 데이터는 미리 취득되어 기억부(42)에 기억되어 있다.
치수 산출부(214), 결함 정보 산출부(215) 및 막 두께 산출부(216)는, 웨이퍼 W를 구성하는 일부의 영역에 대해서만, 해당 영역의 화상 데이터에 근거하여, 패턴의 치수 등의 해당하는 정보를 산출해도 좋다.
또, 치수 산출부(214), 결함 정보 산출부(215) 및 막 두께 산출부(216)는, 웨이퍼 W를 구성하는 영역마다, 해당 영역의 화상 데이터에 근거하여, 패턴의 치수 등의 해당하는 정보를 산출해도 좋다. 즉, 치수 산출부(214), 결함 정보 산출부(215) 및 막 두께 산출부(216)는, 웨이퍼 W의 화상 데이터에 근거하여, 해당 웨이퍼 W에 있어서의 해당하는 정보의 면 내 분포를 취득해도 좋다.
외관 정보 산출부(217)는, 웨이퍼 W의 화상 데이터에 근거하여, 해당 웨이퍼 W의 외관의 정보를 산출 즉 취득한다. 외관 정보 산출부(217)가 산출하는 웨이퍼 W의 외관의 정보는, 예를 들면, 웨이퍼 W의 표면 전체의 화상 데이터에 대해 화상 처리를 실행한 것이다. 구체적으로는, 웨이퍼 W의 외관의 정보는, 예를 들면, RGB 데이터인 웨이퍼 W의 화상 데이터의 R 휘도치, G 휘도치 및 B 휘도치 각각 대해, 색마다 미리 정해진 계수를 곱한 것이다. 또, 웨이퍼 W의 외관의 정보는, 웨이퍼 W의 표면 전체의 화상 데이터 중 일부가 추출된 것(예를 들면 특정의 칩의 화상 데이터만이 추출된 것)이어도 좋다. 또한, 웨이퍼 W의 외관의 정보는, 취득부(211)가 취득한 웨이퍼 W의 화상 데이터 또는 화상 데이터 보정부(212)에 의해 보정된 화상 데이터 그 자체여도 좋다. 외관 정보 산출부(217)에 의한 웨이퍼 W의 외관의 정보의 산출/취득에 필요한 정보(예를 들면, 상술한 계수나 추출해야 할 부분의 위치)는, 외관 산출용 데이터로서 미리 취득되어 기억부(42)에 기억되어 있다.
치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)는, 플라스마 에칭 후의 웨이퍼 W에 대해 상술한 바와 같은 산출/취득을 행한다. 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출/취득된 플라스마 에칭 후의 웨이퍼 W에 대한 정보는, 처리 후 정보 저장부(233)에 저장 즉 기억된다.
또, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)는, 플라스마 에칭 전의 웨이퍼 W에 대해 상술한 바와 같은 산출/취득을 행하는 경우가 있다. 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출/취득된 플라스마 에칭 전의 웨이퍼 W에 대한 정보는, 처리 전 정보 저장부(232)에 저장 즉 기억된다.
상술한 바와 같은 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)는, 본 개시에 따른 「산출부」를 구성한다.
제 1 조건 보정부(218)는, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출된 플라스마 에칭 전의 웨이퍼 W에 대한 정보에 근거하여, 해당 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정이 필요한지 여부의 판정을 행한다. 구체적으로는, 제 1 조건 보정부(218)는, 예를 들면, 막 두께 산출부(216)에 의해 산출된 플라스마 에칭 전의 웨이퍼 W 상의 에칭 대상층의 두께가 임계값보다 큰 경우, 해당 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정이 필요하다고 판정한다.
또, 제 1 조건 보정부(218)는, 상기 플라스마 에칭 전의 웨이퍼 W에 대한 정보에 근거하여, 해당 웨이퍼 W에 대한 플라스마 에칭의 처리 조건을 보정한다. 구체적으로는, 제 1 조건 보정부(218)는, 예를 들면, 상기 플라스마 에칭 전의 웨이퍼 W에 대한 정보에 근거하여, 해당 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정량을 산출한다. 보다 구체적으로는, 제 1 조건 보정부(218)는, 예를 들면, 막 두께 산출부(216)에 의해 산출된 플라스마 에칭 전의 웨이퍼 W 상의 에칭 대상층의 두께가 임계값보다 큰 경우, 상기 두께에 근거하여, 해당 웨이퍼 W에 대한 플라스마 에칭의 처리 시간이 길어지도록, 해당 처리 시간의 보정량을 산출한다.
에칭 시스템(1)은, 제 1 조건 보정부(218)에 의해 산출된 보정량에 근거하여 플라스마 에칭 처리의 처리 조건을 보정하고, 대응하는 웨이퍼 W에 대해, 보정 후의 처리 조건으로 플라스마 에칭 처리를 행한다.
즉, 제 1 조건 보정부(218)는, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출된 플라스마 에칭 전의 웨이퍼 W에 대한 정보를, 에칭 시스템(1)에 의한 플라스마 에칭에 피드 포워드하기 위한 것이다.
제 1 조건 보정부(218)가 상기 플라스마 에칭 전의 웨이퍼 W에 대한 정보에 근거하여 처리 조건의 보정의 필요 여부의 판정 및 보정량의 산출을 행하기 위해 필요한 데이터는, 제 1 보정용 데이터로서 미리 취득되어 기억부(42)에 기억된다. 제 1 보정용 데이터는, 예를 들면, 상기 판정에 이용되는 검사 항목(정보) 및 그 임계값, 산출 결과가 임계값을 넘은 검사 항목의 종류와 보정해야 할 처리 조건의 종류가 대응된 테이블, 상기 검사 항목의 산출 결과와 처리 조건의 보정량이 대응된 테이블을 포함한다.
제 2 조건 보정부(219)는, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출된 플라스마 에칭 후의 웨이퍼 W에 대한 정보에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정이 필요한지 여부의 판정을 행한다. 구체적으로는, 막 두께 산출부(216)가, 플라스마 에칭 후의 웨이퍼 W 상의 에칭 대상층에 대해 형성되어 있는 레지스트 패턴의 두께를 산출한 경우, 제 2 조건 보정부(219)는, 예를 들면, 상기 레지스트 패턴의 두께가 허용 범위 내에 들어가지 않을 때에, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정이 필요하다고 판정한다.
또, 제 2 조건 보정부(219)는, 상기 플라스마 에칭 후의 웨이퍼 W에 대한 정보에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건을 보정한다. 구체적으로는, 제 2 조건 보정부(219)는, 예를 들면, 상기 플라스마 에칭 후의 웨이퍼 W에 대한 정보에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정량을 산출한다. 보다 구체적으로는, 예를 들면, 막 두께 산출부(216)가, 플라스마 에칭 후의 웨이퍼 W 상의 에칭 대상층에 대해 형성되어 있는 레지스트 패턴의 두께를 산출한 경우, 제 2 조건 보정부(219)는, 상기 레지스트 패턴의 두께가 임계값보다 작을 때에, 상기 두께에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 시간이 짧아지도록, 해당 처리 시간의 보정량을 산출한다.
또한, 제 2 조건 보정부(219)는, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출된, 플라스마 에칭 전과 후의 웨이퍼 W에 대한 정보의 차분에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정이 필요한지 여부의 판정을 행해도 좋다. 구체적으로는, 막 두께 산출부(216)가, 플라스마 에칭 전과 후의 웨이퍼 W 상의 에칭 대상층에 대해 형성되어 있는 레지스트 패턴의 두께를 산출한 경우, 제 2 조건 보정부(219)는, 예를 들면, 이하와 같이 판정한다. 즉, 제 2 조건 보정부(219)는, 플라스마 에칭 전후에서의 상기 레지스트 패턴의 두께의 차분 즉 감소량이 허용 범위 내에 들어가지 않을 때에, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정이 필요하다고 판정한다.
또, 제 2 조건 보정부(219)는, 상기 플라스마 에칭 전과 후의 웨이퍼 W에 대한 정보의 차분에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건을 보정해도 좋다. 구체적으로는, 제 2 조건 보정부(219)는, 예를 들면, 상기 플라스마 에칭 전과 후의 웨이퍼 W에 대한 정보의 차분에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정량을 산출한다. 보다 구체적으로는, 예를 들면, 막 두께 산출부(216)가, 플라스마 에칭 전과 후의 웨이퍼 W 상의 에칭 대상층에 대해 형성되어 있는 레지스트 패턴의 두께를 산출한 경우, 제 2 조건 보정부(219)는, 이하와 같이 보정량을 산출한다. 즉, 제 2 조건 보정부는, 플라스마 에칭 전후에서의 상기 레지스트 패턴의 두께의 차분 즉 감소량이 임계값보다 작을 때에, 상기 감소량에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 시간이 짧아지도록, 해당 처리 시간의 보정량을 산출한다.
에칭 시스템(1)은, 제 2 조건 보정부(219)에 의해 산출된 보정량에 근거하여 플라스마 에칭 처리의 처리 조건을 보정하고, 대응하는 웨이퍼 W에 대해, 보정 후의 처리 조건으로 플라스마 에칭 처리를 행한다.
즉, 제 2 조건 보정부(219)는, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출된 플라스마 에칭 후의 웨이퍼 W에 대한 정보를, 에칭 시스템(1)에 의한 플라스마 에칭에 피드백하기 위한 것이다.
제 2 조건 보정부(219)가 상기 플라스마 에칭 후의 웨이퍼 W에 대한 정보에 근거하여 처리 조건의 보정의 필요 여부의 판정 및 보정량의 산출을 행하기 위해 필요한 데이터는, 제 2 보정용 데이터로서 미리 취득되어 기억부(42)에 기억된다. 제 2 보정용 데이터는, 예를 들면, 상기 판정에 이용되는 검사 항목(정보), 그것에 관련되는 임계값 및 상기 허용 범위, 임계값을 하회한 검사 항목의 종류와 보정해야 할 처리 조건의 종류가 대응된 테이블, 상기 검사 항목의 산출 결과와 처리 조건의 보정량이 대응된 테이블 등을 포함한다.
처리 결과 판정부(220)는, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출된 플라스마 에칭 후의 웨이퍼 W에 대한 정보에 근거하여, 행해진 플라스마 에칭의 결과를 판정한다. 처리 결과 판정부(220)는, 예를 들면, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출된, 플라스마 에칭 후의 웨이퍼 W에 대한 정보 중 적어도 어느 하나에 근거하여, 플라스마 에칭의 결과 즉 성과의 레벨을 판정한다.
처리 결과 판정부(220)에 의한 플라스마 에칭의 결과의 판정에는, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217)에 의해 산출된 플라스마 에칭 전의 웨이퍼 W에 대한 정보도 이용해도 좋다. 구체적으로는, 예를 들면, 처리 결과 판정부(220)가, 상기 플라스마 에칭 전의 웨이퍼 W에 대한 정보와 상기 플라스마 에칭 후의 웨이퍼 W에 대한 정보의 차분에 근거하여, 플라스마 에칭의 결과의 레벨을 판정해도 좋다.
상술의 치수 환산 데이터나, 결함 검출용 데이터, 막 두께 환산 데이터, 외관 산출용 데이터, 제 1 보정용 데이터, 제 2 보정용 데이터, 결과 판정용 데이터는 각각 기억부(42)에 복수 종류 기억되어 있어도 좋다. 예를 들면, 치수 환산 데이터, 결함 검출용 데이터, 막 두께 환산 데이터, 외관 산출용 데이터, 제 1 보정용 데이터, 제 2 보정용 데이터 및 결과 판정용 데이터는, 에칭 대상층의 종류에 따라 다른 것이 기억부(42)에 기억되어 있어도 좋다.
처리 결과 판정부(220)가 상기 플라스마 에칭 후의 웨이퍼 W에 대한 정보에 근거하여 플라스마 에칭의 결과를 판정하기 위해서 필요한 데이터는, 결과 판정용 데이터로서 미리 취득되어 기억부(42)에 기억된다. 결과 판정용 데이터는, 예를 들면, 판정에 이용되는 검사 항목(정보), 상기 검사 항목의 산출 결과와 레벨이 대응된 테이블을 포함한다.
주 제어 장치 CU1은, 웨이퍼 W의 검사를 포함하는 웨이퍼 처리를 행할 때, 처리 대상의 웨이퍼 W에 대한 처리 레시피를, 미리 취득하고, 또는, 처리를 개시할 때에 취득하고, 기억부(32)에 저장 즉 기억한다. 처리 레시피에는, 검사 레시피가 포함되어 있다. 검사 레시피에는, 대상의 웨이퍼 W에 대해, 예를 들면, 이하가 미리 설정 즉 지정되어 있다.
(1) 플라스마 에칭 전에 검사가 필요한지 여부의 정보
(2) 플라스마 에칭 후의 검사로 산출이 필요한 검사 항목
(3) 플라스마 에칭 전의 검사로 산출이 필요한 검사 항목(필요한 경우에만 설정된다)
(4) 웨이퍼 W에 있어서 검사 항목을 산출해야 할 영역
(5) 검사 항목의 산출에 이용해야 할 데이터(예를 들면 치수 환산 데이터의 종별이나 결과 판정용 데이터의 종별 등)
(6) 대상의 웨이퍼 W에 대해 이용해야 할 제 1 보정용 데이터(필요한 경우에만 설정된다)
(7) 대상의 웨이퍼 W에 대해 이용해야 할 제 2 보정용 데이터(필요한 경우에만 설정된다)
(8) 대상의 웨이퍼 W에 대해 이용해야 할 결과 판정용 데이터(필요한 경우에만 설정된다)
또, 주 제어 장치 CU1의 판정부(241)는, 처리 대상의 웨이퍼 W에 대해, 해당 웨이퍼 W에 대해 설정된 처리 레시피에 포함되는 검사 레시피에 근거하여, 플라스마 에칭 전의 검사의 필요 여부를 판정한다.
이 판정 결과에 따라, 검사용 제어 장치 CU2에 의한 플라스마 에칭 전의 검사가 행해진다.
<웨이퍼 처리>
다음에, 에칭 시스템(1)을 이용하여 행해지는, 웨이퍼 W의 검사를 포함하는 웨이퍼 처리에 대해, 도 5를 이용하여 설명한다. 도 5는, 에칭 시스템(1)을 이용하여 행해지는 상기 웨이퍼 처리의 일례를 설명하기 위한 흐름도이다.
(스텝 S1)
우선, 도 5에 나타내는 바와 같이, 처리 대상의 웨이퍼 W에 대해, 주 제어 장치 CU1의 판정부(241)에 의해, 플라스마 에칭 전의 검사의 필요 여부가 판정된다.
이 판정은, 예를 들면, 처리 대상의 웨이퍼 W에 대해 설정된 처리 레시피에 근거하여 행해진다.
처리 대상의 웨이퍼 W의 일례로서는, 웨이퍼 표면에 실리콘 산화층 및 레지스트층이 이 순서로 형성된 웨이퍼를 들 수 있다. 이 웨이퍼는, 예를 들면, 레지스트층을 마스크로 해서 산화층에 라인&스페이스를 형성하는 것이다.
처리 대상의 웨이퍼 W의 다른 일례로서는, 웨이퍼 표면에 폴리 실리콘층 및 레지스트층이 이 순서로 형성된 웨이퍼를 들 수 있다. 이 웨이퍼는, 예를 들면, 레지스트층을 마스크로 해서 폴리 실리콘층에 게이트를 형성하는 것이다.
(스텝 S2)
플라스마 에칭 전의 검사가 불필요하다고 판정된 경우(No의 경우), 처리는 스텝 S8로 진행되지만, 한편, 플라스마 에칭 전의 검사가 필요하다고 판정된 경우(Yes의 경우), 처리 대상의 웨이퍼 W에 대한 플라스마 에칭 전의 검사가 개시되고, 처리 대상의 웨이퍼 W가, 검사용의 촬상 모듈 IM에 반송된다. 구체적으로는, 주 제어 장치 CU1의 제어 아래, 반송 기구 TR3에 의해, 처리 대상의 웨이퍼 W가, 풉 F로부터 꺼내져, 검사용의 촬상 모듈 IM에 반송된다.
또, 이때, 주 제어 장치 CU1에 의해, 처리 대상의 웨이퍼 W에 대해 설정된 처리 레시피에 근거하여, 예를 들면 이하의 (3)~(6)이, 에칭 전의 검사용 정보로서, 검사용 제어 장치 CU2에 출력된다.
(3) 플라스마 에칭 전의 검사로 산출이 필요한 검사 항목
(4) 웨이퍼 W에 있어서 검사 항목을 산출해야 할 영역
(5) 검사 항목의 산출에 이용해야 할 데이터
(6) 대상의 웨이퍼 W에 대해 이용해야 할 제 1 보정용 데이터
(스텝 S3)
그 후, 검사용 제어 장치 CU2의 제어 아래, 촬상 모듈 IM에 있어서, 플라스마 에칭 전의 웨이퍼 W의 표면을 스캔하도록 촬상 디바이스(130)에 의한 촬상이 행해진다.
(스텝 S4)
그 다음에, 검사용 제어 장치 CU2의 취득부(211)에 의해, 촬상 디바이스(130)에 의한 플라스마 에칭 전의 웨이퍼 W의 촬상 결과에 근거하여, 해당 웨이퍼 W의 화상 데이터가 취득되어, 화상 데이터 저장부(231)에 저장된다.
여기서 취득되어 저장되는 화상 데이터는 예를 들면 RGB 데이터이다.
(스텝 S5)
계속하여, 검사용 제어 장치 CU2에 의해, 플라스마 에칭 전의 웨이퍼 W의 검사 항목의 정보의 산출 및 저장이 행해진다.
구체적으로는, 필요 정보 선택부(213)에 의해, 전술의 에칭 전의 검사용 정보에 포함되는 상기 (3)의 정보에 근거하여, 검사를 행할 필요가 있는 검사 항목이 선택된다. 이것에 의해, 웨이퍼 W 상의 패턴의 치수와, 웨이퍼 W 상의 결함의 정보, 웨이퍼 W 상의 막의 두께 및 웨이퍼 W의 외관의 정보 중 적어도 어느 하나가 선택된다.
그리고, 선택된 검사 항목의 정보가, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217) 중 대응하는 산출부에 의해, 플라스마 에칭 전의 웨이퍼 W의 화상 데이터에 근거하여 산출됨과 아울러, 처리 전 정보 저장부(232)에 저장된다.
예를 들면, 치수 산출부(214)에 의해, 상기 검사용 정보에 포함되는 상기 (4)로 지정된 영역의 화상 데이터와 상기 (5)로 지정된 치수 환산 데이터에 근거하여, 플라스마 에칭 전의 웨이퍼 W 상의 패턴의 치수로서, 상기 영역 상의 패턴의 치수가 산출된다.
검사를 행할 필요가 있는 검사 항목으로서, 결함이 선택된 경우, 결함 정보 산출부(215)에 의해, 예를 들면, 웨이퍼 W 전체의 화상 데이터와 상기 검사용 정보에 포함되는 상기 (5)로 지정된 결함 검출용 데이터에 근거하여, 플라스마 에칭 전의 웨이퍼 W 상의 결함의 정보로서, 해당 웨이퍼 W의 표면 전체의 결함수가 산출된다.
검사를 행할 필요가 있는 검사 항목으로서, 막 두께가 선택된 경우, 막 두께 산출부(216)에 의해, 예를 들면, 상기 검사용 정보에 포함되는 상기 (4)로 지정된 영역의 화상 데이터와 상기 (5)로 지정된 막 두께 환산 데이터에 근거하여, 플라스마 에칭 전의 웨이퍼 W 상의 막의 두께로서 상기 영역 상의 막의 두께가 산출된다. 에칭 대상층 상의 레지스트 패턴의 막 두께가 산출되는 경우는, 형성되어 있는 개구의 수가 적고 대부분이 레지스트막으로 덮여 있는 영역의 화상 데이터에 근거하여 산출되어도 좋다. 또, 에칭 대상층 위에 레지스트 패턴이 형성되어 있을 때 에칭 대상의 막 두께가 산출되는 경우에는, 레지스트 패턴으로부터 에칭 대상층이 노출되어 있는 영역 즉 레지스트 패턴의 개구 부분에 대응하는 영역의 화상 데이터에 근거하여 산출되어도 좋다.
검사를 행할 필요가 있는 검사 항목으로서, 외관이 선택된 경우, 외관 정보 산출부(217)에 의해, 예를 들면, 상기 검사용 정보에 포함되는 상기 (5)로 지정된 외관 산출용 데이터에 근거하여, 플라스마 에칭 전의 웨이퍼 W의 외관의 정보로서, 해당 웨이퍼 W의 화상 데이터의 일부를 추출한 화상 데이터가 산출된다.
스텝 S5의 검사 항목의 정보의 산출에 이용되는 플라스마 에칭 전의 웨이퍼 W의 화상 데이터는, 화상 데이터 보정부(212)에 의해 보정된 데이터여도 좋다. 화상 데이터 보정부(212)는, 예를 들면, 타이머(도시하지 않음)에 의한 광원(132)의 누적 사용 시간의 측정 결과 또는 온도 센서(도시하지 않음)에 의한 광원(132)의 온도의 측정 결과와, 전술의 환산 테이블에 근거하여, 플라스마 에칭 전의 웨이퍼 W의 화상 데이터를 보정한다.
(스텝 S6)
그 다음에, 제 1 조건 보정부(218)에 의해, 스텝 S5에서 산출된 검사 항목의 정보에 근거하여, 처리 대상의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정의 필요 여부가 판정된다.
구체적으로는, 제 1 조건 보정부(218)에 의해, 예를 들면, 스텝 S5에서 산출된 검사 항목의 정보와 전술의 에칭 전의 검사용 정보에 포함되는 상기 (5)로 지정된 제 1 보정용 데이터에 근거하여, 상기 보정의 필요 여부가 판정된다.
또한, 스텝 S5에서 산출된 검사 항목의 정보가 이상(異常)값을 나타내는 경우(예를 들면 결함수가 임계값을 넘는 경우나 외관 데이터가 이상한 데이터와 일치하는 경우 등)에는, 스텝 S6 이후의 처리를 중지해도 좋고, 또한, 에칭 시스템(1)의 가동을 정지하고, 이후의 웨이퍼 W에 대한 웨이퍼 처리를 중지해도 좋다.
(스텝 S7)
스텝 S6에 있어서, 보정이 불필요하다고 판정된 경우(No의 경우)에는, 처리는 스텝 S9로 진행되지만, 보정이 필요하다고 판정된 경우(Yes의 경우)에는, 제 1 조건 보정부(218)에 의해, 처리 대상의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정량이 산출되어, 주 제어 장치 CU1에 출력된다.
구체적으로는, 제 1 조건 보정부(218)에 의해, 예를 들면, 스텝 S5에서 산출된 검사 항목의 정보와 전술의 에칭 전의 검사용 정보에 포함되는 상기 (6)으로 지정된 제 1 보정용 데이터에 근거하여, 처리 대상의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정량이 산출되어, 주 제어 장치 CU1에 출력된다. 그 후, 처리는, 스텝 S9로 진행된다.
(스텝 S8)
예를 들면 상술의 스텝 S4~S7과 평행하게 행해지는 스텝 S8에서는, 처리 대상의 웨이퍼 W가, 검사용의 촬상 모듈 IM으로부터 소망한 에칭 모듈에 반송된다(여기에서는 에칭 모듈 PM1에 반송되는 것으로 한다).
구체적으로는, 주 제어 장치 CU1의 제어 아래, 처리 대상의 웨이퍼 W가, 반송 기구 TR3에 의해, 풉 F 또는 검사용의 촬상 모듈 IM로부터 꺼내지고, 예를 들면 로드 록 모듈 LL1에 반송된다. 그 후, 처리 대상의 웨이퍼 W가, 진공 반송 기구 TR1에 의해, 로드 록 모듈 LL1로부터 꺼내지고, 에칭 모듈 PM1에 반송된다. 그 후, 처리는, 스텝 S9로 진행된다.
(스텝 S9)
스텝 S9에서는, 처리 대상의 웨이퍼 W에 대해, 플라스마 에칭이 행해진다. 스텝 S7에서 보정량이 산출된 후에 이어 행해지는 스텝 S9에서는, 스텝 S7에서 산출된 보정량에 근거하여 보정된 처리 조건으로, 처리 대상의 웨이퍼 W에 대해, 플라스마 에칭이 행해진다. 또한, 스텝 S6에서 보정 불필요라고 판정된 경우나, 스텝 S1에서 플라스마 에칭 전의 검사가 불필요라고 판정된 경우, 스텝 S9에서는, 처리 레시피에서 미리 정해진 처리 조건으로 플라스마 에칭이 행해진다.
(스텝 S10)
플라스마 에칭 후, 플라스마 에칭 후의 웨이퍼 W의 검사가 개시되고, 해당 웨이퍼 W가, 검사용의 촬상 모듈 IM에 반송된다.
구체적으로는, 주 제어 장치 CU1의 제어 아래, 우선, 플라스마 에칭 후의 웨이퍼 W가, 진공 반송 기구 TR1에 의해, 에칭 모듈 PM1로부터 꺼내지고, 예를 들면 로드 록 모듈 LL2에 반송된다. 그 후, 플라스마 에칭 후의 웨이퍼 W가, 진공 반송 기구 TR1에 의해, 로드 록 모듈 LL2로부터 검사용의 촬상 모듈 IM에 반송된다.
또, 이때, 주 제어 장치 CU1에 의해, 해당 웨이퍼 W에 대해 설정된 처리 레시피에 근거하여, 예를 들면 이하의 (2), (4), (5), (7), (8)이, 에칭 후의 검사용 정보로서, 검사용 제어 장치 CU2에 출력된다.
(2) 플라스마 에칭 후의 검사로 산출이 필요한 검사 항목
(4) 웨이퍼 W에 있어서 검사 항목을 산출해야 할 영역
(5) 검사 항목의 산출에 이용해야 할 데이터
(7) 대상의 웨이퍼 W에 대해 이용해야 할 제 2 보정용 데이터
(8) 대상의 웨이퍼 W에 대해 이용해야 할 결과 판정용 데이터
(스텝 S11)
그 후, 검사용 제어 장치 CU2의 제어 아래, 촬상 모듈 IM에 있어서, 플라스마 에칭 후의 웨이퍼 W의 표면을 스캔하도록 촬상 디바이스(130)에 의한 촬상이 행해진다.
(스텝 S12)
그 다음에, 검사용 제어 장치 CU2의 취득부(211)에 의해, 촬상 디바이스(130)에 의한 플라스마 에칭 후의 웨이퍼 W의 촬상 결과에 근거하여, 해당 웨이퍼 W의 화상 데이터가 취득되어, 화상 데이터 저장부(231)에 저장된다.
여기서 취득되어 저장되는 화상 데이터는 예를 들면 RGB 데이터이다.
(스텝 S13)
계속하여, 검사용 제어 장치 CU2에 의해, 플라스마 에칭 후의 웨이퍼 W의 검사 항목의 정보의 산출 및 저장이 행해진다.
구체적으로는, 필요 정보 선택부(213)에 의해, 전술의 에칭 후의 검사용 정보에 포함되는 상기 (2)의 정보에 근거하여, 검사를 행할 필요가 있는 검사 항목이 선택된다. 이것에 의해, 웨이퍼 W 상의 패턴의 치수와, 웨이퍼 W 상의 결함의 정보, 웨이퍼 W 상의 막의 두께 및 웨이퍼 W의 외관의 정보 중 적어도 어느 하나가 선택된다.
그리고, 선택된 검사 항목의 정보가, 치수 산출부(214), 결함 정보 산출부(215), 막 두께 산출부(216) 및 외관 정보 산출부(217) 중 대응하는 산출부에 의해, 프라즈마 에칭 후의 웨이퍼 W의 화상 데이터에 근거하여 산출됨과 아울러, 처리 후 정보 저장부(233)에 저장된다.
예를 들면, 치수 산출부(214)에 의해, 상기 검사용 정보에 포함되는 상기 (4)로 지정된 영역의 화상 데이터와 상기 (5)로 지정된 치수 환산 데이터에 근거하여, 플라스마 에칭 후의 웨이퍼 W 상의 패턴의 치수로서, 상기 영역 상의 패턴의 치수가 산출된다.
검사를 행할 필요가 있는 검사 항목으로서 결함이 선택된 경우, 결함 정보 산출부(215)에 의해, 예를 들면, 웨이퍼 W 전체의 화상 데이터와 상기 검사용 정보에 포함되는 상기 (5)로 지정된 결함 검출용 데이터에 근거하여, 플라스마 에칭 후의 웨이퍼 W 상의 결함의 정보로서, 해당 웨이퍼 W의 표면 전체의 결함수가 산출된다.
검사를 행할 필요가 있는 검사 항목으로서, 막 두께가 선택된 경우, 막 두께 산출부(216)에 의해, 예를 들면, 상기 검사용 정보에 포함되는 상기 (4)로 지정된 영역의 화상 데이터와 상기 (5)로 지정된 막 두께 환산 데이터에 근거하여, 플라스마 에칭 후의 웨이퍼 W 상의 막의 두께로서, 상기 영역 상의 막의 두께가 산출된다.
검사를 행할 필요가 있는 검사 항목으로서, 외관이 선택된 경우, 외관 정보 산출부(217)에 의해, 예를 들면, 상기 검사용 정보에 포함되는 상기 (5)로 지정된 외관 산출용 데이터에 근거하여, 플라스마 에칭 후의 웨이퍼 W의 외관의 정보로서, 해당 웨이퍼 W의 화상 데이터의 일부를 추출한 화상 데이터가 산출된다.
스텝 S13의 검사 항목의 정보의 산출에 이용되는 플라스마 에칭 후의 웨이퍼 W의 화상 데이터는, 화상 데이터 보정부(212)에 의해 보정된 데이터여도 좋다.
(스텝 S14)
그 다음에, 처리 결과 판정부(220)에 의해, 스텝 S13에서 산출된 검사 항목의 정보에 근거하여, 플라스마 에칭의 결과의 판정이 행해진다.
구체적으로는, 처리 결과 판정부(220)에 의해, 스텝 S13에서 산출된 검사 항목의 정보 중 적어도 어느 하나에 근거하여, 플라스마 에칭의 결과 즉 성과의 레벨의 판정이 행해진다.
이 판정에는, 스텝 S13에서 산출된 검사 항목의 정보와, 해당 정보에 대응하는 스텝 S5에서 산출된 검사 항목의 정보의 차분을 이용해도 좋다. 이것에 의해, 보다 정확한 판정을 행할 수 있다.
또한, 스텝 S13에서 산출된 검사 항목의 정보가 이상값을 나타내는 경우(예를 들면 결함수가 임계값을 넘는 경우나 외관 데이터가 이상한 데이터와 일치하는 경우 등)에는, 에칭 시스템(1)의 가동을 정지하고, 이후의 웨이퍼 W에 대한 웨이퍼 처리를 중지해도 좋다.
(스텝 S15)
처리 결과 판정부(220)에 의한 플라스마 에칭의 판정 결과는, 예를 들면, 에칭 시스템(1)의 외부의 품질 관리 서버에 출력된다.
(스텝 S16)
또, 제 2 조건 보정부(219)에 의해, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정량이 산출되어, 주 제어 장치 CU1에 출력된다.
구체적으로는, 제 2 조건 보정부(219)에 의해, 예를 들면, 스텝 S13에서 산출된 검사 항목의 정보와 전술의 에칭 후의 검사용 정보에 포함되는 상기 (7)로 지정된 제 2 보정용 데이터에 근거하여, 이후의 웨이퍼 W에 대한 플라스마 에칭의 처리 조건의 보정량이 산출된다.
이 산출에는, 스텝 S13에서 산출된 검사 항목의 정보와, 해당 정보에 대응하는 스텝 S5에서 산출된 검사 항목의 정보의 차분을 이용해도 좋다. 이것에 의해, 보다 적절한 처리 조건을 산출할 수 있다.
(스텝 S17)
예를 들면 상술의 스텝 S11~S16과 평행하게 행해지는 스텝 S17에서는, 플라스마 에칭 후의 웨이퍼 W가, 에칭 시스템(1)으로부터 반출된다. 구체적으로는, 주 제어 장치 CU1의 제어 아래, 반송 기구 TR3에 의해, 플라스마 에칭 후의 웨이퍼 W가, 검사용의 촬상 모듈 IM로부터 풉 F에 반출된다.
이상의 각 공정이, 웨이퍼 W마다 행해진다.
이상과 같이, 본 실시 형태에 따른 검사에서는, 에칭 시스템(1)의 촬상 모듈 IM의 촬상 결과에 근거하여 취득된 플라스마 에칭 후의 웨이퍼 W의 화상 데이터에 근거하여, 플라스마 에칭 후의 웨이퍼 W 상의 패턴의 치수와, 플라스마 에칭 후의 웨이퍼 W 상의 결함의 정보와, 플라스마 에칭 후의 웨이퍼 W 상의 막의 두께 및 플라스마 에칭 후의 웨이퍼 W의 외관의 정보 중 적어도 어느 하나를 산출한다. 즉, 본 실시 형태에 따른 검사에서는, 각 검사 항목의 정보의 산출에 이용하는 플라스마 에칭 처리 후의 웨이퍼 W의 화상 데이터는, 같은 촬상 모듈 IM로 같은 타이밍에 촬상된 결과로부터 작성할 수 있다. 그 때문에, 검사 항목마다 다른 모듈에 반송할 필요가 없다. 따라서, 플라스마 에칭 후의 웨이퍼에 관한, 웨이퍼 W 상의 패턴의 치수를 포함하는 복수의 검사 항목에 대해, 높은 스루풋으로 검사를 행할 수 있다.
또, 본 실시 형태에서는, 플라스마 에칭 후의 웨이퍼 W에 대해서와 같은 이유로써, 플라스마 에칭 전의 웨이퍼 W에 관한, 웨이퍼 W 상의 패턴의 치수를 포함하는 복수의 검사 항목에 대해, 높은 스루풋으로 검사를 행할 수 있다.
또한, 본 실시 형태에서는, 각종 검사 항목의 정보의 산출에 이용하는 화상 데이터를, 광원(132)의 누적 사용 시간 등에 근거하여, 화상 데이터 보정부(212)에 의해 보정하고 있다. 그 때문에, 플라스마 에칭 후의 웨이퍼 W 상의 패턴의 치수의 산출 등을 보다 정확하게 행할 수 있다. 따라서, 플라스마 에칭의 결과를 보다 정확하게 판정할 수 있다.
또, 본 실시 형태에서는, 제 1 조건 보정부(218)가, 플라스마 에칭 전의 웨이퍼 W에 관한 검사 항목의 정보의 산출 결과에 근거하여, 처리 대상의 웨이퍼 W의 플라스마 에칭의 처리 조건을 산출하고 있다. 따라서, 처리 대상의 웨이퍼 W에 대해, 적절한 플라스마 에칭 처리 결과를 얻을 수 있다.
또한, 본 실시 형태에서는, 제 2 조건 보정부(219)가, 플라스마 에칭 후의 웨이퍼 W에 관한 검사 항목의 정보의 산출 결과에 근거하여, 이후의 웨이퍼 W의 플라스마 에칭의 처리 조건을 산출하고 있다. 따라서, 이후의 웨이퍼 W에 대해, 적절한 플라스마 에칭 처리 결과를 얻을 수 있다.
<변형예 1>
도 5를 이용하여 설명한 웨이퍼 처리를 제품 웨이퍼 W마다 행함과 아울러, 제품 웨이퍼 W와는 다른 검사용 웨이퍼 W에 대해, 이하가 자동적으로 행해지도록 해도 좋다. 즉, 플라스마 에칭 후의 검사용 웨이퍼 W를 촬상 모듈 IM로 촬상하여 검사용 웨이퍼 W의 화상 데이터를 취득하는 공정과, 플라스마 에칭 후의 검사용 웨이퍼의 화상 데이터에 근거하여 해당 검사용 웨이퍼 W의 검사를 행하는 공정이 자동적으로 행해지도록 해도 좋다. 이와 같이 검사를 행하는 것에 의해, 에칭 특성을 포함하는 에칭 시스템으로서의 건전성을 확보할 수 있다. 바꾸어 말하면, 소망한 에칭 성능이 얻어지고 있는지를 판정할 수 있다.
검사용 웨이퍼 W는, 예를 들면, 에칭 대상층만이 형성되고 마스크 패턴이 형성되어 있지 않은 웨이퍼 W이다. 검사용 웨이퍼 W는 예를 들면 복수매 모아서, 로드 포트 LP1 등 상의 특정의 풉 F에 수용되어 있다.
또, 검사용 웨이퍼 W에 관한 상기의 공정이 행해지는 타이밍은, 예를 들면, 이하의 정보에 근거하여, 주 제어 장치 CU1에 의해 결정된다. 또한, 이하의 정보는 예를 들면 기억부(32)에 기억되어 있다.
·미리 지정된 빈도(예를 들면, 처리 매수, 처리 로트수, 누적 처리 시간 등)
·에칭 시스템(1)의 메인트넌스의 실시 상황(예를 들면, 메인트넌스가 행해졌는지 여부의 정보)
·에칭 시스템(1)에 있어서의 제품 기판에 대한 플라스마 에칭의 이력(예를 들면, 대량으로 반응 생성물이 발생하는 처리 조건이 연속되었는지 여부의 정보 등)
상기 소정의 조건을 만족시키는지 여부의 판정은, 예를 들면 주 제어 장치 CU1로 행해지고, 판정에 필요한 정보는 예를 들면 미리 취득되어 기억부(32)에 기억된다.
플라스마 에칭 후의 검사용 웨이퍼의 화상 데이터에 근거하여 해당 검사용 웨이퍼 W의 검사를 행하는 공정에서는, 예를 들면, 검사용 제어 장치 CU2에 의해, 플라스마 에칭 후의 검사용 웨이퍼 W에 대해, 플라스마 에칭 후의 제품 웨이퍼 W와 같은 검사 항목의 정보가 산출된다.
또, 제품 웨이퍼 W에 대한 플라스마 에칭과 마찬가지로, 검사용 웨이퍼 W에 대한 플라스마 에칭의 처리 결과를 판정해도 좋다. 이 경우, 플라스마 에칭 후의 검사용 웨이퍼 W의 화상 데이터에 근거하여 산출된, 플라스마 에칭 후의 검사용 웨이퍼 W에 대한 검사 항목의 정보뿐만이 아니라, 플라스마 에칭 전의 검사용 웨이퍼 W의 화상 데이터에 근거하여 산출된 플라스마 에칭 전의 검사용 웨이퍼 W에 대한 검사 항목의 정보도, 플라스마 에칭의 처리 결과의 판정에 이용해도 좋다.
검사용 웨이퍼 W를 이용한 검사는, 에칭 모듈 PM1~PM12마다 행해도 좋다.
<변형예 2>
이상의 실시 형태에서는, 본 개시에 따른 「산출부」는, 플라스마 에칭 후의 웨이퍼 W의 화상 데이터로부터, 플라스마 에칭 후의 웨이퍼 W에 대한 검사 항목으로서, 해당 웨이퍼 W 상의 패턴 치수와, 해당 웨이퍼 W 상의 결함의 정보, 해당 웨이퍼 W 상의 막의 두께 및 해당 웨이퍼 W의 외관의 정보 중 적어도 어느 하나를 산출하고 있었다. 본 개시에 따른 「산출부」는, 플라스마 에칭 후의 웨이퍼 W의 화상 데이터로부터, 플라스마 에칭 후의 웨이퍼 W에 대한 검사 항목으로서, 해당 웨이퍼 W 상의 패턴의 삼차원 형상을 더 산출해도 좋다. 상기 패턴의 삼차원 형상이란, 예를 들면, 라인 패턴의 라인의 삼차원 형상이다.
삼차원 형상의 산출을 위해, 촬상 모듈 IM에, 서로 파장이 다른 광을 출사하는 복수의 광원을 마련해도 좋다. 그리고, 각 파장의 광에 대해 웨이퍼 W의 화상 데이터를 취득하고, 그 취득 결과에 근거하여, 삼차원 형상을 취득해도 좋다.
<그 외의 변형예>
이상의 실시 형태에서는, 촬상 모듈 IM은, 대기압 분위기 내에 위치하는 웨이퍼 W를 촬상하도록 구성되어 있었다. 이것에 대신하여, 촬상 모듈 IM은, 감압 분위기 내에 위치하는 웨이퍼 W를 촬상하도록 구성되어 있어도 좋다. 이 경우, 촬상 모듈 IM은, 예를 들면, 도 1의 에칭 모듈 PM1~PM12 중 어느 하나의 위치에 마련된다. 또, 상술의 경우, 촬상 모듈 IM은, 도 2 및 도 3과 같은 구성이어도 좋고, 촬상 디바이스(130)가 감압 분위기 중에 있어서의 정확한 동작이 곤란한 때에는, 촬상 디바이스(130)를 케이싱(110)의 외부에 마련하고, 촬상 디바이스(130)가, 케이싱(110)의 측벽 등에 마련된 광학 창을 통하여 케이싱(110) 안의 웨이퍼 W를 촬상하도록 해도 좋다.
이번 개시된 실시 형태는 모든 점에서 예시이며 제한적인 것은 아니라고 생각되어야 하는 것이다. 상기의 실시 형태는, 첨부의 청구의 범위 및 그 주지를 일탈하는 일 없이, 여러 가지 형태로 생략, 치환, 변경되어도 좋다.
1 에칭 시스템
IM 촬상 모듈
PM1~PM12 플라스마 에칭 모듈
W 웨이퍼

Claims (19)

  1. 촬상 장치를 구비하는 에칭 시스템에 있어서의, 기판의 검사 방법으로서,
    (A) 플라스마 에칭 후의 기판을 상기 촬상 장치로 촬상하여 화상 데이터를 취득하는 공정과,
    (B) 플라스마 에칭 후의 기판의 화상 데이터에 근거하여, 플라스마 에칭 후의 기판 상의 패턴의 치수와, 플라스마 에칭 후의 기판 상의 결함의 정보, 플라스마 에칭 후의 기판 상의 막의 두께 및 플라스마 에칭 후의 기판의 외관의 정보 중 적어도 어느 하나를 산출하는 공정을 포함하는 검사 방법.
  2. 제 1 항에 있어서,
    (C) 플라스마 에칭 전의 기판을 상기 촬상 장치로 촬상하여 화상 데이터를 취득하는 공정과,
    (D) 플라스마 에칭 전의 기판의 화상 데이터에 근거하여, 플라스마 에칭 전의 기판 상의 패턴의 치수와, 플라스마 에칭 전의 기판 상의 결함의 정보, 플라스마 에칭 전의 기판 상의 막의 두께 및 플라스마 에칭 전의 기판의 외관의 정보 중 적어도 어느 하나를 산출하는 공정을 포함하는 검사 방법.
  3. 제 2 항에 있어서,
    플라스마 에칭 전의 기판 검사의 필요 여부를 판정하는 공정을 포함하고,
    플라스마 에칭 전의 기판 검사가 필요하다고 판정된 경우에, 상기 (C) 공정 및 상기 (D) 공정을 행하는 검사 방법.
  4. 제 2 항 또는 제 3 항에 있어서,
    상기 (D) 공정에서 이용되는 상기 플라스마 에칭 전의 기판의 화상 데이터를 보정하는 검사 방법.
  5. 제 2 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 (D) 공정은, 플라스마 에칭 전의 기판 상의 패턴의 삼차원 형상을 더 산출하는 검사 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 (B) 공정에서 취득된 플라스마 에칭 후의 기판의 정보에 근거하여, 플라스마 에칭의 결과를 판정하는 공정을 포함하는 검사 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 (B) 공정에서 이용되는 상기 플라스마 에칭 후의 기판의 화상 데이터를 보정하는 검사 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 (B) 공정은, 플라스마 에칭 후의 기판 상의 패턴의 삼차원 형상을 더 산출하는 검사 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    검사용 기판에 대한 상기 (A) 공정과,
    (E) 플라스마 에칭 후의 상기 검사용 기판의 화상 데이터에 근거하여 상기 검사용 기판의 검사를 행하는 공정을 자동적으로 행하는 검사 방법.
  10. 제 9 항에 있어서,
    상기 검사용 기판에 대한 상기 (A) 공정과 상기 (E) 공정을 행하는 타이밍을, 미리 지정된 빈도, 메인트넌스의 실시 상황 및 제품 기판에 대한 플라스마 에칭의 이력 중 적어도 어느 하나에 근거하여, 결정하는 공정을 포함하는 검사 방법.
  11. 기판의 검사 방법으로서,
    (a) 촬상 장치를 구비하는 에칭 시스템에 있어서 취득된, 플라스마 에칭 후의 기판의 화상 데이터에 근거하여, 플라스마 에칭 후의 기판 상의 패턴의 치수와, 플라스마 에칭 후의 기판 상의 결함의 정보, 플라스마 에칭 후의 기판 상의 막의 두께 및 플라스마 에칭 후의 기판의 외관의 정보 중 적어도 어느 하나를 산출하는 공정을 포함하는 검사 방법.
  12. 제 11 항에 있어서,
    (b) 상기 에칭 시스템에 있어서 취득된, 플라스마 에칭 전의 기판의 화상 데이터에 근거하여, 플라스마 에칭 전의 기판 상의 패턴의 치수와, 플라스마 에칭 전의 기판 상의 결함의 정보, 플라스마 에칭 전의 기판 상의 막의 두께 및 플라스마 에칭 전의 기판의 외관의 정보 중 적어도 어느 하나를 산출하는 공정을 포함하는 검사 방법.
  13. 제 12 항에 있어서,
    플라스마 에칭 전의 기판 검사의 필요 여부를 판정하는 공정을 포함하고,
    플라스마 에칭 전의 기판 검사가 필요하다고 판정된 경우에, 상기 (b) 공정을 행하는 검사 방법.
  14. 제 12 항 또는 제 13 항에 있어서,
    상기 (b) 공정에서 이용되는 상기 플라스마 에칭 전의 기판의 화상 데이터를 보정하는 검사 방법.
  15. 제 12 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 (b) 공정은, 플라스마 에칭 전의 기판 상의 패턴의 삼차원 형상을 더 산출하는 검사 방법.
  16. 제 11 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 (a) 공정에서 취득된 플라스마 에칭 후의 기판의 정보에 근거하여, 플라스마 에칭의 결과를 판정하는 공정을 포함하는 검사 방법.
  17. 제 11 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 (a) 공정에서 이용되는 상기 플라스마 에칭 후의 기판의 화상 데이터를 보정하는 검사 방법.
  18. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 (b) 공정은, 플라스마 에칭 후의 기판 상의 패턴의 삼차원 형상을 더 산출하는 검사 방법.
  19. 기판을 촬상하고 화상 데이터를 취득하는 촬상 장치와,
    기판에 대해 플라스마 에칭을 행하는 에칭 장치와,
    상기 촬상 장치에 의해 취득된 플라스마 에칭 후의 기판의 화상 데이터에 근거하여, 플라스마 에칭 후의 기판 상의 패턴의 치수와, 플라스마 에칭 후의 기판 상의 결함의 정보, 플라스마 에칭 후의 기판 상의 막의 두께 및 플라스마 에칭 후의 기판의 외관의 정보 중 적어도 어느 하나를 산출하는 산출부를 구비하는 에칭 시스템.
KR1020220074546A 2021-06-22 2022-06-20 검사 방법 및 에칭 시스템 KR20220170366A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2021-103004 2021-06-22
JP2021103004A JP2023002023A (ja) 2021-06-22 2021-06-22 検査方法及び検査システム

Publications (1)

Publication Number Publication Date
KR20220170366A true KR20220170366A (ko) 2022-12-29

Family

ID=84490667

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220074546A KR20220170366A (ko) 2021-06-22 2022-06-20 검사 방법 및 에칭 시스템

Country Status (5)

Country Link
US (1) US20220406634A1 (ko)
JP (1) JP2023002023A (ko)
KR (1) KR20220170366A (ko)
CN (1) CN115508384A (ko)
TW (1) TW202314888A (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117241483B (zh) * 2023-10-25 2024-04-12 广东达源设备科技有限公司 用于电路板生产的喷淋装置和方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002190446A (ja) 2000-09-28 2002-07-05 Tokyo Electron Ltd レジストパターン形成装置及びその方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002190446A (ja) 2000-09-28 2002-07-05 Tokyo Electron Ltd レジストパターン形成装置及びその方法

Also Published As

Publication number Publication date
CN115508384A (zh) 2022-12-23
TW202314888A (zh) 2023-04-01
US20220406634A1 (en) 2022-12-22
JP2023002023A (ja) 2023-01-10

Similar Documents

Publication Publication Date Title
KR102562020B1 (ko) 기판의 검사 방법, 기판 처리 시스템 및 컴퓨터 기억 매체
KR101716817B1 (ko) 화상 작성 방법, 기판 검사 방법, 그 화상 작성 방법 또는 그 기판 검사 방법을 실행시키기 위한 프로그램을 기록한 기록 매체 및 기판 검사 장치
KR102313347B1 (ko) 화상 검사 장치 및 화상 검사 방법
KR20180015652A (ko) 기판의 검사 방법, 컴퓨터 기억 매체 및 기판 검사 장치
KR20170006263A (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP2011134898A (ja) 基板処理装置、基板処理方法及び記憶媒体
US20210293531A1 (en) Film thickness measuring system and film thickness measuring method
JP2007123734A (ja) 基板処理装置
KR20220170366A (ko) 검사 방법 및 에칭 시스템
KR20190016695A (ko) 웨이퍼 정렬 방법 및 이를 이용하는 웨이퍼 검사 방법
KR20140070464A (ko) 기판의 결함 검사 방법, 기판의 결함 검사 장치 및 컴퓨터 기억 매체
JP6423064B2 (ja) 基板処理システム
KR102606069B1 (ko) 웨이퍼 검사 방법
KR102078603B1 (ko) 검사 방법 및 기판 처리 장치
US11740186B2 (en) Image acquiring method, image acquiring apparatus and wafer inspection apparatus
JP2020061417A (ja) 基板処理装置及び検査方法
KR102588842B1 (ko) 반도체 공정의 웨이퍼 결함 검출 시스템
KR102012208B1 (ko) 기판 검사 방법 및 기판 처리 장치
TWI828156B (zh) 晶圓搬運和對準的設備及其方法
KR20230021892A (ko) 기판 검사 장치 및 기판 검사 방법
US20230072713A1 (en) Inline wafer defect detection system and method
WO2023167043A1 (ja) 基板搬送方法、および半導体製造システム
CN110890288B (zh) 半导体制造系统、边缘检测装置以及检测去除区域的方法
WO2021210431A1 (ja) 基板処理システムおよび基板処理方法
US20220367220A1 (en) Substrate inspection method and substrate inspection device