KR20210087550A - Metal interconnect structure by subtractive process - Google Patents

Metal interconnect structure by subtractive process Download PDF

Info

Publication number
KR20210087550A
KR20210087550A KR1020217020279A KR20217020279A KR20210087550A KR 20210087550 A KR20210087550 A KR 20210087550A KR 1020217020279 A KR1020217020279 A KR 1020217020279A KR 20217020279 A KR20217020279 A KR 20217020279A KR 20210087550 A KR20210087550 A KR 20210087550A
Authority
KR
South Korea
Prior art keywords
layer
metal lines
patterned metal
dielectric material
patterned
Prior art date
Application number
KR1020217020279A
Other languages
Korean (ko)
Inventor
토마스 웰러 마운티어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210087550A publication Critical patent/KR20210087550A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

집적 회로의 금속 상호 접속 구조체는 2 개의 연속적인 금속화 층들의 형성에 후속하여 하나 이상의 비아들을 형성함으로써 제조될 수도 있다. 하나 이상의 비아들은 제 1 금속화 층 및 제 2 금속화 층과 완전히 정렬된다. 하드마스크 재료 또는 다른 절연 재료는 금속 상호 접속 구조체의 제조 동안 제 1 금속화 층 및 제 2 금속화 층의 상단 상에 남는다. 하드마스크 재료 또는 다른 절연 재료 중 일부는 에칭되고 후속하여 하나 이상의 비아들을 형성하기 위해 전기적으로 도전성 재료로 백필되고 (backfill), 하나 이상의 비아들은 주변 유전체 재료와 오버랩하지 않는 공간 내에 포함된다. A metal interconnect structure of an integrated circuit may be fabricated by forming two successive metallization layers followed by forming one or more vias. The one or more vias are fully aligned with the first metallization layer and the second metallization layer. A hardmask material or other insulating material remains on top of the first metallization layer and the second metallization layer during fabrication of the metal interconnect structure. Some of the hardmask material or other insulating material is etched and subsequently backfilled with an electrically conductive material to form one or more vias, the one or more vias contained within a space that does not overlap the surrounding dielectric material.

Description

제거식 (subtractive) 프로세스에 의한 금속 상호 접속 구조체Metal interconnect structure by subtractive process

집적 회로 (IC) 에 통합된 상호 접속 구조체는 IC의 전자 디바이스들을 서로 그리고 외부 접속부에 연결하기 위해 하나 이상의 레벨들의 금속 라인들을 포함한다. 금속 라인들의 레벨들은 유전체 재료의 하나 이상의 개재 층들에 의해 서로 절연될 수도 있다. 상호 접속 구조체들은 추가식 패터닝 기법 (additive patterning) 또는 제거식 패터닝 (subtractive patterning) 기법에 의해 형성될 수도 있다. 추가식 패터닝 기법은 구리 및 코발트와 같은 금속들을 사용하여 상호 접속 구조체들을 제조하도록 사용될 수도 있는, 다마신 또는 듀얼 다마신 프로세스를 포함할 수도 있다. 트렌치들 및/또는 홀들은 유전체 재료 내로 에칭되고, 금속은 트렌치들 및/또는 홀들 내로 증착되고, 초과분은 CMP (chemical-mechanical planarization) 를 사용하여 제거된다. 그러나, 제거식 패터닝 기법에서, 금속의 블랭킷 층이 증착되고 금속 내에 트렌치들 및/또는 홀들을 형성하도록 에칭되고, 그리고 유전체 재료는 트렌치들 및/또는 홀들 내로 증착된다. An interconnect structure incorporated in an integrated circuit (IC) includes one or more levels of metal lines to connect the electronic devices of the IC to each other and to external connections. The levels of metal lines may be insulated from each other by one or more intervening layers of dielectric material. The interconnect structures may be formed by an additive patterning technique or a subtractive patterning technique. The additive patterning technique may include a damascene or dual damascene process, which may be used to fabricate interconnect structures using metals such as copper and cobalt. The trenches and/or holes are etched into the dielectric material, metal is deposited into the trenches and/or holes, and the excess is removed using chemical-mechanical planarization (CMP). However, in a removable patterning technique, a blanket layer of metal is deposited and etched to form trenches and/or holes in the metal, and a dielectric material is deposited into the trenches and/or holes.

본 명세서에 제공된 배경기술은 본 개시의 맥락을 일반적으로 제시할 목적들이다. 이 배경기술에 기술되는 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술 (description) 의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.The background provided herein is for the purpose of generally presenting the context of the present disclosure. The achievements of the inventors named herein to the extent described in this background, as well as aspects of the description that may not otherwise be certified as prior art at the time of filing, are expressly or implied prior to the present disclosure. is not recognized as

참조로서 인용quoted by reference

PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.The PCT application form is filed concurrently with this specification as part of this application. Each application claiming priority or interest as identified in the PCT application form to which this application was concurrently filed is hereby incorporated by reference in its entirety for all purposes.

금속 상호 접속 구조체를 제조하는 방법이 본 명세서에 제공된다. 방법은 제거식 패터닝 (subtractive patterning) 에 의해 기판 상에 패터닝된 금속 라인들의 제 1 층을 형성하는 단계; 및 제거식 패터닝에 의해 패터닝된 금속 라인들의 제 1 층 위에 패터닝된 금속 라인들의 제 2 층을 형성하는 단계를 포함한다. 방법은 패터닝된 금속 라인들의 제 2 층을 형성한 후, 금속 상호 접속 구조체를 형성하기 위해 패터닝된 금속 라인들의 제 1 층과 패터닝된 금속 라인들의 제 2 층 사이에 전기적 상호 접속을 제공하는 하나 이상의 비아들을 형성하는 단계를 더 포함한다. A method of making a metal interconnect structure is provided herein. The method includes forming a first layer of patterned metal lines on a substrate by subtractive patterning; and forming a second layer of patterned metal lines over the first layer of patterned metal lines by removable patterning. The method includes forming a second layer of patterned metal lines, followed by at least one providing electrical interconnection between a first layer of patterned metal lines and a second layer of patterned metal lines to form a metal interconnect structure. The method further includes forming vias.

일부 구현 예들에서, 하나 이상의 비아들을 형성하는 단계는, 적어도 패터닝된 금속 라인들의 제 2 층을 통해 패터닝된 금속 라인들의 제 1 층으로 하나 이상의 비아 개구부들을 형성하는 단계; 및 전기 도전성 재료로 하나 이상의 비아 개구부들을 충진하는 단계를 포함한다. 일부 구현 예들에서, 방법은 패터닝된 금속 라인들의 제 1 층 상에 복수의 제 1 절연 피처들을 형성하는 단계; 및 복수의 제 1 절연 피처들을 형성한 후, 제 1 층의 인접한 금속 라인들 사이의 공간들에 제 1 유전체 재료를 형성하는 단계를 더 포함한다. 방법은 패터닝된 금속 라인들의 제 2 층 상에 복수의 제 2 절연 피처들을 형성하는 단계; 및 복수의 제 2 절연 피처들을 형성한 후, 제 2 층의 인접한 금속 라인들 사이의 공간들에 제 2 유전체 재료를 형성하는 단계를 더 포함한다. 일부 구현 예들에서, 하나 이상의 비아들을 형성하는 단계는, 하나 이상의 제 2 절연 피처들을 에칭쓰루하는 (etch through) 단계; 패터닝된 금속 라인들의 제 2 층을 에칭쓰루하는 단계; 패터닝된 금속 라인들의 제 1 층을 노출하도록 하나 이상의 비아 개구부들을 형성하도록 하나 이상의 제 1 절연 피처들을 에칭쓰루하는 단계; 및 패터닝된 금속 라인들의 노출된 제 1 층 상에 하나 이상의 비아들을 형성하도록 하나 이상의 비아 개구부들 내에 전기적으로 도전성 재료를 증착하는 단계를 포함한다. 일부 구현 예들에서, 방법은 복수의 제 2 절연 피처들 및 제 2 유전체 재료 위에 비아 마스크를 형성하는 단계; 및 비아 마스크 내에 하나 이상의 홀들을 패터닝하는 단계로서, 하나 이상의 홀들은 각각 패터닝된 금속 라인들의 제 2 층 및/또는 패터닝된 금속 라인들의 제 1 층의 임계 치수 (CD) 보다 큰 직경 또는 폭을 갖는, 홀들을 패터닝하는 단계를 더 포함한다. 하나 이상의 홀들 각각은 패터닝된 금속 라인들의 제 2 층 및/또는 패터닝된 금속 라인들의 제 1 층의 CD보다 최대 약 100 % 큰 직경 또는 폭을 가질 수 있다. 일부 구현 예들에서, 전기 도전성 재료를 증착하는 단계는 제 1 절연 피처들 및 패터닝된 금속 라인들의 제 2 층이 이전에 에칭된 전기 도전성 재료로 충진하는 단계를 포함한다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 1 층, 패터닝된 금속 라인들의 제 2 층, 및 전기적으로 도전성 재료 각각은 몰리브덴 (Mo), 루테늄 (Ru), 알루미늄 (Al), 또는 텅스텐 (W) 을 포함한다. 일부 구현 예들에서, 하나 이상의 비아들은 패터닝된 금속 라인들의 제 1 층 및 패터닝된 금속 라인들의 제 2 층과 완전히 정렬된다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 1 층을 형성하는 단계는, 기판 위에 제 1 금속을 증착하는 단계; 제 1 금속 위에 제 1 마스크 층을 증착하는 단계; 제 1 금속 위에 복수의 제 1 절연 피처들을 형성하도록 제 1 마스크 층을 에칭하는 단계; 및 복수의 제 1 절연 피처들에 의해 규정된 패터닝된 금속 라인들의 제 1 층을 형성하도록 제 1 금속을 에칭하는 단계를 포함한다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 2 층을 형성하는 단계는, 패터닝된 금속 라인들의 제 1 층 위에 제 2 금속을 증착하는 단계; 제 2 금속 위에 제 2 마스크 층을 증착하는 단계; 제 2 금속 위에 복수의 제 2 절연 피처들을 형성하도록 제 2 마스크 층을 에칭하는 단계; 및 복수의 제 2 절연 피처들에 의해 규정된 제 2 금속의 패터닝된 금속 라인들을 형성하도록 제 2 금속을 에칭하는 단계를 포함한다. In some implementations, forming the one or more vias comprises: forming one or more via openings into a first layer of patterned metal lines through at least a second layer of patterned metal lines; and filling the one or more via openings with an electrically conductive material. In some implementations, the method includes forming a first plurality of insulating features on a first layer of patterned metal lines; and after forming the plurality of first insulating features, forming a first dielectric material in spaces between adjacent metal lines of the first layer. The method includes forming a plurality of second insulating features on a second layer of patterned metal lines; and after forming the plurality of second insulating features, forming a second dielectric material in spaces between adjacent metal lines of the second layer. In some implementations, forming the one or more vias includes etching through one or more second insulating features; etching through the second layer of patterned metal lines; etching through the one or more first insulating features to form one or more via openings to expose the first layer of patterned metal lines; and depositing an electrically conductive material in the one or more via openings to form one or more vias on the exposed first layer of patterned metal lines. In some implementations, the method includes forming a via mask over the plurality of second insulating features and the second dielectric material; and patterning one or more holes in the via mask, wherein the one or more holes each have a diameter or width greater than a critical dimension (CD) of the second layer of patterned metal lines and/or the first layer of patterned metal lines. , further comprising patterning the holes. Each of the one or more holes may have a diameter or width that is up to about 100% greater than the CD of the second layer of patterned metal lines and/or the first layer of patterned metal lines. In some implementations, depositing the electrically conductive material includes filling the first insulating features and the second layer of patterned metal lines with the previously etched electrically conductive material. In some implementations, each of the first layer of patterned metal lines, the second layer of patterned metal lines, and the electrically conductive material is molybdenum (Mo), ruthenium (Ru), aluminum (Al), or tungsten (W) includes In some implementations, the one or more vias are fully aligned with the first layer of patterned metal lines and the second layer of patterned metal lines. In some implementations, forming the first layer of patterned metal lines includes depositing a first metal over a substrate; depositing a first mask layer over the first metal; etching the first mask layer to form a first plurality of insulating features over the first metal; and etching the first metal to form a first layer of patterned metal lines defined by the plurality of first insulating features. In some implementations, forming the second layer of patterned metal lines includes depositing a second metal over the first layer of patterned metal lines; depositing a second mask layer over the second metal; etching the second mask layer to form a second plurality of insulating features over the second metal; and etching the second metal to form patterned metal lines of the second metal defined by the plurality of second insulating features.

또 다른 양태는 집적 회로를 위한 금속 상호 접속 구조체를 수반한다. 금속 상호 접속 구조체는 패터닝된 금속 라인들의 제 1 층; 패터닝된 금속 라인들의 제 1 층의 패터닝된 금속 라인들 중 적어도 일부 상의 복수의 제 1 절연 피처들; 패터닝된 금속 라인들의 제 1 층 위의 패터닝된 금속 라인들의 제 2 층; 패터닝된 금속 라인들의 제 2 층 중 적어도 일부 상의 복수의 제 2 절연 피처들; 및 패터닝된 금속 라인들의 제 1 층과 패터닝된 금속 라인들의 제 2 층 사이에 전기적 상호 접속을 제공하는 하나 이상의 비아들을 포함하고, 하나 이상의 비아들은 패터닝된 금속 라인들의 제 1 층 및 패터닝된 금속 라인들의 제 2 층과 완전히 정렬된다. Another aspect involves a metal interconnect structure for an integrated circuit. The metal interconnect structure includes a first layer of patterned metal lines; a plurality of first insulating features on at least some of the patterned metal lines of the first layer of patterned metal lines; a second layer of patterned metal lines over the first layer of patterned metal lines; a plurality of second insulating features on at least a portion of the second layer of patterned metal lines; and one or more vias providing electrical interconnection between the first layer of patterned metal lines and the second layer of patterned metal lines, wherein the one or more vias are the first layer of patterned metal lines and the patterned metal line. fully aligned with their second layer.

일부 구현 예들에서, 하나 이상의 비아들은 패터닝된 금속 라인들의 제 1 층을 패터닝된 금속 라인들의 제 2 층과 콘택트하도록 제 1 절연 피처들을 통해 연장한다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 1 층 및 복수의 제 1 절연 피처들을 둘러싸는 제 1 유전체 재료; 및 패터닝된 금속 라인들의 제 2 층 및 복수의 제 2 절연 피처들을 둘러싸는 제 2 유전체 재료를 더 포함한다. 일부 구현 예들에서, 금속 상호 접속 구조체는 하나 이상의 비아들의 리세스된 비아 금속 충진물 위의 제 3 유전체 재료를 더 포함한다. 일부 구현 예들에서, 제 1 유전체 재료 및 제 2 유전체 재료 각각은 로우-k 유전체 재료를 포함하고, 복수의 제 1 절연 피처들 및 복수의 제 2 절연 피처들 각각은 로우-k 유전체 재료와 상이한 에칭 선택도를 갖는다. 일부 구현 예들에서, 하나 이상의 비아들은 전기적으로 도전성 재료를 포함하고, 패터닝된 금속 라인들의 제 1 층, 패터닝된 금속 라인들의 제 2 층, 및 전기적으로 도전성 재료 각각은 Mo, Ru, Al 또는 W를 포함한다. In some implementations, one or more vias extend through the first insulating features to contact a first layer of patterned metal lines with a second layer of patterned metal lines. In some implementations, a first dielectric material surrounding a first layer of patterned metal lines and a plurality of first insulating features; and a second dielectric material surrounding the second layer of patterned metal lines and the plurality of second insulating features. In some implementations, the metal interconnect structure further includes a third dielectric material over the recessed via metal fill of the one or more vias. In some implementations, each of the first dielectric material and the second dielectric material comprises a low-k dielectric material, and each of the plurality of first insulating features and the plurality of second insulating features has a different etch than the low-k dielectric material. have selectivity. In some implementations, the one or more vias include an electrically conductive material, wherein each of the first layer of patterned metal lines, the second layer of patterned metal lines, and the electrically conductive material comprises Mo, Ru, Al or W include

이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.These and other aspects are further described below with reference to the drawings.

도 1a 내지 도 1o는 제거식 패터닝에 의해 금속 상호 접속 구조체를 형성하는 예시적인 프로세스의 개략적인 예시들을 도시한다.
도 2a는 도 1f의 라인 A-A로부터 예시적인 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략도를 도시한다.
도 2ba는 비아 개구부들이 아래에 놓인 금속 라인들과 정렬되는 도 1h의 라인 B-B로부터 예시적인 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 2bb는 비아 개구부들이 아래에 놓인 금속 라인들과 오정렬되는 도 1h의 라인 C-C로부터 예시적인 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 2ca는 비아들이 아래에 놓인 금속 라인들과 정렬되는 도 1j의 라인 C-C로부터의 예시적인 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 2cb는 비아들이 아래에 놓인 금속 라인들과 오정렬되는 도 1j의 라인 C-C로부터의 예시적인 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 2da는 비아들이 위에 놓인 금속 라인들과 정렬되는 도 1n의 라인 D-D로부터 예시적인 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 2db는 비아들이 위에 놓인 금속 라인들과 오정렬되는 도 1n의 라인 D-D로부터 예시적인 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 3은 일부 구현 예들에 따른 집적 회로의 금속 상호 접속 구조체를 제작하는 일 예시적인 방법의 흐름도를 도시한다.
도 4a 내지 도 4n은 일부 구현 예들에 따른 제거식 패터닝에 의해 완전히 정렬된 비아들을 갖는 금속 상호 접속 구조체를 형성하는 예시적인 프로세스의 개략적인 예시들을 도시한다.
도 5a는 일부 구현 예들에 따른 도 4j의 라인 A-A로부터 예시적인 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 5b는 일부 구현 예들에 따른 도 4j의 라인 B-B로부터 예시적인 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 5c는 일부 구현 예들에 따른 도 4n의 라인 C-C로부터 예시적인 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
도 5d는 일부 구현 예들에 따른 도 4n의 라인 D-D로부터 예시적인 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다.
1A-1O show schematic illustrations of an exemplary process for forming a metal interconnect structure by removable patterning.
2A shows a cross-sectional schematic view of an exemplary partially fabricated metal interconnect structure from line AA of FIG. 1F.
2B shows a cross-sectional schematic illustration of an exemplary partially fabricated metal interconnect structure from line BB of FIG. 1H with via openings aligned with underlying metal lines.
2BB shows a cross-sectional schematic illustration of an exemplary partially fabricated metal interconnect structure from line CC of FIG. 1H with via openings misaligned with underlying metal lines.
FIG. 2C shows a cross-sectional schematic illustration of an exemplary partially fabricated metal interconnect structure from line CC of FIG. 1J with vias aligned with underlying metal lines; FIG.
FIG. 2CB shows a cross-sectional schematic illustration of an exemplary partially fabricated metal interconnect structure from line CC of FIG. 1J with vias misaligned with underlying metal lines; FIG.
FIG. 2DA shows a cross-sectional schematic illustration of an exemplary metal interconnect structure from line DD of FIG. 1N with vias aligned with overlying metal lines;
FIG. 2DB shows a cross-sectional schematic illustration of an exemplary metal interconnect structure from line DD of FIG. 1N with vias misaligned with overlying metal lines;
3 shows a flow diagram of an exemplary method of fabricating a metal interconnect structure of an integrated circuit in accordance with some implementations.
4A-4N show schematic illustrations of an example process for forming a metal interconnect structure with fully aligned vias by removable patterning in accordance with some implementations.
5A shows a cross-sectional schematic illustration of an exemplary partially fabricated metal interconnect structure from line AA of FIG. 4J in accordance with some implementations.
5B shows a cross-sectional schematic illustration of an exemplary partially fabricated metal interconnect structure from line BB of FIG. 4J in accordance with some implementations.
5C shows a cross-sectional schematic illustration of an exemplary metal interconnect structure from line CC of FIG. 4N in accordance with some implementations.
5D shows a cross-sectional schematic illustration of an exemplary metal interconnect structure from line DD of FIG. 4N in accordance with some implementations.

본 개시에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위에 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 개시의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다.In this disclosure, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate", and "partially fabricated integrated circuit" are used interchangeably. Those skilled in the art will understand that the term "partially fabricated integrated circuit" may refer to a silicon wafer during any of the many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The detailed description below assumes that the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The workpiece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may benefit from the present disclosure include various articles such as printed circuit boards, and the like.

도입introduction

집적 회로 기술 (technology) 의 발전들은 집적 회로들에서 점점 더 작은 피처들로의 스케일링을 수반한다. 집적 회로들은 일반적으로 전기적으로 도전성인 구조체들 또는 층들을 연결하는 전기적으로 도전성인 마이크로 전자 구조체들 또는 비아들을 포함한다. 전기적으로 도전성 구조체들은 칩에 걸친 거리를 가로지르는 라인 피처들 (예를 들어, 금속 라인들 또는 금속화 층들), 및 상이한 레벨들의 라인 피처들을 연결하는 상호 접속 피처들 (예를 들어, 비아들) 을 포함할 수도 있다. 라인 피처들 및 상호 접속 피처들은 유전체 재료들에 의해 절연될 수도 있다.BACKGROUND OF THE INVENTION Advances in integrated circuit technology involve scaling to increasingly smaller features in integrated circuits. Integrated circuits generally include electrically conductive microelectronic structures or vias that connect electrically conductive structures or layers. Electrically conductive structures include line features (eg, metal lines or metallization layers) that traverse a distance across the chip, and interconnect features (eg, vias) that connect line features of different levels. may include Line features and interconnect features may be insulated by dielectric materials.

다마신 및 듀얼 다마신 제조 기법들이 금속 상호 접속 구조체들에서 비아들 및 금속 라인들을 생성하도록 채용되었다. 다마신 및 듀얼 다마신 기법들은 구리 상호 접속 구조체들과 같은 금속 상호 접속 구조체들을 제조할 때 의존하는 부가적인 패터닝 기법들이다. 그러나, 집적 회로들의 피처 사이즈들이 계속해서 축소됨에 따라, 추가식 패터닝 (additive patterning) 기법들은 특정한 기술 노드들에 대해 충분하지 않을 수도 있다. 제거식 패터닝 (subtractive patterning) 기법들은 추가식 패터닝 기법들이 불충분한 경우에 적합할 수도 있다.Damascene and dual damascene fabrication techniques have been employed to create vias and metal lines in metal interconnect structures. Damascene and dual damascene techniques are additional patterning techniques that we rely on when fabricating metal interconnect structures, such as copper interconnect structures. However, as feature sizes of integrated circuits continue to shrink, additive patterning techniques may not be sufficient for certain technology nodes. Subtractive patterning techniques may be suitable in cases where additive patterning techniques are insufficient.

일반적으로 말하면, 제거식 패터닝 기법은 금속의 블랭킷 층을 증착하고, 금속의 블랭킷 층에 마스크를 도포하고, 마스크에 의해 규정된 금속 라인들 또는 피처들을 패터닝하기 위해 금속의 블랭킷 층을 에칭한다. 대조적으로, 추가식 패터닝 기법은 유전체 재료의 블랭킷 층을 증착하고, 유전체 재료의 블랭킷 층에 마스크를 도포하고, 마스크에 의해 규정된 유전체 재료의 블랭킷 층 내로 개구부들 또는 리세스들을 에칭하고, 그리고 개구부들 또는 리세스들을 금속으로 충진한다. 추가식 패터닝 기법들에 사용된 통상적인 금속들은 구리 (Cu) 또는 코발트 (Co) 를 포함한다. 구리는 (은 다음으로) 고 전기 전도도를 갖고, 이는 상호 접속 금속으로서 매우 바람직하다. 그러나, 구리 및 코발트와 같은 금속들은 에칭하기 어렵고 따라서 집적 회로 제조에 일반적으로 사용되는 제거식 패터닝 기법들에 대한 우수한 후보들이 아니다.Generally speaking, the removal patterning technique deposits a blanket layer of metal, applies a mask to the blanket layer of metal, and etches the blanket layer of metal to pattern the metal lines or features defined by the mask. In contrast, additive patterning techniques deposit a blanket layer of dielectric material, apply a mask to the blanket layer of dielectric material, etch openings or recesses into the blanket layer of dielectric material defined by the mask, and the opening Fill the fields or recesses with metal. Typical metals used in additive patterning techniques include copper (Cu) or cobalt (Co). Copper has a high electrical conductivity (next to silver), which makes it highly desirable as an interconnection metal. However, metals such as copper and cobalt are difficult to etch and are therefore not good candidates for the removal patterning techniques commonly used in integrated circuit fabrication.

통상적인 제거식 패터닝 기법들은 금속 라인들 및 금속 상호 접속 구조체들을 제조할 때 알루미늄 (Al) 과 같은 금속들을 채용하였다. 제거식 패터닝 기법들에 의해 제조된 라인 폭들은 일반적으로 대략 수 ㎛ 내지 수백 나노 미터였다. 구리 다마신 기법들은 구리 라인들 및 구리 상호 접속 구조체들을 제조하기 위해 수년 전에 도입되었고, 다마신 기법들에 의해 제조된 라인 폭들은 일반적으로 대략 수십 내지 수백 나노 미터였다. 그러나, 약 30 ㎚ 이하 또는 약 20 ㎚ 이하의 라인 폭들은 구리 다마신 기법들을 사용하여 신뢰성 있게 달성하기 어렵다. 예를 들어, 구리 상호 접속 구조체들은 통상적으로 주변 유전체 재료들로의 구리 확산을 제한하기 위해 확산 배리어 층들 및/또는 라이너 층들을 필요로 하고, 이러한 층들은 보다 많은 공간을 점유할 수 있고 결과적으로 보다 작은 라인 폭들을 달성하기 보다 어렵게 할 수 있다. 보다 박형의 확산 배리어 층들 및/또는 라이너 층들을 견딜 수 있는 구리 이외의 금속들은 제거식 패터닝에 사용될 수도 있고, 또는 전혀 없을 수도 있다. 이는 집적 회로 제조에서 보다 작은 치수들 및/또는 기술 노드들을 가능하게 할 수 있다.Conventional removable patterning techniques have employed metals such as aluminum (Al) when making metal lines and metal interconnect structures. Line widths produced by the removal patterning techniques were generally on the order of a few micrometers to several hundred nanometers. Copper damascene techniques were introduced many years ago to fabricate copper lines and copper interconnect structures, and line widths produced by damascene techniques were typically on the order of tens to hundreds of nanometers. However, line widths of about 30 nm or less or about 20 nm or less are difficult to reliably achieve using copper damascene techniques. For example, copper interconnect structures typically require diffusion barrier layers and/or liner layers to limit copper diffusion into surrounding dielectric materials, which layers can occupy more space and consequently more It can make small line widths more difficult to achieve. Metals other than copper that can withstand thinner diffusion barrier layers and/or liner layers may be used in the removable patterning, or none at all. This may enable smaller dimensions and/or technology nodes in integrated circuit fabrication.

제거식 패터닝 Removable Patterning

도 1a 내지 도 1o는 제거식 패터닝에 의해 금속 상호 접속 구조체를 형성하는 예시적인 프로세스의 개략적인 예시들을 도시한다. 도 1a에서, 제 1 금속 층 (101) (Mx) 이 기판 (100) 위에 증착된다. 도 1a의 제 1 금속 층 (101) 은 아직 패터닝되지 않은 블랭킷 층이다. 제 1 금속 층 (101) 은 PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), ALD (atomic layer deposition), 또는 전착과 같은 임의의 적합한 증착 프로세스를 사용하여 증착될 수도 있다. 전착은 예를 들어, 전기 도금 또는 무전해 도금을 포함할 수 있다. 일부 구현 예들에서, 제 1 금속 층 (101) 은 에칭될 수 있는 금속들을 포함할 수 있고, 이러한 금속들은 이로 제한되는 것은 아니지만 몰리브덴 (Mo), 루테늄 (Ru), 텅스텐 (W), 또는 알루미늄 (Al) 을 포함할 수 있다. 일부 구현 예들에서, 라이너 층은 제 1 금속 층 (101) 과 기판 (100) 사이에 배치될 수도 있다. 라이너 층의 예는 이로 제한되지 않지만 티타늄 나이트라이드 (TiN) 를 포함한다. 다른 예들은 탄탈룸 나이트라이드 (TaN), 텅스텐 나이트라이드 (WN), 및 텅스텐 탄소 나이트라이드 (WCN) 를 포함한다. 라이너 층의 두께는 약 5 ㎚ 이하 또는 약 3 ㎚ 이하일 수 있다. 일부 구현 예들에서, 유전체 층 (102) 이 라이너 층과 기판 (100) 사이에 배치될 수도 있다. 라이너 층은 유전체 층 (102) 으로부터 제 1 금속 층 (101) 을 분리하도록 기능한다.1A-1O show schematic illustrations of an exemplary process for forming a metal interconnect structure by removable patterning. 1A , a first metal layer 101 (Mx) is deposited over the substrate 100 . The first metal layer 101 of FIG. 1A is a blanket layer that has not yet been patterned. The first metal layer 101 uses any suitable deposition process, such as physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), or electrodeposition. may be deposited. Electrodeposition may include, for example, electroplating or electroless plating. In some implementations, the first metal layer 101 can include metals that can be etched, including but not limited to molybdenum (Mo), ruthenium (Ru), tungsten (W), or aluminum ( Al) may be included. In some implementations, a liner layer may be disposed between the first metal layer 101 and the substrate 100 . Examples of liner layers include, but are not limited to, titanium nitride (TiN). Other examples include tantalum nitride (TaN), tungsten nitride (WN), and tungsten carbon nitride (WCN). The thickness of the liner layer may be about 5 nm or less or about 3 nm or less. In some implementations, a dielectric layer 102 may be disposed between the liner layer and the substrate 100 . The liner layer functions to separate the first metal layer 101 from the dielectric layer 102 .

제 1 금속 층 (101) 을 패터닝하기 위해, 제 1 하드마스크 층 (103) 이 제 1 금속 층 (101) 위에 증착될 수도 있다. 적합한 하드마스크 재료들의 예들은 실리콘 나이트라이드, 실리콘 옥사이드, 실리콘 카보나이트라이드, 실리콘 옥시카바이드, 실리콘 옥시나이트라이드, 비정질 실리콘, 폴리실리콘, 또는 탄소 (예를 들어, 비정질 탄소, 금속-도핑된 비정질 탄소, 다이아몬드-유사 탄소, 다결정 다이아몬드) 를 포함할 수도 있다. 제 1 하드마스크 층 (103) 은 포토레지스트 (104) 및 극 자외선 (EUV) 리소그래피를 겪는 레지스트 하부층 (105) 을 사용하여 패터닝될 수도 있다. 부가적인 층들이 포토레지스트와 제 1 하드마스크 층 사이에 형성될 수도 있고, 부가적인 층들은 포토리소그래피 프로세스들에서 유용할 수도 있다. 예를 들어, 비정질 탄소 층 (106) (a-C) 및 반사 방지 층 (107) (ARL) 은 포토레지스트 (104) 와 제 1 하드마스크 층 (103) 사이에 배치될 수도 있다. 반사 방지 층 (107) 은 후속하는 포토리소그래피 프로세스들에서 복사가 아래의 층들로부터 반사되고 노출 프로세스를 간섭하는 것을 방지하도록 기능할 수도 있다.To pattern the first metal layer 101 , a first hardmask layer 103 may be deposited over the first metal layer 101 . Examples of suitable hardmask materials include silicon nitride, silicon oxide, silicon carbonitride, silicon oxycarbide, silicon oxynitride, amorphous silicon, polysilicon, or carbon (e.g., amorphous carbon, metal-doped amorphous carbon, diamond-like carbon, polycrystalline diamond). The first hardmask layer 103 may be patterned using a photoresist 104 and a resist underlayer 105 that undergoes extreme ultraviolet (EUV) lithography. Additional layers may be formed between the photoresist and the first hardmask layer, and additional layers may be useful in photolithography processes. For example, an amorphous carbon layer 106 (a-C) and an anti-reflective layer 107 (ARL) may be disposed between the photoresist 104 and the first hardmask layer 103 . The anti-reflective layer 107 may function to prevent radiation from reflecting from the underlying layers and interfering with the exposure process in subsequent photolithography processes.

도 1b에서, 복수의 제 1 하드마스크 피처들 (108) 은 제 1 하드마스크 층 (103) 을 패터닝함으로써 형성된다. 복수의 제 1 하드마스크 피처들 (108) 은 포토리소그래피 (예를 들어, EUV 리소그래피) 를 사용하여 포토레지스트 (104) 를 패터닝함으로써 규정될 수도 있다. 더욱이, 일부 구현 예들에서, 제 1 하드마스크 피처들의 피처 사이즈들은 SADP (self-aligned double patterning) 프로세스에 의해 감소될 수도 있다. 예로서, 보다 좁은 하드마스크 피처들이 피치 더블링 (pitch doubling) 에 의해 형성될 수 있고, 복수의 제 1 하드마스크 피처들 (108) 의 피치는 SADP 프로세스를 사용하여 80 ㎚에서 40 ㎚로 하강될 수 있다.In FIG. 1B , a plurality of first hardmask features 108 are formed by patterning the first hardmask layer 103 . The plurality of first hardmask features 108 may be defined by patterning the photoresist 104 using photolithography (eg, EUV lithography). Moreover, in some implementations, the feature sizes of the first hardmask features may be reduced by a self-aligned double patterning (SADP) process. As an example, narrower hardmask features may be formed by pitch doubling, and the pitch of the first plurality of hardmask features 108 may be lowered from 80 nm to 40 nm using a SADP process. have.

도 1c에서, 부가적인 마스크 층들이 선택 가능하게 복수의 제 1 하드마스크 피처들 (108) 위에 증착되고 패터닝될 수도 있다. 부가적인 마스크 층들은 제 1 금속 층 (101) 을 패터닝하기 위해 제 1 하드마스크 피처들의 목표된 배열로 아래에 놓인 복수의 제 1 하드마스크 피처들 (108) 을 에칭하기 위해 패터닝될 수도 있다. 결국, 제 1 금속 층 (101) 은 제 1 하드마스크 피처들 (108) 의 목표된 배열에 따라 패터닝되고 "절단"될 수도 있다. 일부 구현 예들에서, 부가적인 마스크 층들은 포토레지스트 (109), 레지스트 하층 (110), 및 스핀-온 탄소 (111) (SoC) 를 포함할 수도 있다. 그러나, 아래에 놓인 복수의 제 1 하드마스크 피처들 (108) 을 에칭하기 위해 부가적인 마스크 층들을 사용하는 대신, 제 1 하드마스크 피처들 (108) 의 에칭이 제 1 금속 층 (101) 을 에칭한 후에 적용될 수도 있다는 것이 이해될 것이다. 즉, 제 1 금속 층 (101) 은 복수의 제 1 하드마스크 피처들 (108) 이 "절단"을 겪는 대신 부가적인 마스크 층들에 의해 "절단"된다.In FIG. 1C , additional mask layers may be selectively deposited and patterned over the plurality of first hardmask features 108 . Additional mask layers may be patterned to etch an underlying plurality of first hardmask features 108 into a desired arrangement of first hardmask features to pattern the first metal layer 101 . Consequently, the first metal layer 101 may be patterned and “cut” according to the desired arrangement of the first hardmask features 108 . In some implementations, additional mask layers may include photoresist 109 , under-resist layer 110 , and spin-on carbon 111 (SoC). However, instead of using additional mask layers to etch the underlying plurality of first hardmask features 108 , the etching of the first hardmask features 108 etches the first metal layer 101 . It will be understood that it may be applied later. That is, the first metal layer 101 is “cut” by additional mask layers instead of the first plurality of hardmask features 108 undergoing “cutting”.

도 1d에서, 복수의 제 1 하드마스크 피처들 (108) 은 부가적인 마스크 층들에 의해 패터닝된다. 부가적인 마스크 층들은 "절단" 에칭 프로세스에 의해 피처들의 목표된 배열로 복수의 제 1 하드마스크 피처들 (108) 을 형성한다. 부가적인 마스크 층들은 후속하여 제거된다.In FIG. 1D , a plurality of first hardmask features 108 are patterned by additional mask layers. The additional mask layers form the first plurality of hardmask features 108 in the desired arrangement of features by a “cut” etch process. Additional mask layers are subsequently removed.

도 1e에서, 제 1 금속 층 (101) 은 패터닝된 금속 라인들의 제 1 층 (112) 을 형성하도록 패터닝된다. 패터닝된 금속 라인들의 제 1 층 (112) 은 금속 라인 에칭 프로세스 동안 복수의 제 1 하드마스크 피처들 (108) 에 의해 규정된다. 금속 라인 에칭 프로세스는 하부 유전체 층 (102) 을 에칭하지 않고 패터닝된 금속 라인들의 제 1 층 (112) 을 형성하도록 금속을 선택적으로 에칭쓰루할 (etch through) 수도 있다. 적합한 에천트는 아래에 놓인 유전체 층 (102) 의 유전체 재료를 에칭하지 않고 또는 실질적으로 에칭하지 않고 금속을 에칭하도록 사용될 수도 있다. 본 명세서에 사용된 바와 같이, "실질적으로 에칭하지 않고"는 대상 재료 (예를 들어, 유전체) 의 에칭 레이트가 에칭될 타깃 재료 (예를 들어, 금속) 의 에칭 레이트보다 적어도 5 배 낮은 에칭 프로세스들을 지칭할 수 있다. 예를 들어, 제거식 플라즈마 에칭은 아래에 놓인 유전체 층 (102) 보다 실질적으로 보다 높은 에칭 레이트로 금속의 블랭킷 층을 제거할 수도 있다. 패터닝된 금속 라인들의 제 1 층 (112) 이 형성된 후, 복수의 하드마스크 피처들 (108) 이 제거될 수도 있다. 일부 구현 예들에서, 확산 배리어 층 및/또는 라이너 층이 패터닝된 금속 라인들의 제 1 층 (112) 상에 증착될 수도 있다. 확산 배리어 층 및/또는 라이너 층은 주변 유전체 재료로부터 패터닝된 금속 라인들의 제 1 층 (112) 을 분리한다.In FIG. 1E , a first metal layer 101 is patterned to form a first layer 112 of patterned metal lines. The first layer 112 of patterned metal lines is defined by a plurality of first hardmask features 108 during the metal line etching process. The metal line etch process may selectively etch through the metal to form the first layer 112 of patterned metal lines without etching the underlying dielectric layer 102 . A suitable etchant may be used to etch the metal without etching or substantially without etching the dielectric material of the underlying dielectric layer 102 . As used herein, “substantially without etching” is an etching process in which the etch rate of the target material (eg, dielectric) is at least 5 times lower than the etch rate of the target material (eg, metal) to be etched. can refer to For example, the ablated plasma etch may remove the blanket layer of metal at a substantially higher etch rate than the underlying dielectric layer 102 . After the first layer of patterned metal lines 112 is formed, the plurality of hardmask features 108 may be removed. In some implementations, a diffusion barrier layer and/or a liner layer may be deposited on the first layer 112 of patterned metal lines. A diffusion barrier layer and/or liner layer separates the first layer 112 of patterned metal lines from the surrounding dielectric material.

도 1f에서, 제 1 유전체 재료 (113) 는 패터닝된 금속 라인들의 제 1 층 (112) 위에 증착되고 인접한 제 1 금속 라인들 사이의 공간들을 충진한다. 제 1 유전체 재료 (113) 는 패터닝된 금속 라인들의 제 1 층 (112) 을 둘러쌀 수 있다. 패터닝된 금속 라인들의 제 1 층 (112) 을 형성하도록 금속의 블랭킷 층을 에칭한 후, 제 1 유전체 재료 (113) 는 금속의 블랭킷 층에 의해 이전에 충진된 갭들, 리세스들, 개구부들, 또는 공간들을 충진한다. 일부 구현 예들에서, 제 1 유전체 재료 (113) 가 증착된 후, 제 1 유전체 재료 (113) 는 CMP (chemical mechanical polishing) 및/또는 블랭킷 에치 백 (etchback) 과 같은 평탄화 프로세스에 의해 평탄화될 수도 있다. 일부 구현 예들에서, 제 1 유전체 재료 (113) 는 저 유전 상수 (low-k 유전체) 를 갖는 유전체 재료이다. 로우-k 유전체는 실리콘 옥사이드의 유전 상수 (약 4.2) 이하일 수도 있는, 약 5.0 이하의 유전 상수를 가질 수 있다. 로우-k 유전체 재료들은 불소-도핑되거나 탄소-도핑된 실리콘 옥사이드 또는 유기실리케이트 유리 (organosilicate glass; OSG) 와 같은 유기물-함유 로우-k 재료를 포함할 수도 있다. 일부 구현 예들에서, 에어 갭들이 인접한 패터닝된 금속 라인들 사이에서 제 1 유전체 재료 (113) 내에 형성될 수도 있고, 에어 갭들은 인접한 패터닝된 금속 라인들 사이의 제 1 유전체 재료 (113) 의 유전 상수를 더 감소시키도록 기능할 수도 있다. 이러한 에어 갭들 (114) 은 도 1f의 라인 A-A로부터 취해진 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략적 예시에서 도 2a에서 관찰될 수도 있다. 도 2a에 도시된 바와 같이, 제 1 유전체 재료 (113) 는 인접한 패터닝된 금속 라인들 사이의 공간들을 충진한다. 에어 갭들 (114) 은 인접한 패터닝된 금속 라인들 사이의 공간에서 제 1 유전체 재료 (113) 내에 형성되고, 패터닝된 금속 라인들은 남아 있는 제 1 유전체 재료 (113) 에 의해 에어 갭들 (114) 로부터 분리된다.In FIG. 1F , a first dielectric material 113 is deposited over the first layer 112 of patterned metal lines and fills spaces between adjacent first metal lines. A first dielectric material 113 may surround the first layer 112 of patterned metal lines. After etching the blanket layer of metal to form the first layer 112 of patterned metal lines, the first dielectric material 113 may include gaps, recesses, openings, previously filled by the blanket layer of metal, Or fill the spaces. In some implementations, after the first dielectric material 113 is deposited, the first dielectric material 113 may be planarized by a planarization process, such as chemical mechanical polishing (CMP) and/or blanket etchback. . In some implementations, the first dielectric material 113 is a dielectric material with a low dielectric constant (low-k dielectric). The low-k dielectric may have a dielectric constant of about 5.0 or less, which may be less than or equal to the dielectric constant of silicon oxide (about 4.2). The low-k dielectric materials may include an organic-containing low-k material such as fluorine-doped or carbon-doped silicon oxide or organosilicate glass (OSG). In some implementations, air gaps may be formed in the first dielectric material 113 between adjacent patterned metal lines, wherein the air gaps are the dielectric constant of the first dielectric material 113 between adjacent patterned metal lines. It may also function to further reduce . These air gaps 114 may be observed in FIG. 2A in a cross-sectional schematic illustration of a partially fabricated metal interconnect structure taken from line A-A in FIG. 1F. As shown in FIG. 2A , a first dielectric material 113 fills the spaces between adjacent patterned metal lines. Air gaps 114 are formed in the first dielectric material 113 in the space between adjacent patterned metal lines, and the patterned metal lines are separated from the air gaps 114 by the remaining first dielectric material 113 . do.

도 1g에서, 비아 마스크 (115) 는 제 1 유전체 재료 (113) 위에 형성될 수도 있다. 일부 구현 예들에서, 비아 마스크 (115) 는 하나 이상의 마스크 층들을 포함할 수도 있고, 여기서 하나 이상의 마스크 층들은 포토레지스트 (116), 레지스트 하부층 (117), 및 스핀-온 탄소 (118) (SoC) 를 포함한다. 패터닝된 금속 라인들의 제 1 층 (112) 에 연결되는 비아들을 형성하기 위해, 비아 개구부들은 비아 마스크 (115) 에 의해 규정된 바와 같이 제 1 유전체 재료 (113) 내에 패터닝되고 형성될 것이다. 포토리소그래피 프로세스는 비아 마스크 (115) 의 포토레지스트 (116) 를 패터닝하도록 포토레지스트 (116) 에 적용될 수도 있다. 하나 이상의 홀들 (119) 이 제 1 유전체 재료 (113) 내의 비아 개구부들을 규정하기 위해 비아 마스크 (115) 내에 형성될 수도 있다. 비아 마스크 (115) 내의 하나 이상의 홀들 (119) 은 패터닝된 금속 라인들의 제 1 층 (112) 과 정렬하도록 의도된다. 1G , a via mask 115 may be formed over the first dielectric material 113 . In some implementations, the via mask 115 may include one or more mask layers, wherein the one or more mask layers include a photoresist 116 , a resist underlayer 117 , and spin-on carbon 118 (SoC). includes To form vias that connect to the first layer 112 of patterned metal lines, via openings will be patterned and formed in the first dielectric material 113 as defined by the via mask 115 . A photolithography process may be applied to the photoresist 116 to pattern the photoresist 116 of the via mask 115 . One or more holes 119 may be formed in the via mask 115 to define via openings in the first dielectric material 113 . One or more holes 119 in via mask 115 are intended to align with first layer 112 of patterned metal lines.

도 1h에서, 비아 개구부들 (120) 은 에칭에 의해 제 1 유전체 재료 (113) 내에 형성된다. 비아 개구부들 (120) 은 비아 마스크 (115) 의 하나 이상의 홀들 (119) 에 의해 규정된다. 비아 개구부들 (120) 은 제 1 층 (112) 의 하나 이상의 패터닝된 금속 라인들과 정렬하도록 의도된다. 그러나, 이하에 논의된 바와 같이, 정렬 에러들은 비아 개구부들 (120) 로 하여금 제 1 층 (112) 의 하나 이상의 패터닝된 금속 라인들과 정렬되지 않게 할 수도 있는 포토리소그래피 프로세스 동안 발생할 수도 있다. 비아 마스크 (115) 는 비아 개구부들 (120) 을 형성한 후 제거될 수도 있다. 제 1 층 (112) 의 하나 이상의 패터닝된 금속 라인들과 완벽하게 정렬된 비아 개구부들 (120) 이 도 2ba에서 관찰될 수도 있는 한편, 제 1 층 (112) 의 하나 이상의 패터닝된 금속 라인들과 정렬되지 않은 비아 개구부들 (120) 이 도 2bb에서 관찰될 수도 있다.In FIG. 1H , via openings 120 are formed in the first dielectric material 113 by etching. Via openings 120 are defined by one or more holes 119 of via mask 115 . The via openings 120 are intended to align with one or more patterned metal lines of the first layer 112 . However, as discussed below, alignment errors may occur during the photolithography process that may cause the via openings 120 to become out of alignment with one or more patterned metal lines of the first layer 112 . The via mask 115 may be removed after forming the via openings 120 . Via openings 120 perfectly aligned with the one or more patterned metal lines of the first layer 112 may be observed in FIG. 2B , while the one or more patterned metal lines of the first layer 112 and Unaligned via openings 120 may be observed in FIG. 2BB .

피처 사이즈들을 축소시키는 것과 함께, 보다 작은 피처 사이즈들을 제공하기 위한 종래의 리소그래피 프로세스들의 스케일링은 어려울 수 있다. 이는 적어도 부분적으로 금속 상호 접속 구조체의 피처들 간의 정렬 또는 오버레이 에러들로 인한 것이다. 마스크가 아래에 놓인 구조체와 완벽하게 정렬되지 않기 때문에, 포토리소그래피 프로세스 동안 정렬 또는 오버레이 에러들이 언제나 발생한다. 예를 들어, 포토리소그래피 프로세스에서 레티클을 사용하는 광 노출 단계들 동안, 비아들 및 트렌치들을 위한 패터닝 마스크들에 수 나노미터만큼 오정렬이 있을 수 있다. 그 결과, 패터닝된 금속 라인들과 연결되도록 의도된 비아는 오정렬될 수도 있다. 포토리소그래피 프로세스를 재작업함으로써 오버레이 에러들이 최소화될 수 있지만, 어느 정도의 오버레이 에러들은 불가피하다.Along with shrinking feature sizes, scaling conventional lithography processes to provide smaller feature sizes can be difficult. This is due, at least in part, to alignment or overlay errors between features of the metal interconnect structure. Because the mask is not perfectly aligned with the underlying structure, alignment or overlay errors always occur during the photolithography process. For example, during light exposure steps using a reticle in a photolithography process, there may be misalignment by several nanometers in the patterning masks for vias and trenches. As a result, vias intended to connect with patterned metal lines may be misaligned. While overlay errors can be minimized by reworking the photolithography process, some overlay errors are unavoidable.

도 2ba에 도시된 바와 같이, 하나 이상의 마스크 층들로부터 패터닝된 비아 개구부들 (120) 이 패터닝된 금속 라인들의 제 1 층 (112) 과 완벽하게 정렬될 때, 비아 개구부들 (120) 은 패터닝된 금속 라인들의 제 1 층 (112) 으로부터 오프셋되지 않는다. 비아 개구부들 (120) 은 제 1 층 (112) 의 인접한 패터닝된 금속 라인들 사이의 공간에 형성되지 않고 패터닝된 금속 라인들의 제 1 층 (112) 바로 위에 형성된다. 그러나, 정렬 또는 오버레이 에러들은 하나 이상의 마스크 층들로 하여금 심지어 수 나노 미터만큼 x-방향 또는 y-방향으로 오프셋되게 할 수도 있다. 도 2bb에 도시된 바와 같이, 비아 개구부들 (120) 은 하나 이상의 마스크 층들로부터 패터닝되고 패터닝된 금속 라인들의 제 1 층 (112) 과 오정렬된다. 오정렬은 비아 개구부들 (120) 의 일부로 하여금 인접한 패터닝된 금속 라인들의 제 1 층 (112) 사이의 공간들에 형성되게 한다. 오정렬은 패터닝된 금속 라인들의 제 1 층 (112) 과 비아 사이의 콘택트 면적의 손실을 발생시키고, 비아는 패터닝된 금속 라인들의 제 1 층 (112) 을 둘러싸는 유전체 재료의 부분들과 오버랩한다. 더욱이, 오정렬은 단락 또는 전류 누설을 야기할 수 있는 근방의 에어 갭들 (114) 의 파괴 위험을 초래할 수 있다.As shown in FIG. 2B , when the via openings 120 patterned from one or more mask layers are perfectly aligned with the first layer 112 of patterned metal lines, the via openings 120 are formed from the patterned metal. It is not offset from the first layer 112 of lines. Via openings 120 are not formed in the space between adjacent patterned metal lines of the first layer 112 , but are formed directly over the first layer 112 of the patterned metal lines. However, alignment or overlay errors may cause one or more mask layers to be offset in the x-direction or y-direction even by a few nanometers. As shown in FIG. 2BB , the via openings 120 are patterned from one or more mask layers and are misaligned with the first layer 112 of patterned metal lines. The misalignment causes some of the via openings 120 to form in the spaces between the first layer 112 of adjacent patterned metal lines. The misalignment results in a loss of contact area between the via and the first layer of patterned metal lines 112 and the via overlaps portions of dielectric material surrounding the first layer 112 of patterned metal lines. Moreover, misalignment may result in a risk of breaking of nearby air gaps 114 which may cause short circuits or current leakage.

도 1i에서, 제 2 금속 층 (121) (Mx+1) 이 제 1 유전체 재료 (113) 위에 증착되고, 제 2 금속 층 (121) 은 하나 이상의 비아들을 형성하도록 비아 개구부들 (120) 을 충진한다. 제 2 금속 층 (121) 은 제 1 유전체 재료 (113) 위에 금속의 블랭킷 층을 제공한다. 일부 구현 예들에서, 라이너 층은 제 2 금속 층 (121) 과 제 1 유전체 재료 (113) 사이에 배치된다. 라이너 층은 또한 하나 이상의 패터닝된 금속 라인들의 제 1 층 (112) 과 비아들 사이에 배치될 수도 있다. 제 2 금속 층 (121) 은 제 1 유전체 재료 (113) 위에 금속의 오버버든 (overburden) 을 제공할 수도 있고 또는 제 2 금속 층 (121) 에 대한 타깃 두께로 증착될 수도 있다. 제 2 금속 층 (121) 의 증착은 비아 개구부들 (120) 을 충진하고 제 1 유전체 재료 (113) 를 블랭킷하는 금속에 기인할 수도 있는 표면 토포그래피 문제들 또는 표면 거칠기를 발생시킬 수도 있다. 일부 구현 예들에서, 평탄화 프로세스는 상대적으로 평활하고 편평한 금속 시트를 생성하도록 제 2 금속 층 (121) 을 평탄화하도록 사용될 수도 있다. 일부 구현 예들에서, 제 2 금속 층 (121) 은 PVD, CVD, PECVD, ALD, 또는 전착과 같은 적합한 증착 기법에 의해 증착된다. 일부 구현 예들에서, 제 2 금속 층 (121) 은 Mo, Ru, Al, 또는 W를 포함한다. 일부 구현 예들에서, 비아 개구부들 (120) 은 제 1 유전체 재료 (113) 상에 금속을 증착하기 위한 금속 증착 프로세스와는 별개의 금속 증착 프로세스에 의해 충진될 수도 있다. 예를 들어, 비아 개구부들 (120) 은 적합한 증착 프로세스를 사용하여 상기 열거된 금속들 중 하나로 충진될 수도 있다. 이는 제 1 유전체 재료 (113) 상에 비아들에 연결된 상기 열거된 금속들 중 하나의 블랭킷 층을 증착하기 위한 별도의 프로세스가 이어질 수 있다. 일부 구현 예들에서, 평탄화 프로세스가 제 2 금속 층 (121) 의 목표된 두께로 수행될 수도 있다.In FIG. 1I , a second metal layer 121 (Mx+1) is deposited over the first dielectric material 113 , the second metal layer 121 filling the via openings 120 to form one or more vias. do. The second metal layer 121 provides a blanket layer of metal over the first dielectric material 113 . In some implementations, a liner layer is disposed between the second metal layer 121 and the first dielectric material 113 . A liner layer may also be disposed between the vias and the first layer 112 of one or more patterned metal lines. The second metal layer 121 may provide an overburden of metal over the first dielectric material 113 or may be deposited to a target thickness for the second metal layer 121 . Deposition of the second metal layer 121 may create surface roughness or surface topography issues that may be attributable to the metal filling the via openings 120 and blanketing the first dielectric material 113 . In some implementations, a planarization process may be used to planarize the second metal layer 121 to produce a relatively smooth and flat metal sheet. In some implementations, the second metal layer 121 is deposited by a suitable deposition technique such as PVD, CVD, PECVD, ALD, or electrodeposition. In some implementations, the second metal layer 121 includes Mo, Ru, Al, or W. In some implementations, the via openings 120 may be filled by a metal deposition process separate from the metal deposition process for depositing metal on the first dielectric material 113 . For example, via openings 120 may be filled with one of the metals listed above using a suitable deposition process. This may be followed by a separate process for depositing a blanket layer of one of the metals listed above connected to the vias on the first dielectric material 113 . In some implementations, a planarization process may be performed to a desired thickness of the second metal layer 121 .

도 1j에서, 제 2 하드마스크 층 (122) 이 제 2 금속 층 (121) 위에 증착된다. 적합한 하드마스크 재료들의 예들은 실리콘 나이트라이드, 실리콘 옥사이드, 실리콘 카보나이트라이드, 실리콘 옥시카바이드, 실리콘 옥시나이트라이드, 비정질 실리콘, 폴리실리콘, 또는 탄소 (예를 들어, 비정질 탄소, 금속-도핑된 비정질 탄소, 다이아몬드-유사 탄소, 다결정 다이아몬드) 를 포함한다. 제 2 하드마스크 층 (122) 은 포토레지스트 (123) 및 EUV 리소그래피를 겪는 레지스트 하부층 (124) 을 사용하여 패터닝될 수도 있다. 부가적인 층들이 포토레지스트 (123) 와 제 2 하드마스크 층 (122) 사이에 형성될 수도 있고, 부가적인 층들은 포토리소그래피 프로세스들에서 유용할 수도 있다. 예를 들어, 비정질 탄소 층 (125) (a-C) 및 반사 방지 층 (126) (ARL) 은 포토레지스트 (123) 와 제 2 하드마스크 층 (122) 사이에 배치될 수도 있다.In FIG. 1J , a second hardmask layer 122 is deposited over the second metal layer 121 . Examples of suitable hardmask materials include silicon nitride, silicon oxide, silicon carbonitride, silicon oxycarbide, silicon oxynitride, amorphous silicon, polysilicon, or carbon (e.g., amorphous carbon, metal-doped amorphous carbon, diamond-like carbon, polycrystalline diamond). The second hardmask layer 122 may be patterned using a photoresist 123 and a resist underlayer 124 that has undergone EUV lithography. Additional layers may be formed between the photoresist 123 and the second hardmask layer 122 , and additional layers may be useful in photolithography processes. For example, an amorphous carbon layer 125 (a-C) and an anti-reflective layer 126 (ARL) may be disposed between the photoresist 123 and the second hardmask layer 122 .

도 2ca 및 도 2cb는 도 1j의 라인 C-C로부터 취해진 부분적으로 제조된 금속 상호 접속 구조체의 단면 개략적 예시들을 도시한다. 도 2ca 및 도 2cb에 도시된 바와 같이, 제 2 금속 층 (121) 은 패터닝된 금속 라인들의 제 1 층 (112) 과 전기적 상호 접속을 제공하는 비아들 (127) 을 형성하도록 비아 개구부들 (120) 을 충진한다. 비아들 (127) 은 도 2ca의 패터닝된 금속 라인들의 제 1 층 (112) 과 완벽하게 정렬된다. 그러나, 정렬 또는 오버레이 에러들로 인해, 비아들 (127) 은 도 2cb에 도시된 바와 같이 패터닝된 금속 라인들의 제 1 층 (112) 과 정렬되지 않는다. 정렬 및 오버레이 에러들로 인해, 비아들 (127) 은 하나 이상의 패터닝된 금속 라인들의 제 1 층 (112) 의 상단 표면 상에 부분적으로 "랜딩하여 (land)", 비아들 (127) 을 이웃하는 패터닝된 금속 라인들의 제 1 층 (112) 에 보다 가깝게 그리고 주변 유전체 재료 내로 시프팅한다. 이는 도전성 피처들 사이의 감소된 거리를 야기하고, 비아 (127) 와 이웃하는 패터닝된 금속 라인의 제 1 층 (112) 사이에 보다 적은 절연 공간이 있다는 것을 의미한다. 감소된 거리는 불충분한 단락 마진 (shorting margin) 및 감소된 TDDB (Time-Dependent Dielectric Breakdown), 또는 심지어 완전한 단락을 초래할 수 있다. TDDB는 (제 1 유전체 재료 (113) 와 같은) 절연 층이 시간에 따라 분해되고 (break down) 통상적인 전기장들에서 적절한 전기 절연체로서 더 이상 역할하지 않는 고장 모드이다. TDDB는 보다 높은 전기장들에 노출된 영역들이 TDDB 고장에 보다 민감하기 때문에 금속 라인들 사이의 전기장에 종속된다. 고 전압 및/또는 감소된 절연체 두께는 보다 높은 전기장을 야기할 것이다. TDDB는 또한 절연 층이 전기장들을 견딜 수 없는 지점으로 간격이 감소될 수 있기 때문에 인접한 금속 라인들 사이의 간격에 종속되고, 이에 따라 인접한 금속 라인들 사이에 의도치 않은 컨덕턴스를 발생시킨다. 최종 결과는 절연 층이 동작하는 전기장을 지지할 수 없을 때 단락 또는 감소된 신뢰도이다. "랜딩되지 않은 (unlanded)" 비아들은 TDDB 열화 때문에 상당한 신뢰도 문제들을 야기할 수 있다. 더욱이, "랜딩되지 않은" 비아들은 전기적으로 도전성 재료들로 증착되는 아래에 놓인 에어 갭들 (114) 의 파괴를 발생시킬 수 있고, 이는 단락을 야기할 수 있다.2ca and 2cb show cross-sectional schematic illustrations of a partially fabricated metal interconnect structure taken from line C-C in FIG. 1j ; 2ca and 2cb , the second metal layer 121 is provided with via openings 120 to form vias 127 that provide electrical interconnection with the first layer 112 of patterned metal lines. ) is filled. The vias 127 are perfectly aligned with the first layer 112 of patterned metal lines in FIG. 2C . However, due to alignment or overlay errors, the vias 127 are not aligned with the first layer 112 of patterned metal lines as shown in FIG. 2CB . Due to alignment and overlay errors, vias 127 partially “land” on the top surface of first layer 112 of one or more patterned metal lines, causing vias 127 to partially “land” adjacent vias 127 . Shift closer to the first layer of patterned metal lines 112 and into the surrounding dielectric material. This results in a reduced distance between the conductive features and means that there is less insulating space between the via 127 and the first layer 112 of the neighboring patterned metal line. The reduced distance can result in insufficient shorting margin and reduced Time-Dependent Dielectric Breakdown (TDDB), or even complete shorting. TDDB is a failure mode in which an insulating layer (such as first dielectric material 113 ) breaks down with time and no longer serves as a suitable electrical insulator in normal electric fields. TDDB is subject to the electric field between metal lines because regions exposed to higher electric fields are more susceptible to TDDB failure. Higher voltages and/or reduced insulator thickness will result in higher electric fields. TDDB also depends on the spacing between adjacent metal lines because the spacing can be reduced to the point where the insulating layer cannot withstand electric fields, thus creating unintended conductance between adjacent metal lines. The end result is a short circuit or reduced reliability when the insulating layer cannot support the operating electric field. “Unlanded” vias can cause significant reliability problems due to TDDB degradation. Moreover, “unlanded” vias can cause breakdown of the underlying air gaps 114 deposited with electrically conductive materials, which can cause a short.

도 1k에서, 복수의 제 2 하드마스크 피처들 (128) 은 제 2 하드마스크 층 (122) 을 패터닝함으로써 형성된다. 복수의 제 2 하드마스크 피처들 (128) 은 포토리소그래피 (예를 들어, EUV 리소그래피) 를 사용하여 포토레지스트 (123) 를 패터닝함으로써 규정될 수도 있다. 더욱이, 일부 구현 예들에서, 제 2 하드마스크 피처들 (128) 의 피처 사이즈들은 SADP (self-aligned double patterning) 프로세스에 의해 감소될 수도 있다. 예로서, 보다 좁은 하드마스크 피처들이 피치 더블링에 의해 형성될 수 있고, 복수의 제 2 하드마스크 피처들 (128) 내의 피치는 SADP 프로세스를 사용하여 40 ㎚에서 20 ㎚로 하강될 수 있다. 1K , a plurality of second hardmask features 128 are formed by patterning the second hardmask layer 122 . The second plurality of hardmask features 128 may be defined by patterning the photoresist 123 using photolithography (eg, EUV lithography). Moreover, in some implementations, the feature sizes of the second hardmask features 128 may be reduced by a self-aligned double patterning (SADP) process. As an example, narrower hardmask features may be formed by pitch doubling, and the pitch within the plurality of second hardmask features 128 may be lowered from 40 nm to 20 nm using a SADP process.

도 1l에서, 부가적인 마스크 층들이 선택 가능하게 복수의 제 2 하드마스크 피처들 (128) 위에 증착되고 패터닝될 수도 있다. 부가적인 마스크 층들은 제 2 금속 층 (121) 을 패터닝하기 위해 제 2 하드마스크 피처들 (128) 의 목표된 배열로 아래에 놓인 복수의 제 2 하드마스크 피처들 (128) 을 에칭하기 위해 패터닝될 수도 있다. 결국, 제 2 금속 층 (121) 은 제 2 하드마스크 피처들 (128) 의 목표된 배열에 따라 패터닝되고 "절단"될 수도 있다. 일부 구현 예들에서, 부가적인 마스크 층들은 포토레지스트 (129), 레지스트 하층 (130), 및 스핀-온 탄소 (131) (SoC) 를 포함할 수도 있다. 그러나, 아래에 놓인 복수의 제 2 하드마스크 피처들 (128) 을 에칭하기 위해 부가적인 마스크 층들을 사용하는 대신, 제 2 하드마스크 피처들 (128) 의 에칭은 제 2 금속 층 (121) 을 에칭한 후에 적용될 수도 있다는 것이 이해될 것이다. 즉, 제 2 금속 층 (121) 은 복수의 제 2 하드마스크 피처들 (128) 이 "절단"을 겪는 대신 부가적인 마스크 층들에 의해 "절단"된다.In FIG. 1L , additional mask layers may be selectively deposited and patterned over the plurality of second hardmask features 128 . Additional mask layers may be patterned to etch an underlying plurality of second hardmask features 128 into a desired arrangement of second hardmask features 128 to pattern the second metal layer 121 . may be Consequently, the second metal layer 121 may be patterned and “cut” according to the desired arrangement of the second hardmask features 128 . In some implementations, additional mask layers may include photoresist 129 , under-resist layer 130 , and spin-on carbon 131 (SoC). However, instead of using additional mask layers to etch the underlying plurality of second hardmask features 128 , the etching of the second hardmask features 128 etches the second metal layer 121 . It will be understood that it may be applied later. That is, the second metal layer 121 is “cut” by additional mask layers instead of the second plurality of hardmask features 128 undergoing “cutting”.

도 1m에서, 복수의 제 2 하드마스크 피처들 (128) 은 부가적인 마스크 층들에 의해 패터닝된다. 부가적인 마스크 층들은 "절단" 에칭 프로세스에 의해 피처들의 목표된 배열로 복수의 제 2 하드마스크 피처들 (128) 을 형성한다. 부가적인 마스크 층들은 후속하여 제거된다.In FIG. 1M , a plurality of second hardmask features 128 are patterned with additional mask layers. The additional mask layers form a second plurality of hardmask features 128 in the desired arrangement of features by a “cut” etch process. Additional mask layers are subsequently removed.

도 1n에서, 제 2 금속 층 (121) 은 패터닝된 금속 라인들의 제 2 층 (132) 을 형성하도록 패터닝된다. 패터닝된 금속 라인들은 금속 라인 에칭 프로세스 동안 복수의 제 2 하드마스크 피처들 (128) 에 의해 규정된다. 금속 라인 에칭 프로세스는 제 1 유전체 재료 (113) 를 에칭하지 않고 패터닝된 금속 라인들의 제 2 층 (132) 을 형성하도록 금속을 선택적으로 에칭쓰루할 수도 있다. 적합한 에천트가 제 1 유전체 재료 (113) 를 에칭하지 않고 또는 실질적으로 에칭하지 않고 금속을 제거하도록 사용될 수도 있다. 예를 들어, 제거식 플라즈마 에칭은 아래에 놓인 제 1 유전체 재료 (113) 보다 실질적으로 보다 높은 에칭 레이트로 금속의 블랭킷 층을 제거할 수도 있다. 패터닝된 금속 라인들의 제 2 층 (132) 이 형성된 후, 복수의 제 2 하드마스크 피처들 (128) 이 제거될 수도 있다. 일부 구현 예들에서, 확산 배리어 층 및/또는 라이너 층이 패터닝된 금속 라인들의 제 2 층 (132) 상에 증착될 수도 있다. 확산 배리어 층 및/또는 라이너 층은 주변 유전체 재료로부터 패터닝된 금속 라인들의 제 2 층 (132) 을 분리한다.In FIG. 1N , the second metal layer 121 is patterned to form a second layer 132 of patterned metal lines. The patterned metal lines are defined by a plurality of second hardmask features 128 during the metal line etching process. The metal line etch process may selectively etch through the metal to form the second layer 132 of patterned metal lines without etching the first dielectric material 113 . A suitable etchant may be used to remove the metal without etching or substantially without etching the first dielectric material 113 . For example, the ablated plasma etch may remove the blanket layer of metal at a substantially higher etch rate than the underlying first dielectric material 113 . After the second layer of patterned metal lines 132 is formed, the plurality of second hardmask features 128 may be removed. In some implementations, a diffusion barrier layer and/or liner layer may be deposited on the second layer 132 of the patterned metal lines. A diffusion barrier layer and/or liner layer separates the second layer 132 of patterned metal lines from the surrounding dielectric material.

비아들 (127) 은 금속 상호 접속 구조체를 형성하도록 패터닝된 금속 라인들의 제 2 층 (132) 과 패터닝된 금속 라인들의 제 1 층 (112) 사이에 전기적 상호 접속을 제공한다. 앞서 논의된 바와 같이, 비아 마스크 (115) 내의 하나 이상의 홀들 (119) 을 패터닝할 때, 패터닝된 금속 라인들의 제 1 층 (112) 과 오정렬의 위험이 있다. 패터닝된 금속 라인들의 제 1 층 (112) (Mx) 과의 오정렬 위험이 있을뿐만 아니라, 패터닝된 금속 라인들의 제 2 층 (132) (Mx+1) 과의 오정렬 위험이 또한 있다. 패터닝된 금속 라인들의 제 2 층 (132) 을 패터닝할 때, 비아들 (127) 과 패터닝된 금속 라인들의 제 2 층 (132) 사이에 오정렬의 위험이 있다. 도 2da는 비아들 (127) 이 패터닝된 금속 라인들의 제 2 층 (132) 과 정렬되는, 도 1n의 라인 D-D로부터 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다. 도 2da에서 비아들 (127) 과 패터닝된 금속 라인들의 제 2 층 (132) 사이에 콘택트 면적의 손실이 없다. 도 2db는 비아들 (127) 이 패터닝된 금속 라인들의 제 2 층 (132) 과 오정렬되는 도 1n의 라인 D-D로부터의 금속 상호 접속 구조체의 단면 개략적 예시를 도시한다. 오정렬의 결과로서 도 2db에서 패터닝된 금속 라인들의 제 2 층 (132) 과 비아들 (127) 사이의 콘택트 면적의 손실이 있다. 이는 비아 면적의 손실을 야기한다. 전기 저항은 재료의 저항률 및 길이에 정비례하고, 재료의 단면적에 반비례한다. 비아 면적의 손실은 보다 높은 비아 저항을 발생시키고, 이는 감소된 성능 및 감소된 신뢰성을 야기한다.Vias 127 provide electrical interconnection between the second layer of patterned metal lines 132 and the first layer of patterned metal lines 112 to form a metal interconnect structure. As previously discussed, when patterning the one or more holes 119 in the via mask 115 , there is a risk of misalignment with the first layer 112 of the patterned metal lines. Not only is there a risk of misalignment of the patterned metal lines with the first layer 112 (Mx), but there is also a risk of misalignment of the patterned metal lines with the second layer 132 (Mx+1). When patterning the second layer 132 of patterned metal lines, there is a risk of misalignment between the vias 127 and the second layer 132 of the patterned metal lines. FIG. 2DA shows a cross-sectional schematic illustration of a metal interconnect structure from line D-D of FIG. 1N , with vias 127 aligned with the second layer 132 of patterned metal lines. There is no loss of contact area between the vias 127 and the second layer 132 of patterned metal lines in FIG. 2da . FIG. 2DB shows a cross-sectional schematic illustration of a metal interconnect structure from line D-D of FIG. 1N in which vias 127 are misaligned with the second layer 132 of patterned metal lines. As a result of the misalignment there is a loss of contact area between the vias 127 and the second layer of metal lines 132 patterned in FIG. 2db. This causes a loss of via area. Electrical resistance is directly proportional to the resistivity and length of the material, and inversely proportional to the cross-sectional area of the material. Loss of via area results in higher via resistance, which results in reduced performance and reduced reliability.

도 1o에서, 유전체 재료 (133) 는 본질적으로 Mx에 대해서와 동일한 방식으로 패터닝된 금속 라인들의 제 2 층 (132) 위에 증착되고 인접한 제 2 금속 라인들 사이의 공간들을 충진한다. 이하에서 제 2 유전체 재료로 지칭되는 이 유전체 재료 (133) 는 패터닝된 금속 라인들의 제 2 층 (132) 을 둘러쌀 수 있다. 패터닝된 금속 라인들의 제 2 층 (132) 을 형성하도록 금속의 블랭킷 층을 에칭한 후, 제 2 유전체 재료 (133) 는 금속의 블랭킷 층에 의해 이전에 충진된 갭들, 리세스들, 개구부들, 또는 공간들을 충진한다. 일부 구현 예들에서, 제 2 유전체 재료 (133) 가 증착된 후, CMP 및/또는 블랭킷 에치백과 같은 평탄화 프로세스에 의해 평탄화될 수도 있다. 일부 구현 예들에서, 제 2 유전체 재료 (133) 는 로우-k 유전체 재료이다. 일부 구현 예들에서, 제 2 유전체 재료 (133) 는 제 1 유전체 재료 (113) 와 동일한 조성을 공유한다. 일부 구현 예들에서, 에어 갭들은 인접한 제 2 금속 라인들 사이에서 제 2 유전체 재료 내에 형성될 수도 있고, 에어 갭들은 인접한 제 2 금속 라인들 사이의 제 2 유전체 재료 (133) 의 유전 상수를 더 감소시키도록 기능할 수도 있다. 제 2 유전체 재료 (133) 가 증착된 후, 금속 상호 접속 구조체가 제조된다. 제거식 패터닝 기법들에 의해 형성된 금속 상호 접속 구조체는 패터닝된 금속 라인들의 제 1 층 (112) 및 패터닝된 금속 라인들의 제 1 층 (112) 위에 패터닝된 금속 라인들의 제 2 층을 갖고, 여기서 하나 이상의 비아들 (127) 은 패터닝된 금속 라인들의 제 1 층 (112) 과 패터닝된 금속 라인들의 제 2 층 (132) 사이에 전기적 상호 접속을 제공한다. 부가적인 금속 라인들 (예를 들어, Mx+2, Mx+3, 등) 이 금속 상호 접속 구조체 상에 구축되도록 증착되고 패터닝될 수도 있다는 것이 이해될 것이다. 부가적인 금속 라인들은 패터닝된 금속 라인들의 제 2 층 (132) 및 패터닝된 금속 라인들의 제 1 층 (112) 과 동일하거나 유사한 방식으로 형성될 수도 있다. In FIG. 1O , a dielectric material 133 is deposited over the second layer 132 of patterned metal lines in essentially the same manner as for Mx and fills the spaces between adjacent second metal lines. This dielectric material 133 , referred to below as a second dielectric material, may surround the second layer 132 of patterned metal lines. After etching the blanket layer of metal to form the second layer 132 of the patterned metal lines, the second dielectric material 133 is formed of gaps, recesses, openings, previously filled by the blanket layer of metal, Or fill the spaces. In some implementations, after the second dielectric material 133 is deposited, it may be planarized by a planarization process such as CMP and/or blanket etch-back. In some implementations, the second dielectric material 133 is a low-k dielectric material. In some implementations, the second dielectric material 133 shares the same composition as the first dielectric material 113 . In some implementations, air gaps may be formed in the second dielectric material between adjacent second metal lines, the air gaps further reducing the dielectric constant of the second dielectric material 133 between adjacent second metal lines. It may function to make After the second dielectric material 133 is deposited, the metal interconnect structure is fabricated. A metal interconnect structure formed by removable patterning techniques has a first layer of patterned metal lines (112) and a second layer of patterned metal lines over the first layer of patterned metal lines (112), wherein one The above vias 127 provide electrical interconnection between the first layer 112 of patterned metal lines and the second layer 132 of patterned metal lines. It will be appreciated that additional metal lines (eg, Mx+2, Mx+3, etc.) may be deposited and patterned to build on the metal interconnect structure. Additional metal lines may be formed in the same or similar manner as the second layer 132 of patterned metal lines and the first layer 112 of patterned metal lines.

제거식 패터닝에서 자가 정렬된 비아들 Self-aligned vias in removable patterning

본 개시는 2 개의 연속적인 금속화 층들의 형성에 후속하여 하나 이상의 비아들이 형성되는 금속 상호 접속 구조체의 제조에 관한 것이다. 하나 이상의 비아들은 제 1 금속 층을 패터닝한 후 그리고 제 2 금속 층을 패터닝한 후에 하나 이상의 비아 개구부들을 전기적으로 도전성 재료로 충진함으로써 형성된다. 금속 상호 접속부 구조체는 제거식 패터닝 기법들에 의해 제조된다. 하나 이상의 비아들은 2 개의 연속적인 금속화 층들 각각과 정렬된다. 하나 이상의 비아들과 연속적인 금속화 층들 사이의 정렬은 2 개의 연속적인 금속화 층들을 형성한 후 패터닝된 금속 라인들의 상단 상에 일부 하드마스크 재료 또는 다른 절연 분리 재료를 남김으로써 달성된다. 남아 있는 절연 분리 재료 중 일부는 하나 이상의 비아 개구부들을 형성하기 위해 연속적인 금속화 층들 중 하나를 에칭쓰루할 때 제거된다. 주변 유전체 재료와 절연 분리 재료 사이의 에칭 선택도의 차들 및 주변 유전체 재료와 연속적인 금속화 층 사이의 에칭 선택도의 차들로 인해, 하나 이상의 비아들의 형성은 주변 유전체 재료로 형성되지 않는 공간 내에 포함된다. 일부 구현 예들에서, 하나 이상의 비아들은 개선된 콘택트 면적, 감소된 전기 저항률, 감소된 TBBD 고장 위험, 및 감소된 단락 위험을 제공하도록 2 개의 연속적인 금속화 층들과 완전히 정렬된다.The present disclosure relates to the fabrication of a metal interconnect structure in which one or more vias are formed following the formation of two successive metallization layers. The one or more vias are formed by filling the one or more via openings with an electrically conductive material after patterning the first metal layer and after patterning the second metal layer. The metal interconnect structure is fabricated by removable patterning techniques. One or more vias are aligned with each of two successive metallization layers. Alignment between one or more vias and successive metallization layers is achieved by forming two successive metallization layers and then leaving some hardmask material or other insulating isolation material on top of the patterned metal lines. Some of the remaining insulating isolation material is removed when etching through one of the successive metallization layers to form one or more via openings. Due to differences in etch selectivity between the surrounding dielectric material and the insulating isolation material and differences in etch selectivity between the surrounding dielectric material and the continuous metallization layer, the formation of one or more vias is contained within a space not formed with the surrounding dielectric material do. In some implementations, the one or more vias are fully aligned with two successive metallization layers to provide improved contact area, reduced electrical resistivity, reduced risk of TBBD failure, and reduced risk of short circuits.

도 3은 일부 구현 예들에 따른 집적 회로의 금속 상호 접속 구조체를 제작하는 일 예시적인 방법의 흐름도를 도시한다. 프로세스 (300) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 보다 적은 또는 부가적인 동작들과 함께 수행될 수도 있다.3 shows a flow diagram of an example method of fabricating a metal interconnect structure of an integrated circuit in accordance with some implementations. The operations of process 300 may be performed in different orders and/or with different, fewer, or additional operations.

프로세스 (300) 의 블록 310에서, 제거식 패터닝에 의해 기판 상에 패터닝된 금속 라인들의 제 1 층 (Mx) 이 형성된다. 일부 구현 예들에서, 기판은 반도체 웨이퍼이거나, 반도체 웨이퍼 상에 구축되거나, 반도체 웨이퍼의 일부일 수도 있다. 기판은 패터닝된 금속 라인들의 제 1 층이 형성되는 유전체 층을 포함할 수도 있다. 일부 구현 예들에서, 확산 배리어 층 및/또는 라이너 층은 유전체 층으로부터 패터닝된 금속 라인들의 제 1 층을 분리하도록 유전체 층 상에 증착될 수도 있다. 패터닝된 금속 라인들의 제 1 층은 금속 상호 접속 구조체의 제 1 금속화 층을 나타낸다. 본 명세서에 사용된 바와 같이, 패터닝된 금속 라인들의 층은 또한 금속화 층, 금속 층, 금속 라인들, 금속 피처들, 또는 라인 피처들로 지칭될 수도 있다. 패터닝된 금속 라인들의 제 1 층 또는 제 1 금속화 층은 또한 패터닝된 금속 라인들의 하단 층 또는 하단 금속화 층으로 지칭될 수도 있다.At block 310 of process 300 , a first layer (Mx) of patterned metal lines on a substrate is formed by removable patterning. In some implementations, the substrate may be a semiconductor wafer, built on a semiconductor wafer, or be part of a semiconductor wafer. The substrate may include a dielectric layer on which a first layer of patterned metal lines is formed. In some implementations, a diffusion barrier layer and/or liner layer may be deposited on the dielectric layer to separate the first layer of patterned metal lines from the dielectric layer. The first layer of patterned metal lines represents a first metallization layer of the metal interconnect structure. As used herein, a layer of patterned metal lines may also be referred to as a metallization layer, a metal layer, metal lines, metal features, or line features. The first layer or first metallization layer of patterned metal lines may also be referred to as the bottom layer or bottom metallization layer of patterned metal lines.

제거식 패터닝에 의한 패터닝된 금속 라인들의 제 1 층의 형성은 프로세스 (300) 의 블록 310에서 하나 이상의 동작들을 수반할 수 있다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 1 층을 형성하는 단계는 기판 위에 제 1 금속 층을 증착하는 단계, 제 1 금속 층 위에 제 1 절연 층을 증착하는 단계, 제 1 금속 층 위에 복수의 제 1 절연 피처들을 형성하도록 제 1 절연 층을 에칭하는 단계, 및 복수의 제 1 절연 피처들에 의해 규정된 패터닝된 금속 라인들의 제 1 층을 형성하도록 제 1 금속 층을 에칭하는 단계를 포함한다. 일부 구현 예들에서, 제 1 절연 층은 제 1 하드마스크 층일 수도 있고 제 1 절연 피처들은 제 1 하드마스크 피처들일 수도 있다. 제 1 금속 층은 제거식 패터닝 기법들을 사용하여 에칭되고 패터닝될 수 있는 임의의 적합한 금속을 포함할 수 있다. 예를 들어, 제 1 금속 층은 Mo, Ru, Al, 또는 W를 포함할 수 있다. 일부 구현 예들에서, 제 1 금속 층은 PVD, CVD, PECVD, ALD, 또는 전착과 같은 임의의 적합한 증착 기법을 사용하여 증착된다. 전착은 예를 들어, 전기 도금 또는 무전해 도금을 포함할 수 있다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 1 층 (Mx) 의 임계 치수 (CD) 는 약 50 ㎚ 이하, 약 20 ㎚ 이하, 약 15 ㎚ 이하, 또는 약 10 ㎚ 이하이다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 1 층 (Mx) 의 피치는 약 100 ㎚ 이하, 약 40 ㎚ 이하, 약 30 ㎚ 이하, 또는 약 20 ㎚ 이하일 수도 있다.Formation of the first layer of patterned metal lines by removable patterning may involve one or more operations at block 310 of process 300 . In some implementations, forming the first layer of patterned metal lines comprises depositing a first metal layer over the substrate, depositing a first insulating layer over the first metal layer, a plurality of over the first metal layer etching the first insulating layer to form first insulating features; and etching the first metal layer to form a first layer of patterned metal lines defined by the plurality of first insulating features. . In some implementations, the first insulating layer may be a first hardmask layer and the first insulating features may be first hardmask features. The first metal layer can include any suitable metal that can be etched and patterned using removable patterning techniques. For example, the first metal layer may include Mo, Ru, Al, or W. In some implementations, the first metal layer is deposited using any suitable deposition technique, such as PVD, CVD, PECVD, ALD, or electrodeposition. Electrodeposition may include, for example, electroplating or electroless plating. In some implementations, the critical dimension (CD) of the first layer (Mx) of patterned metal lines is about 50 nm or less, about 20 nm or less, about 15 nm or less, or about 10 nm or less. In some implementations, the pitch of the first layer (Mx) of patterned metal lines may be about 100 nm or less, about 40 nm or less, about 30 nm or less, or about 20 nm or less.

일부 구현 예들에서, 프로세스 (300) 는 제 1 금속 층 상에 복수의 제 1 절연 피처들을 형성하는 단계를 더 포함한다. 복수의 제 1 절연 피처들은 제 1 금속 층에 패터닝된 금속 라인들을 규정할 수도 있다. 프로세스 (300) 는 인접한 금속 라인들 사이의 공간들에 제 1 유전체 재료를 형성하는 단계를 더 포함한다. 제 1 유전체 재료는 복수의 제 1 절연 피처들 및 패터닝된 금속 라인들의 제 1 층을 둘러쌀 수도 있다. 복수의 제 1 절연 피처들은 제 1 유전체 재료의 형성 후 패터닝된 금속 라인들의 제 1 층의 상단 표면들을 커버하도록 유지된다. 이는 하나 이상의 비아들을 형성할 때 후속 에칭 프로세스들을 포함하도록 기능할 수 있다.In some implementations, process 300 further includes forming a first plurality of insulating features on the first metal layer. The plurality of first insulating features may define patterned metal lines in the first metal layer. Process 300 further includes forming a first dielectric material in spaces between adjacent metal lines. A first dielectric material may surround the plurality of first insulating features and the first layer of patterned metal lines. A first plurality of insulating features is maintained to cover top surfaces of the first layer of patterned metal lines after formation of the first dielectric material. This may serve to include subsequent etching processes when forming one or more vias.

도 4a 내지 도 4d는 제거식 패터닝에 의해 기판 위에 패터닝된 금속 라인들의 제 1 층을 형성하는 예시적인 프로세스의 개략적인 예시들을 도시한다. 프로세스 (300) 의 블록 (310) 에서 패터닝된 금속 라인들의 제 1 층을 형성하는 것은 도 4a 내지 도 4d에 도시된 것과 상이하거나, 보다 적거나, 부가적인 동작들을 수반할 수도 있다. 도 4a에서, 제 1 금속 층 (401) (Mx) 이 기판 (400) 위에 증착된다. 도 4a의 제 1 금속 층 (401) 은 아직 패터닝되지 않은 금속의 블랭킷 층이다. 일부 구현 예들에서, 라이너 층은 금속의 제 1 층 (401) 과 기판 (400) 사이에 배치될 수도 있다. 라이너 층의 예는 이로 제한되지 않지만 티타늄 나이트라이드 (TiN) 를 포함한다. 다른 예들은 탄탈룸 나이트라이드 (TaN), 텅스텐 나이트라이드 (WN), 및 텅스텐 탄소 나이트라이드 (WCN) 를 포함한다. 라이너 층의 두께는 약 5 ㎚ 이하 또는 약 3 ㎚ 이하일 수 있다. 일부 구현 예들에서, 유전체 층 (402) 이 라이너 층과 기판 (400) 사이에 배치될 수도 있다. 라이너 층은 유전체 층 (402) 으로부터 금속의 제 1 층 (401) 을 분리하도록 기능한다.4A-4D show schematic illustrations of an exemplary process for forming a first layer of patterned metal lines over a substrate by removable patterning. Forming the first layer of patterned metal lines in block 310 of process 300 may involve different, fewer, or additional operations than shown in FIGS. 4A-4D . In FIG. 4A , a first metal layer 401 (Mx) is deposited over the substrate 400 . The first metal layer 401 of FIG. 4A is a blanket layer of metal that has not yet been patterned. In some implementations, a liner layer may be disposed between the first layer of metal 401 and the substrate 400 . Examples of liner layers include, but are not limited to, titanium nitride (TiN). Other examples include tantalum nitride (TaN), tungsten nitride (WN), and tungsten carbon nitride (WCN). The thickness of the liner layer may be about 5 nm or less or about 3 nm or less. In some implementations, a dielectric layer 402 may be disposed between the liner layer and the substrate 400 . The liner layer functions to separate the first layer 401 of metal from the dielectric layer 402 .

제 1 금속 층 (401) 을 패터닝하기 위해, 제 1 하드마스크 층 (403) 이 제 1 금속 층 (401) 위에 증착될 수도 있다. 적합한 하드마스크 재료들의 예들은 실리콘 나이트라이드, 실리콘 옥사이드, 실리콘 카보나이트라이드, 실리콘 옥시카바이드, 실리콘 옥시나이트라이드, 비정질 실리콘, 폴리실리콘, 또는 탄소 (예를 들어, 비정질 탄소, 금속-도핑된 비정질 탄소, 다이아몬드-유사 탄소, 다결정 다이아몬드) 를 포함할 수도 있다. 제 1 하드마스크 층 (403) 은 도 1a에 기술된 바와 같이 포토레지스트 (404) 및 레지스트 하부층 (405) 을 사용하고, 선택 가능하게 비정질 탄소 층 (406) 및 도 1a에 기술된 바와 같이 포토레지스트 (404) 와 제 1 하드마스크 층 (403) 사이에 배치된 반사 방지 층 (407) 을 사용하여 패터닝될 수도 있다. To pattern the first metal layer 401 , a first hardmask layer 403 may be deposited over the first metal layer 401 . Examples of suitable hardmask materials include silicon nitride, silicon oxide, silicon carbonitride, silicon oxycarbide, silicon oxynitride, amorphous silicon, polysilicon, or carbon (e.g., amorphous carbon, metal-doped amorphous carbon, diamond-like carbon, polycrystalline diamond). The first hardmask layer 403 uses a photoresist 404 and a resist underlayer 405 as described in FIG. 1A , optionally an amorphous carbon layer 406 and a photoresist as described in FIG. 1A . It may be patterned using an anti-reflective layer 407 disposed between 404 and the first hardmask layer 403 .

도 4b에서, 복수의 제 1 하드마스크 피처들 (408) 은 제 1 하드마스크 층 (403) 을 패터닝함으로써 형성된다. 제 1 하드마스크 층 (403) 을 패터닝하는 것은 포토리소그래피 (예를 들어, EUV 리소그래피) 를 사용하여 포토레지스트 (404) 를 패터닝함으로써 달성될 수도 있다. 일부 구현 예들에서, 보다 작은 피처 사이즈들은 도 1b에 기술된 바와 같이 피치 더블링에 의해 형성될 수 있다. 일부 구현 예들에서, 부가적인 마스킹 동작들은 도 1c 및 도 1d에 기술된 바와 같이 제 1 하드마스크 피처들 (408) 을 제 1 하드마스크 피처들 (408) 의 목표된 배열로 "절단"하도록 수행될 수도 있다. 결국, 제 1 금속 층 (401) 은 부가적인 마스킹 및 절단 동작들에 이어 제 1 하드마스크 피처들 (408) 에 의해 규정된 바와 같이 패터닝될 것이다.In FIG. 4B , a plurality of first hardmask features 408 are formed by patterning the first hardmask layer 403 . Patterning the first hardmask layer 403 may be accomplished by patterning the photoresist 404 using photolithography (eg, EUV lithography). In some implementations, smaller feature sizes may be formed by pitch doubling as described in FIG. 1B . In some implementations, additional masking operations may be performed to “cut” the first hardmask features 408 into the desired arrangement of first hardmask features 408 as described in FIGS. 1C and 1D . may be Eventually, the first metal layer 401 will be patterned as defined by the first hardmask features 408 following additional masking and cutting operations.

도 4c에서, 제 1 금속 층 (401) 은 패터닝된 금속 라인들의 제 1 층 (409) 을 형성하도록 패터닝된다. 패터닝된 금속 라인들의 제 1 층 (409) 은 금속 라인 에칭 프로세스 동안 복수의 제 1 하드마스크 피처들 (408) 에 의해 규정된다. 금속 라인 에칭 프로세스는 하부 유전체 층 (402) 을 에칭하지 않고 또는 실질적으로 에칭하지 않고 패터닝된 금속 라인들의 제 1 층 (409) 을 형성하도록 금속을 선택적으로 에칭쓰루할 수도 있다. 예를 들어, 제거식 플라즈마 에칭은 하부 유전체 층 (402) 보다 실질적으로 보다 높은 에칭 레이트로 금속의 블랭킷 층을 제거할 수도 있다. 도 4c의 패터닝된 금속 라인들의 제 1 층 (409) 으로부터 복수의 제 1 하드마스크 피처들 (408) 을 제거하는 대신, 복수의 제 1 하드마스크 피처들 (408) 이 유지된다. 일부 구현 예들에서, 라이너 층 및/또는 확산 배리어 층은 복수의 제 1 하드마스크 피처들 (408) 상에 그리고 패터닝된 금속 라인들의 제 1 층 (409) 상에 증착될 수도 있다. 라이너 층 및/또는 확산 배리어 층은 패터닝된 금속 라인들의 제 1 층 (409) 및 복수의 제 1 하드마스크 피처들 (408) 을 둘러싸는 유전체 재료로부터 분리한다.In FIG. 4C , a first metal layer 401 is patterned to form a first layer 409 of patterned metal lines. A first layer 409 of patterned metal lines is defined by a plurality of first hardmask features 408 during a metal line etching process. The metal line etch process may selectively etch through the metal to form the first layer 409 of patterned metal lines without etching or substantially etching the underlying dielectric layer 402 . For example, an ablated plasma etch may remove the blanket layer of metal at a substantially higher etch rate than the underlying dielectric layer 402 . Instead of removing the first plurality of hardmask features 408 from the first layer 409 of patterned metal lines of FIG. 4C , the first plurality of hardmask features 408 are retained. In some implementations, a liner layer and/or diffusion barrier layer may be deposited on the plurality of first hardmask features 408 and on the first layer 409 of patterned metal lines. A liner layer and/or diffusion barrier layer separates the first layer of patterned metal lines 409 and the dielectric material surrounding the plurality of first hardmask features 408 .

도 4d에서, 제 1 유전체 재료 (410) 는 패터닝된 금속 라인들의 제 1 층 (409) 및 복수의 제 1 하드마스크 피처들 (408) 둘레에 증착되고 인접한 패터닝된 금속 라인들 사이의 공간들을 충진한다. 제 1 유전체 재료 (410) 는 패터닝된 금속 라인들의 제 1 층 (409) 및 제 1 하드마스크 피처들 (408) 을 둘러쌀 수 있다. 일부 구현 예들에서, 제 1 유전체 재료 (410) 는 복수의 제 1 하드마스크 피처들 (408) 위에 증착된다. 패터닝된 금속 라인들의 제 1 층을 형성하기 위해 금속의 블랭킷 층을 에칭한 후, 제 1 유전체 재료 (410) 는 금속의 블랭킷 층에 의해 이전에 충진되었던 갭들, 리세스들, 개구부들, 또는 공간들을 충진한다. 일부 구현 예들에서, 제 1 유전체 재료 (410) 가 증착된 후, 제 1 유전체 재료 (410) 및 복수의 제 1 하드마스크 피처들 (408) 은 CMP 및/또는 블랭킷 에치백과 같은 평탄화 프로세스에 의해 평탄화될 수도 있다. 평탄화 프로세스는 패터닝된 금속 라인들의 제 1 층 (409) 을 커버하는 제 1 하드마스크 피처들 (408) 의 상단 표면들을 노출할 수도 있다. 제 1 하드마스크 피처들 (408) 및 제 1 유전체 재료 (410) 의 상단 표면들은 동일 평면 상에 있다 (coplanar). 일부 구현 예들에서, 제 1 유전체 재료 (410) 는 로우-k 유전체 재료이다. 로우-k 유전체 재료들은 불소-도핑되거나 탄소-도핑된 실리콘 옥사이드 또는 OSG와 같은 유기물-함유 로우-k 재료를 포함할 수도 있다. 일부 구현 예들에서, 에어 갭들이 인접한 패터닝된 금속 라인들 사이에서 제 1 유전체 재료 (410) 내에 형성될 수도 있고, 에어 갭들은 인접한 패터닝된 금속 라인들 사이의 제 1 유전체 재료 (410) 의 유전 상수를 더 감소시키도록 기능할 수도 있다. 에어 갭들은 인접한 패터닝된 금속 라인들 사이의 공간에서 제 1 유전체 재료 (410) 내에 형성되고, 패터닝된 금속 라인들은 남아 있는 제 1 유전체 재료 (410) 에 의해 에어 갭들로부터 분리된다.In FIG. 4D , a first dielectric material 410 is deposited around a first layer 409 of patterned metal lines and a plurality of first hardmask features 408 and fills the spaces between adjacent patterned metal lines. do. A first dielectric material 410 can surround a first layer of patterned metal lines 409 and first hardmask features 408 . In some implementations, a first dielectric material 410 is deposited over the plurality of first hardmask features 408 . After etching the blanket layer of metal to form the first layer of patterned metal lines, first dielectric material 410 is applied to gaps, recesses, openings, or spaces that were previously filled by the blanket layer of metal. fill them up In some implementations, after the first dielectric material 410 is deposited, the first dielectric material 410 and the plurality of first hardmask features 408 are planarized by a planarization process such as CMP and/or blanket etchback. could be The planarization process may expose top surfaces of the first hardmask features 408 covering the first layer 409 of patterned metal lines. The top surfaces of the first hardmask features 408 and the first dielectric material 410 are coplanar. In some implementations, the first dielectric material 410 is a low-k dielectric material. Low-k dielectric materials may include organic-containing low-k materials such as fluorine-doped or carbon-doped silicon oxide or OSG. In some implementations, air gaps may be formed in the first dielectric material 410 between adjacent patterned metal lines, wherein the air gaps are the dielectric constant of the first dielectric material 410 between adjacent patterned metal lines. It may also function to further reduce . Air gaps are formed in the first dielectric material 410 in the space between adjacent patterned metal lines, and the patterned metal lines are separated from the air gaps by the remaining first dielectric material 410 .

도 3을 다시 참조하면, 프로세스 (300) 의 블록 320에서, 제거식 패터닝에 의해 패터닝된 금속 라인들의 제 2 층이 패터닝된 금속 라인들의 제 1 층 위에 형성된다. 일부 구현 예들에서, 확산 배리어 층 및/또는 라이너 층은 제 1 유전체 재료 및 복수의 제 1 절연 피처들의 노출된 표면들 상에 증착될 수도 있다. 패터닝된 금속 라인들의 제 2 층은 금속 상호 접속 구조체의 제 2 금속화 층을 나타낸다.Referring again to FIG. 3 , at block 320 of process 300 , a second layer of patterned metal lines is formed over the first layer of patterned metal lines by removable patterning. In some implementations, a diffusion barrier layer and/or liner layer may be deposited on the exposed surfaces of the first dielectric material and the plurality of first insulating features. The second layer of patterned metal lines represents a second metallization layer of the metal interconnect structure.

제거식 패터닝에 의한 패터닝된 금속 라인들의 제 2 층의 형성은 프로세스 (300) 의 블록 320에서 하나 이상의 동작들을 수반할 수 있다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 2 층을 형성하는 단계는 제 1 유전체 재료 위에 그리고 패터닝된 금속 라인들의 제 1 층 위에 제 2 금속 층을 증착하는 단계, 제 2 금속 층 위에 제 2 절연 층을 증착하는 단계, 제 2 금속 층 위에 복수의 제 2 절연 피처들을 형성하도록 제 2 절연 층을 에칭하는 단계, 및 복수의 제 2 절연 피처들에 의해 규정된 패터닝된 금속 라인들의 제 2 층을 형성하도록 제 2 금속 층을 에칭하는 단계를 포함한다. 일부 구현 예들에서, 제 2 절연 층은 제 2 하드마스크 층일 수도 있고 제 2 절연 피처들은 제 2 하드마스크 피처들일 수도 있다. 제 2 금속 층은 제거식 패터닝 기법들을 사용하여 에칭되고 패터닝될 수 있는 임의의 적합한 금속을 포함할 수 있다. 예를 들어, 제 2 금속 층은 Mo, Ru, Al, 또는 W를 포함할 수 있다. 일부 구현 예들에서, 제 2 금속 층은 제 1 금속 층과 동일한 재료이다. 일부 구현 예들에서, 제 2 금속 층은 PVD, CVD, PECVD, ALD, 또는 전착과 같은 임의의 적합한 증착 기법을 사용하여 증착된다. 전착은 예를 들어, 전기 도금 또는 무전해 도금을 포함할 수 있다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 2 층 (Mx+1) 의 임계 치수는 약 50 ㎚ 이하, 약 20 ㎚ 이하, 약 15 ㎚ 이하, 또는 약 10 ㎚ 이하이다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 2 층 (Mx+1) 의 피치는 약 100 ㎚ 이하, 약 40 ㎚ 이하, 약 30 ㎚ 이하, 또는 약 20 ㎚ 이하이다.Formation of the second layer of patterned metal lines by removable patterning may involve one or more operations at block 320 of process 300 . In some implementations, forming the second layer of patterned metal lines comprises depositing a second metal layer over the first dielectric material and over the first layer of patterned metal lines, a second insulation over the second metal layer depositing a layer, etching the second insulating layer to form a second plurality of insulating features over the second metal layer, and forming a second layer of patterned metal lines defined by the plurality of second insulating features. and etching the second metal layer to form. In some implementations, the second insulating layer may be a second hardmask layer and the second insulating features may be second hardmask features. The second metal layer can include any suitable metal that can be etched and patterned using removable patterning techniques. For example, the second metal layer may include Mo, Ru, Al, or W. In some implementations, the second metal layer is the same material as the first metal layer. In some implementations, the second metal layer is deposited using any suitable deposition technique, such as PVD, CVD, PECVD, ALD, or electrodeposition. Electrodeposition may include, for example, electroplating or electroless plating. In some implementations, the critical dimension of the second layer of patterned metal lines (Mx+1) is about 50 nm or less, about 20 nm or less, about 15 nm or less, or about 10 nm or less. In some implementations, the pitch of the second layer of patterned metal lines (Mx+1) is about 100 nm or less, about 40 nm or less, about 30 nm or less, or about 20 nm or less.

일부 구현 예들에서, 프로세스 (300) 는 제 2 금속 층 상에 복수의 제 2 절연 피처들을 형성하는 단계를 더 포함한다. 복수의 제 2 절연 피처들은 제 2 금속 층 내에 패터닝된 금속 라인들의 제 2 층을 규정할 수도 있다. 프로세스 (300) 는 인접한 금속 라인들 사이의 공간들에 제 2 유전체 재료를 형성하는 단계를 더 포함한다. 제 2 유전체 재료는 복수의 제 2 절연 피처들 및 패터닝된 금속 라인들의 제 2 층을 둘러 쌀 수도 있다. 복수의 제 2 절연 피처들은 제 2 유전체 재료의 형성 후 패터닝된 금속 라인들의 제 2 층의 상단 표면들을 커버하도록 유지된다. 이는 하나 이상의 비아들을 형성할 때 후속 에칭 프로세스들을 포함하도록 기능할 수 있다.In some implementations, process 300 further includes forming a second plurality of insulating features on the second metal layer. A second plurality of insulating features may define a second layer of patterned metal lines within the second metal layer. Process 300 further includes forming a second dielectric material in spaces between adjacent metal lines. A second dielectric material may surround a second plurality of insulating features and a second layer of patterned metal lines. A second plurality of insulating features is maintained to cover top surfaces of the second layer of patterned metal lines after formation of the second dielectric material. This may serve to include subsequent etching processes when forming one or more vias.

도 4e 내지 도 4h는 제거식 패터닝에 의해 패터닝된 금속 라인들의 제 1 층 위에 패터닝된 금속 라인들의 제 2 층을 형성하는 예시적인 프로세스의 개략적인 예시들을 도시한다. 프로세스 (300) 의 블록 320에서 패터닝된 금속 라인들의 제 2 층을 형성하는 것은 도 4e 내지 도 4h에 도시된 것과 상이하거나, 보다 적거나, 부가적인 동작들을 수반할 수도 있다. 도 4e에서, 제 2 금속 층 (411) (Mx+1) 이 패터닝된 금속 라인들의 제 1 층 (409) 위에, 그리고 제 1 유전체 재료 (410) 위에 그리고 복수의 제 1 하드마스크 피처들 (408) 위에 증착된다. 도 4e의 제 2 금속 층 (411) 은 제 1 유전체 재료 (410) 및 복수의 제 1 하드마스크 피처들 (408) 위에 금속의 블랭킷 층을 제공한다. 일부 구현 예들에서, 라이너 층은 제 2 금속 층 (411) 과 제 1 유전체 재료 (410) 사이 그리고 제 2 금속 층 (411) 과 복수의 제 1 하드마스크 피처들 (408) 사이에 배치된다. 제 2 금속 층 (411) 을 패터닝할 때, 제 2 하드마스크 층 (412) 이 금속 (411) 의 제 2 층 위에 증착될 수도 있고, 여기서 제 2 하드마스크 층 (412) 은 도 1j에 기술된 바와 같이 포토레지스트 (413) 및 레지스트 하층 (414) 을 사용하여, 선택 가능하게 비정질 탄소 층 (415) 및 도 1j에 기술된 바와 같이 포토레지스트 (413) 와 제 2 하드마스크 층 (412) 사이에 배치된 반사-방지 층 (416) 을 사용하여 패터닝될 수도 있다.4E-4H show schematic illustrations of an exemplary process for forming a second layer of patterned metal lines over a first layer of patterned metal lines by removable patterning. Forming the second layer of patterned metal lines at block 320 of process 300 may involve different, fewer, or additional operations than shown in FIGS. 4E-4H . In FIG. 4E , a second metal layer 411 (Mx+1) is over the first layer 409 of patterned metal lines and over the first dielectric material 410 and a plurality of first hardmask features 408 . ) is deposited on The second metal layer 411 of FIG. 4E provides a blanket layer of metal over the first dielectric material 410 and the plurality of first hardmask features 408 . In some implementations, a liner layer is disposed between the second metal layer 411 and the first dielectric material 410 and between the second metal layer 411 and the plurality of first hardmask features 408 . When patterning the second metal layer 411 , a second hardmask layer 412 may be deposited over the second layer of metal 411 , wherein the second hardmask layer 412 is the one described in FIG. 1J . Using photoresist 413 and resist underlayer 414 as described above, optionally between amorphous carbon layer 415 and photoresist 413 and second hardmask layer 412 as described in FIG. 1J . It may be patterned using the disposed anti-reflective layer 416 .

도 4f에서, 복수의 제 2 하드마스크 피처들 (417) 은 제 2 하드마스크 층 (412) 을 패터닝함으로써 형성된다. 제 2 하드마스크 층 (412) 을 패터닝하는 것은 포토리소그래피 (예를 들어, EUV 리소그래피) 를 사용하여 포토레지스트 (413) 를 패터닝함으로써 달성될 수도 있다. 일부 구현 예들에서, 보다 작은 피처 사이즈들은 도 1k에 기술된 바와 같이 피치 더블링에 의해 형성될 수 있다. 일부 구현 예들에서, 도 1l 및 도 1m에 기술된 바와 같이 제 2 하드마스크 피처들 (417) 을 제 2 하드마스크 피처들 (417) 의 목표된 배열로 "절단"하도록 부가적인 마스킹 동작들이 수행될 수도 있다. 결국, 제 2 금속 층 (411) 은 부가적인 마스킹 및 절단 동작들에 이어 제 2 하드마스크 피처들 (417) 에 의해 규정된 바와 같이 패터닝될 것이다.In FIG. 4F , a plurality of second hardmask features 417 are formed by patterning the second hardmask layer 412 . Patterning the second hardmask layer 412 may be accomplished by patterning the photoresist 413 using photolithography (eg, EUV lithography). In some implementations, smaller feature sizes may be formed by pitch doubling as described in FIG. 1K . In some implementations, additional masking operations may be performed to “cut” the second hardmask features 417 into the desired arrangement of second hardmask features 417 as described in FIGS. 1L and 1M . may be Eventually, the second metal layer 411 will be patterned as defined by the second hardmask features 417 following additional masking and cutting operations.

도 4g에서, 제 2 금속 층 (411) 은 패터닝된 금속 라인들의 제 2 층 (418) 을 형성하도록 패터닝된다. 패터닝된 금속 라인들의 제 2 층 (418) 은 금속 라인 에칭 프로세스 동안 복수의 제 2 하드마스크 피처들 (417) 에 의해 규정된다. 금속 라인 에칭 프로세스는 제 1 유전체 재료 (410) 및 복수의 제 1 하드마스크 피처들 (408) 을 에칭하지 않고 또는 실질적으로 에칭하지 않고 패터닝된 금속 라인들의 제 2 층 (418) 을 형성하도록 제 2 금속 층 (411) 을 선택적으로 에칭쓰루할 수도 있다. 예를 들어, 제거식 플라즈마 에칭은 제 1 유전체 재료 (410) 및 복수의 제 1 하드마스크 피처들 (408) 보다 실질적으로 보다 높은 에칭 레이트로 금속의 블랭킷 층을 제거할 수도 있다. 본 명세서에 사용된 바와 같이, "실질적으로 보다 높은 에칭 레이트"는 다른 재료들보다 에칭될 타깃 재료에 대해 적어도 5 배 큰 에칭 레이트를 지칭할 수 있다. 도 4g의 패터닝된 금속 라인들 (418) 의 제 2 층으로부터 복수의 제 2 하드마스크 피처들 (417) 을 제거하는 대신, 복수의 제 2 하드마스크 피처들 (417) 이 유지된다. 일부 구현 예들에서, 라이너 층 및/또는 확산 배리어 층은 복수의 제 2 하드마스크 피처들 (417) 상에 그리고 패터닝된 금속 라인들의 제 2 층 (418) 상에 증착될 수도 있다. 라이너 층 및/또는 확산 배리어 층은 패터닝된 금속 라인들의 제 2 층 (418) 및 복수의 제 2 하드마스크 피처들 (417) 을 둘러싸는 유전체 재료로부터 분리한다.In FIG. 4G , the second metal layer 411 is patterned to form a second layer 418 of patterned metal lines. The second layer 418 of patterned metal lines is defined by a plurality of second hardmask features 417 during the metal line etch process. The metal line etching process is performed to form a second layer 418 of patterned metal lines without etching or substantially etching the first dielectric material 410 and the plurality of first hardmask features 408 . The metal layer 411 may be selectively etched through. For example, the ablated plasma etch may remove the blanket layer of metal at a substantially higher etch rate than the first dielectric material 410 and the plurality of first hardmask features 408 . As used herein, “substantially higher etch rate” may refer to an etch rate that is at least five times greater for a target material to be etched than other materials. Instead of removing the plurality of second hardmask features 417 from the second layer of patterned metal lines 418 of FIG. 4G , the second plurality of hardmask features 417 are maintained. In some implementations, a liner layer and/or diffusion barrier layer may be deposited on the plurality of second hardmask features 417 and on the second layer 418 of patterned metal lines. A liner layer and/or diffusion barrier layer separates the second layer of patterned metal lines 418 and the dielectric material surrounding the plurality of second hardmask features 417 .

도 4h에서, 제 2 유전체 재료 (419) 는 패터닝된 금속 라인들의 제 2 층 (418) 및 복수의 제 2 하드마스크 피처들 (417) 둘레에 증착되고 인접한 패터닝된 금속 라인들 사이의 공간들을 충진한다. 제 2 유전체 재료 (419) 는 패터닝된 금속 라인들의 제 2 층 (418) 및 제 2 하드마스크 피처들 (417) 을 둘러쌀 수 있다. 일부 구현 예들에서, 제 2 유전체 재료 (419) 는 복수의 제 2 하드마스크 피처들 (417) 위에 증착된다. 패터닝된 금속 라인들의 제 2 층 (418) 을 형성하도록 금속의 블랭킷 층을 에칭한 후, 제 2 유전체 재료 (419) 는 금속의 블랭킷 층에 의해 이전에 충진되었던 갭들, 리세스들, 개구부들, 또는 공간들을 충진한다. 일부 구현 예들에서, 제 2 유전체 재료 (419) 가 증착된 후, 제 2 유전체 재료 (419) 및 복수의 제 2 하드마스크 피처들 (417) 은 CMP 및/또는 블랭킷 에치백과 같은 평탄화 프로세스에 의해 평탄화될 수도 있다. 평탄화 프로세스는 패터닝된 금속 라인들의 제 2 층 (418) 을 커버하는 제 2 하드마스크 피처들 (417) 의 상단 표면들을 노출할 수도 있다. 제 2 하드마스크 피처들 (417) 및 제 2 유전체 재료 (419) 의 상단 표면들은 동일 평면 상에 있다. 일부 구현 예들에서, 제 2 유전체 재료 (419) 는 로우-k 유전체 재료이다. 일부 구현 예들에서, 에어 갭들은 인접한 패터닝된 금속 라인들 사이에 제 2 유전체 재료 (419) 내에 형성될 수도 있고, 패터닝된 금속 라인들은 남아 있는 제 2 유전체 재료 (419) 에 의해 에어 갭들로부터 분리된다.In FIG. 4H , a second dielectric material 419 is deposited around a second layer 418 of patterned metal lines and a plurality of second hardmask features 417 and fills the spaces between adjacent patterned metal lines. do. A second dielectric material 419 can surround a second layer of patterned metal lines 418 and second hardmask features 417 . In some implementations, a second dielectric material 419 is deposited over the plurality of second hardmask features 417 . After etching the blanket layer of metal to form the second layer 418 of patterned metal lines, the second dielectric material 419 is formed of gaps, recesses, openings, which were previously filled by the blanket layer of metal, Or fill the spaces. In some implementations, after the second dielectric material 419 is deposited, the second dielectric material 419 and the plurality of second hardmask features 417 are planarized by a planarization process such as CMP and/or blanket etchback. could be The planarization process may expose top surfaces of the second hardmask features 417 covering the second layer 418 of patterned metal lines. The top surfaces of the second hardmask features 417 and the second dielectric material 419 are coplanar. In some implementations, the second dielectric material 419 is a low-k dielectric material. In some implementations, air gaps may be formed in a second dielectric material 419 between adjacent patterned metal lines, the patterned metal lines being separated from the air gaps by a remaining second dielectric material 419 . .

도 3을 다시 참조하면, 프로세스 (300) 의 블록 330에서, 금속 상호 접속 구조체를 형성하기 위해 패터닝된 금속 라인들의 제 1 층과 패터닝된 금속 라인들의 제 2 층 사이에 전기적 상호 접속을 제공하는 하나 이상의 비아들이 형성된다. 하나 이상의 비아들은 패터닝된 금속 라인들의 제 1 층 및 패터닝된 금속 라인들의 제 2 층의 형성에 후속하여 형성된다. 이에 더하여, 하나 이상의 비아들은 제 1 금속 층의 제거식 패터닝 및 제 1 유전체 재료로 패터닝된 금속 라인들의 제 1 층 주변의 공간들의 충진에 후속하여, 그리고 제 2 금속 층의 제거식 패터닝 및 제 2 유전체 재료로 패터닝된 금속 라인들의 제 2 층 주변의 공간들의 충진에 후속하여 형성된다. 즉, 2 개의 금속화 층들이 규정된 후 하나 이상의 비아들을 패터닝한다.Referring back to FIG. 3 , at block 330 of process 300 , one providing electrical interconnection between a first layer of patterned metal lines and a second layer of patterned metal lines to form a metal interconnect structure More than one via is formed. The one or more vias are formed subsequent to formation of the first layer of patterned metal lines and the second layer of patterned metal lines. In addition, the one or more vias are formed following the removable patterning of the first metal layer and filling of spaces around the first layer of metal lines patterned with the first dielectric material, and the removable patterning of the second metal layer and the second Subsequent to filling of spaces around the second layer of metal lines patterned with dielectric material are formed. That is, after two metallization layers are defined, one or more vias are patterned.

하나 이상의 비아들의 형성은 프로세스 (300) 의 블록 330에서 하나 이상의 동작들을 수반할 수 있다. 하나 이상의 비아들은 적어도 패터닝된 금속 라인들의 제 2 층을 통해 패터닝된 금속 라인들의 제 1 층으로 하나 이상의 비아 개구부들을 형성하고 그리고 전기적으로 도전성 재료로 하나 이상의 비아 개구부들을 충진함으로써 형성될 수도 있다. 하나 이상의 비아 개구부들을 형성하는 단계는 하나 이상의 제 2 절연 피처들을 에칭쓰루하는 단계, 패터닝된 금속 라인들의 제 2 층을 에칭쓰루하는 단계, 및 하나 이상의 제 1 절연 피처들을 에칭쓰루하는 단계를 포함할 수 있다. 주변 재료들을 에칭하지 않고 또는 실질적으로 에칭하지 않고 재료들의 3 개 이상의 층들을 에칭쓰루하는 것은 많은 과제들을 제시할 수 있다. 일부 구현 예들에서, 하나 이상의 제 2 절연 피처들을 에칭쓰루하는 것은 제 2 유전체 재료를 에칭하지 않고 또는 실질적으로 에칭하지 않고 일어난다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 2 층을 에칭쓰루하는 것은 제 2 유전체 재료를 에칭하지 않고 또는 실질적으로 에칭하지 않고 일어난다. 일부 구현 예들에서, 하나 이상의 제 1 절연 피처들을 에칭쓰루하는 것은 제 1 유전체 재료를 에칭하지 않고 또는 실질적으로 에칭하지 않고 일어난다. 본 명세서에 사용된 바와 같이, "실질적으로 에칭하지 않고"는 대상 재료 (예를 들어, 유전체) 의 에칭 레이트가 에칭될 타깃 재료 (예를 들어, 하드마스크) 의 에칭 레이트보다 적어도 5 배 낮은 에칭 프로세스들을 지칭할 수 있다. 즉, 에칭될 타깃 재료는 다른 재료들에 대해 약 5 : 1 이상의 에칭 선택도를 갖는다. 재료들의 3 개 이상의 층들을 에칭쓰루하는 것은 동일한 에천트를 사용하여 동일한 에칭 프로세스를 사용할 수도 있고, 또는 상이한 에천트들을 사용하여 상이한 에칭 프로세스들을 사용할 수도 있다. 일부 구현 예들에서, 하나 이상의 비아 개구부들을 전기적으로 도전성 재료로 충진하는 것은 패터닝된 금속 라인들의 제 2 층 및 하나 이상의 제 1 절연 피처들이 에칭되는 백필링 (backfilling) 을 포함한다. 이러한 백필링은 패터닝된 금속 라인들의 나머지 제 2 층과의 전기적 연결을 제공하도록 하나 이상의 비아들을 형성한다.The formation of one or more vias may involve one or more operations at block 330 of process 300 . The one or more vias may be formed by forming one or more via openings with a first layer of patterned metal lines through at least a second layer of patterned metal lines and filling the one or more via openings with an electrically conductive material. Forming the one or more via openings may include etching through one or more second insulating features, etching through a second layer of patterned metal lines, and etching through one or more first insulating features. can Etching through three or more layers of materials without or substantially without etching the surrounding materials can present many challenges. In some implementations, etching through the one or more second insulating features occurs without etching or substantially etching the second dielectric material. In some implementations, etching through the second layer of patterned metal lines occurs without etching or substantially etching the second dielectric material. In some implementations, etching through the one or more first insulating features occurs without or substantially without etching the first dielectric material. As used herein, “substantially without etching” means an etch in which the etch rate of the target material (eg, dielectric) is at least 5 times lower than the etch rate of the target material (eg, hardmask) to be etched It can refer to processes. That is, the target material to be etched has an etch selectivity of at least about 5:1 over other materials. Etching through three or more layers of materials may use the same etching process using the same etchant, or may use different etching processes using different etchants. In some implementations, filling the one or more via openings with an electrically conductive material includes backfilling in which the second layer of patterned metal lines and one or more first insulating features are etched. This backfilling forms one or more vias to provide electrical connection with the remaining second layer of patterned metal lines.

패터닝된 금속 라인들의 제 1 층으로 하나 이상의 비아 개구부들을 형성하는 것은 하나 이상의 비아 개구부들이 오프셋되거나 오정렬되지 않도록 제 1 절연 피처들 및 제 2 절연 피처들에 의해 제한될 수 있다. 구체적으로, 제 1 절연 피처들 및 제 2 절연 피처들은 비아 개구부들이 주변 유전체 재료들 내로 형성되지 않도록 에칭 프로세스를 제한하는 역할을 한다. 패터닝된 금속 라인들의 제 1 층 및 패터닝된 금속 라인들의 제 2 층의 형성 후 제 1 절연 피처들 및 제 2 절연 피처들을 유지하지 않고, 패터닝된 금속 라인들의 제 1 층과 패터닝된 금속 라인들의 제 2 층 사이에 원치 않은 전기적 접속부들 (예를 들어, 원치 않은 단락들) 을 생성하는 정렬 또는 오버레이 에러들이 발생할 수 있다. Forming the one or more via openings with the first layer of patterned metal lines may be limited by first insulating features and second insulating features such that the one or more via openings are not offset or misaligned. Specifically, the first insulating features and the second insulating features serve to limit the etching process such that via openings are not formed into the surrounding dielectric materials. After formation of the first layer of patterned metal lines and the second layer of patterned metal lines, the first layer of patterned metal lines and the second layer of patterned metal lines without retaining the first insulating features and the second insulating features Alignment or overlay errors can occur that create unwanted electrical connections (eg, unwanted shorts) between the two layers.

제 1 절연 피처들 및 제 2 절연 피처들과 주변 유전체 재료들 사이의 재료 차는 비아 형성이 포함되도록 에칭 콘트라스트를 구동하여, 하나 이상의 비아들이 패터닝된 금속 라인들의 제 1 층 및 패터닝된 금속 라인의 제 2 층과 자가-정렬되게 한다. 금속화 층들 사이에 비아들을 제공하기 위한 종래의 제조 프로세스들은 일반적으로 금속화 층들 사이의 공간적 오프셋으로서 동일한 유전체 재료를 사용하는 한편, 본 개시의 제 1 절연 피처들 및 제 2 절연 피처들은 상이한 에칭 선택도를 갖는 주변 유전체 재료와 재료 차를 제공한다.The material difference between the first and second insulating features and the surrounding dielectric materials drives an etch contrast such that via formation is included such that one or more vias are formed in the first layer of the patterned metal lines and the first layer of the patterned metal line. Let it self-align with the second floor. Conventional manufacturing processes for providing vias between metallization layers generally use the same dielectric material as the spatial offset between the metallization layers, while the first insulating features and the second insulating features of the present disclosure have different etch choices. It provides a material difference with the surrounding dielectric material having a degree.

주변 유전체 재료의 수직 벽들은 비아 형성이 패터닝된 금속 라인들의 제 1 층 및 패터닝된 금속 라인들의 제 2 층과 정렬되도록 비아 에칭을 제한하는 에칭 경계들로서 기능한다. 비아 에칭은 주변의 유전체 재료 또는 인접한 비아들로 연장하지 않는다. 비아 형성을 제한함으로써, 이는 패터닝된 금속 라인들의 제 1 층 및 패터닝된 금속 라인들의 제 2 층과 하나 이상의 비아들의 자기-정렬을 보장한다. 하나 이상의 비아들이 적어도 패터닝된 금속 라인들의 제 1 층과 정렬될 때, 하나 이상의 비아들은 오버랩없이 패터닝된 금속 라인들의 제 1 층의 상단 표면들과 직접적으로 콘택트한다. 따라서, 하나 이상의 비아들은 제 1 유전체 재료와 오버랩하지 않고 오정렬된 비아들에 의해 유발된 TDDB 열화 및 단락 문제를 해결한다. 하나 이상의 비아들이 적어도 패터닝된 금속 라인들의 제 2 층과 정렬될 때, 하나 이상의 비아들은 하나 이상의 패터닝된 금속 라인들의 제 2 층이 이전에 에칭되고 제 2 유전체 재료와 오버랩되지 않는 전기 도전성 재료로 충진된다. 이는 감소된 콘택트 면적, 보다 높은 비아 저항, 및 오정렬된 비아들에 의해 유발된 감소된 신뢰성 문제를 해결한다. 따라서, 자기-정렬된 비아 패터닝 스킴은 패터닝된 금속 라인들의 제 2 층 및 패터닝된 금속 라인들의 제 1 층과 완전히 정렬될 하나 이상의 비아들을 제공할 수도 있다. The vertical walls of the surrounding dielectric material serve as etch boundaries limiting the via etch such that the via formation aligns with the first layer of patterned metal lines and the second layer of patterned metal lines. The via etch does not extend to the surrounding dielectric material or adjacent vias. By limiting via formation, this ensures self-alignment of the one or more vias with the first layer of patterned metal lines and the second layer of patterned metal lines. When the one or more vias are aligned with at least the first layer of patterned metal lines, the one or more vias directly contact the top surfaces of the first layer of patterned metal lines without overlap. Thus, the one or more vias do not overlap the first dielectric material and solve the TDDB degradation and short circuit problems caused by the misaligned vias. When the one or more vias are aligned with at least the second layer of patterned metal lines, the one or more vias are filled with an electrically conductive material in which the second layer of the one or more patterned metal lines was previously etched and does not overlap the second dielectric material do. This addresses the reduced contact area, higher via resistance, and reduced reliability issues caused by misaligned vias. Accordingly, the self-aligned via patterning scheme may provide one or more vias that will be fully aligned with the second layer of patterned metal lines and the first layer of patterned metal lines.

일부 구현 예들에서, 프로세스 (300) 는 제 2 복수의 절연 피처들 및 제 2 유전체 재료 위에 비아 마스크를 증착하는 단계, 및 하나 이상의 비아 개구부들을 규정하기 위해 비아 마스크 내에 하나 이상의 홀들을 패터닝하는 단계를 더 포함한다. 하나 이상의 홀들 각각은 패터닝된 금속 라인들의 제 2 층 및/또는 패터닝된 금속 라인들의 제 1 층의 임계 치수보다 큰 직경 또는 폭을 갖는다. 일부 구현 예들에서, 하나 이상의 홀들 각각은 패터닝된 금속 라인들의 제 2 층 및/또는 패터닝된 금속 라인들의 제 1 층의 임계 치수보다 최대 약 100 % 큰 직경 또는 폭을 갖는다. 비아 마스크 내의 하나 이상의 홀들의 직경 또는 폭은 실제로 형성된 하나 이상의 비아들의 직경 또는 폭보다 크도록 오버-사이징된다. 이러한 방식으로, 하나 이상의 홀들과 에칭될 하부 층들 사이의 임의의 오정렬은 에칭될 타깃 재료를 남기지 않는다. 홀들을 오버-사이징함으로써, 이는 또한 에칭될 하부 층들이 임의의 정렬 에러와 무관하게 실제로 에칭된다는 것을 보장한다. 이는 부분적으로 에칭 동안 제 2 유전체 재료에 대해 선택적인 제 2 절연 피처들을 갖고, 에칭 동안 제 2 유전체 재료에 대해 선택적인 패터닝된 금속 라인들의 제 2 층을 갖고, 그리고 에칭 동안 제 1 유전체 재료에 대해 선택적인 제 1 절연 피처들을 갖는 것에 기인한다. 그러나, 하나 이상의 홀들의 직경 또는 폭은 인접한 금속 라인들로 연장할 위험이 있을 정도로 크지 않다는 것이 인식될 것이다. 따라서, 비아 마스크의 하나 이상의 홀들의 직경 또는 폭은 오정렬의 허용 오차를 설명하기 위해 약간 오버-사이징되지만, 다른 금속 라인들로 에칭될 정도로 오버-사이징되지 않는다.In some implementations, process 300 includes depositing a via mask over the second plurality of insulating features and the second dielectric material, and patterning one or more holes in the via mask to define one or more via openings. include more Each of the one or more holes has a diameter or width that is greater than a critical dimension of the second layer of patterned metal lines and/or the first layer of patterned metal lines. In some implementations, each of the one or more holes has a diameter or width that is up to about 100% greater than the critical dimension of the second layer of patterned metal lines and/or the first layer of patterned metal lines. The diameter or width of the one or more holes in the via mask is over-sized to be greater than the diameter or width of the one or more vias actually formed. In this way, any misalignment between the one or more holes and the underlying layers to be etched leaves no target material to be etched. By over-sizing the holes, this also ensures that the underlying layers to be etched are actually etched independent of any alignment errors. It has in part second insulating features selective to the second dielectric material during etching, having a second layer of patterned metal lines selective to the second dielectric material during etching, and to the first dielectric material during etching. due to having optional first insulating features. However, it will be appreciated that the diameter or width of one or more holes is not large enough to risk extending into adjacent metal lines. Thus, the diameter or width of one or more holes in the via mask is slightly over-sized to account for misalignment tolerance, but not over-sized enough to be etched into other metal lines.

도 4i 내지 도 4l은 패터닝된 금속 라인들의 제 1 층과 패터닝된 금속 라인들의 제 2 층 사이에 전기적 상호 접속을 제공하도록 하나 이상의 비아들을 형성하는 예시적인 프로세스의 개략적인 예시들을 도시한다. 프로세스 (300) 의 블록 330에서 하나 이상의 비아들을 형성하는 것은 도 4i 내지 도 4l에 도시된 것과 상이하거나, 보다 적거나, 부가적인 동작들을 수반할 수도 있다. 도 4i에서, 비아 마스크 (420) 는 복수의 제 2 하드마스크 피처들 (417) 및 제 2 유전체 재료 (419) 위에 형성된다. 비아 마스크 (420) 는 적어도 패터닝된 금속 라인들의 제 2 층 (418) 을 통해 하나 이상의 비아 개구부들을 패터닝하기 위한 하나 이상의 홀들 (421) 을 가질 수도 있다. 비아 마스크 (420) 는 패터닝을 위한 하나 이상의 층들을 포함할 수도 있고, 하나 이상의 층들은 포토레지스트 (422), 레지스트 하부층 (423), 스핀-온 탄소 (424) (SoC), 및 마스크 층 (425) (예를 들어, 하드마스크 층) 을 포함할 수도 있다. 포토리소그래피 프로세스는 마스크 층 (425) 을 패터닝하도록 포토레지스트 (422) 에 적용될 수도 있고, 여기서 하나 이상의 홀들이 마스크 층 (425) 내에 형성될 수도 있다. 마스크 층 (425) 의 부분들은 하나 이상의 비아 개구부들을 규정하기 위한 하나 이상의 홀들을 형성하도록 에칭될 수도 있다. 마스크 층 (425) 내의 하나 이상의 홀들은 제 2 하드마스크 피처들 (417), 패터닝된 금속 라인들의 제 2 층 (418), 및 하나 이상의 비아들이 형성될 제 1 하드마스크 피처들 (408) 과 정렬하도록 의도된다. 일부 구현 예들에서, 하나 이상의 홀들의 직경은 패터닝된 금속 라인들의 제 2 층 (418) 및/또는 패터닝된 금속 라인들의 제 1 층 (409) 의 임계 치수보다 크다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 1 층 (409) 또는 패터닝된 금속 라인들의 제 2 층 (418) 의 임계 치수는 약 50 ㎚ 이하, 약 20 ㎚ 이하, 또는 약 10 ㎚ 이하일 수도 있다. 일부 구현 예들에서, 직경은 패터닝된 금속 라인들의 제 2 층 및/또는 패터닝된 금속 라인들의 제 1 층 (409) 의 임계 치수보다 약 1 % 내지 약 100 % 더 크거나, 약 5 % 내지 약 100 % 더 크거나, 약 10 % 내지 약 50 % 크다. 하나 이상의 홀들의 직경은 패터닝된 금속 라인들의 제 2 층 (418) 을 통해 형성된 실제의 하나 이상의 비아 개구부들보다 크고, 여기서 사이즈의 차는 인접한 금속 라인들을 에칭하지 않고 오정렬의 일부 허용 오차를 설명한다. 일부 구현 예들에서, 비아 마스크 (420) 의 마스크 층 (425) 은 제 2 하드마스크 피처들 (417), 패터닝된 금속 라인들의 제 2 층 (418), 및 제 1 하드마스크 피처들 (408) 의 재료와 상이한 재료를 포함한다.4I-4L show schematic illustrations of an exemplary process for forming one or more vias to provide electrical interconnection between a first layer of patterned metal lines and a second layer of patterned metal lines. Forming one or more vias at block 330 of process 300 may involve different, fewer, or additional operations than those shown in FIGS. 4I-4L . In FIG. 4I , a via mask 420 is formed over the plurality of second hardmask features 417 and the second dielectric material 419 . The via mask 420 may have one or more holes 421 for patterning one or more via openings through at least the second layer 418 of patterned metal lines. Via mask 420 may include one or more layers for patterning, one or more layers including photoresist 422 , resist underlayer 423 , spin-on carbon 424 (SoC), and mask layer 425 . ) (eg, a hardmask layer). A photolithography process may be applied to photoresist 422 to pattern mask layer 425 , where one or more holes may be formed in mask layer 425 . Portions of the mask layer 425 may be etched to form one or more holes for defining one or more via openings. One or more holes in mask layer 425 are aligned with second hardmask features 417 , second layer of patterned metal lines 418 , and first hardmask features 408 in which one or more vias will be formed. intended to do In some implementations, a diameter of the one or more holes is greater than a critical dimension of the second layer of patterned metal lines 418 and/or of the first layer of patterned metal lines 409 . In some implementations, the critical dimension of the first layer of patterned metal lines 409 or the second layer of patterned metal lines 418 may be about 50 nm or less, about 20 nm or less, or about 10 nm or less. In some implementations, the diameter is from about 1% to about 100% greater, or from about 5% to about 100% greater than the critical dimension of the second layer of patterned metal lines and/or the first layer of patterned metal lines 409 . % greater, or from about 10% to about 50% greater. The diameter of the one or more holes is larger than the actual one or more via openings formed through the second layer 418 of patterned metal lines, where the difference in size accounts for some tolerance of misalignment without etching adjacent metal lines. In some implementations, the mask layer 425 of the via mask 420 is a layer of the second hardmask features 417 , the second layer of patterned metal lines 418 , and the first hardmask features 408 . material different from the material.

도 4j에서, 하나 이상의 비아 개구부들 (426) 은 패터닝된 금속 라인들의 제 1 층 (409) 으로 적어도 패터닝된 금속 라인들의 제 2 층 (418) 을 에칭쓰루함으로써 형성된다. 하나 이상의 비아 개구부들 (426) 은 비아 마스크 (420) 내의 하나 이상의 홀들 (421) 에 의해 규정된다. 하나 이상의 비아 개구부들 (426) 은 하나 이상의 패터닝된 금속 라인들의 제 2 층 (418) 및 하나 이상의 패터닝된 금속 라인들의 제 1 층 (409) 과 정렬되도록 의도된다. 오정렬의 허용 오차는 주변 유전체 재료들과 상이한 에칭 선택도를 갖는 패터닝된 금속 라인들의 상단 표면들 상에 전기적으로 절연성 재료 (예를 들어, 제 1 하드마스크 피처들 및 제 2 하드마스크 피처들) 를 남김으로써 설명될 수도 있다. 이러한 방식으로, 전기적으로 절연된 재료는 하나 이상의 비아 개구부들 (426) 이 주변 유전체 재료들로 형성되지 않도록 에칭 프로세스들을 제한하는 역할을 한다. 오정렬의 허용 오차는 또한 비아 마스크 (420) 내의 홀들을 약간 오버-사이징되게 함으로써 그리고 에칭이 주변 유전체 재료 위의 제 2 하드마스크 피처들 (417), 패터닝된 금속 라인들의 제 2 층 (418), 및 제 1 하드마스크 피처들 (408) 에 대해 선택적인 것을 보장함으로써 설명될 수도 있다. 이러한 방식으로, 비아 마스크 (420) 의 오버-사이징 홀들은 에칭될 타깃팅된 재료들을 손실할 위험을 감소시키고, 따라서 하나 이상의 비아 개구부들 (426) 을 형성하는 것은 타깃팅된 재료들을 남기지 않는다.In FIG. 4J , one or more via openings 426 are formed by etching through at least a second layer of patterned metal lines 418 with a first layer of patterned metal lines 409 . The one or more via openings 426 are defined by one or more holes 421 in the via mask 420 . The one or more via openings 426 are intended to align with the second layer 418 of one or more patterned metal lines and the first layer 409 of one or more patterned metal lines. Tolerance of misalignment allows for electrically insulating material (eg, first hardmask features and second hardmask features) on top surfaces of patterned metal lines that have different etch selectivity than surrounding dielectric materials. It can also be explained by leaving In this way, the electrically isolated material serves to limit the etch processes such that one or more via openings 426 are not formed with the surrounding dielectric materials. The tolerance of the misalignment also causes the holes in the via mask 420 to be slightly over-sized and etched to the second hardmask features 417 over the surrounding dielectric material, the second layer of patterned metal lines 418, and optional for the first hardmask features 408 . In this way, the over-sized holes of the via mask 420 reduce the risk of losing targeted materials to be etched, so forming the one or more via openings 426 leaves no targeted materials.

하나 이상의 비아 개구부들 (426) 을 형성하는 단계는 하나 이상의 제 2 하드마스크 피처들 (417) 을 에칭쓰루하는 단계, 패터닝된 금속 라인들의 제 2 층 (418) 을 에칭쓰루하는 단계, 및 하나 이상의 제 1 하드마스크 피처들 (408) 을 에칭쓰루하는 단계를 포함한다. 에칭은 패터닝된 금속 라인들의 제 1 층 (409) 상에서 중단된다. 패터닝된 금속 라인들의 제 1 층 (409) 은 에칭 후에 노출된다. 하나 이상의 제 2 하드마스크 피처들 (417) 을 에칭쓰루하는 단계는 제 2 유전체 재료 (419) 에 대해 선택적이고, 패터닝된 금속 라인들의 제 2 층 (418) 을 에칭쓰루하는 단계는 제 2 유전체 재료 (419) 에 대해 선택적이고, 그리고 하나 이상의 제 1 하드마스크 피처들 (408) 을 에칭쓰루하는 단계는 제 1 유전체 재료 (410) 에 대해 선택적이다. 하나 이상의 제 2 하드마스크 피처들 (417) 을 통한, 패터닝된 금속 라인들 (418) 의 제 2 층을 통한, 그리고 하나 이상의 제 1 하드마스크 피처들 (408) 을 통한 비아 개구부들 (426) 의 형성은 각각 도 4j의 라인 A-A 및 라인 B-B를 따라 취해진 도 5a 및 도 5b에서 관찰될 수도 있다.Forming the one or more via openings 426 includes etching through the one or more second hardmask features 417 , etching through the second layer 418 of patterned metal lines, and the one or more second hardmask features 417 . and etching through the first hardmask features (408). Etching is stopped on the first layer 409 of patterned metal lines. A first layer 409 of patterned metal lines is exposed after etching. Etching through the one or more second hardmask features 417 is optional with respect to the second dielectric material 419 , and etching through the second layer 418 of patterned metal lines 418 comprises a second dielectric material. Optional for 419 , and etching through one or more first hardmask features 408 is optional for first dielectric material 410 . of via openings 426 through one or more second hardmask features 417 , through a second layer of patterned metal lines 418 , and through one or more first hardmask features 408 . Formation may be observed in FIGS. 5A and 5B taken along line AA and line BB of FIG. 4J , respectively.

도 4k에서, 전기 도전성 재료 (427) 는 하나 이상의 비아 개구부들 (426) 을 충진하도록 하나 이상의 비아 개구부들 (426) 내에 증착된다. 하나 이상의 비아들 (428) 은 하나 이상의 제 1 하드마스크 피처들 (408) 및 패터닝된 금속 라인들의 제 2 층 (418) 이 미리 충진된 하나 이상의 비아 개구부들 (426) 을 충진함으로써 형성된다. 일부 구현 예들에서, 전기 도전성 재료 (427) 는 패터닝된 금속 라인들의 제 1 층 (409) 및 패터닝된 금속 라인들의 제 2 층 (418) 과 동일한 재료이다. 예를 들어, 전기 도전성 재료 (427) 는 Mo, Ru, Al, 또는 W를 포함한다. 일부 구현 예들에서, 전기 도전성 재료 (427) 는 패터닝된 금속 라인들의 제 1 층 (409) 및 패터닝된 금속 라인들의 제 2 층 (418) 과 상이한 재료이다. 일부 구현 예들에서, 전기 도전성 재료 (427) 는 하나 이상의 비아 개구부들 (426) 을 적어도 실질적으로 충진하기 위해 PVD, CVD, PECVD, ALD, 또는 전착과 같은 적합한 증착 기법에 의해 증착된다. 일부 구현 예들에서, 확산 배리어 층 및/또는 라이너 층은 전기적으로 도전성 재료 (427) 로 하나 이상의 비아 개구부들 (426) 을 충진하기 전에 하나 이상의 비아 개구부들 (426) 내에 증착될 수도 있다. 확산 배리어 층 및/또는 라이너 층은 주변 유전체 재료들로부터 하나 이상의 비아들 (428) 을 분리할 수도 있다.In FIG. 4K , an electrically conductive material 427 is deposited in the one or more via openings 426 to fill the one or more via openings 426 . One or more vias 428 are formed by filling one or more via openings 426 pre-filled with one or more first hardmask features 408 and a second layer of patterned metal lines 418 . In some implementations, the electrically conductive material 427 is the same material as the first layer 409 of patterned metal lines and the second layer 418 of patterned metal lines. For example, the electrically conductive material 427 includes Mo, Ru, Al, or W. In some implementations, the electrically conductive material 427 is a different material than the first layer 409 of patterned metal lines and the second layer 418 of patterned metal lines. In some implementations, the electrically conductive material 427 is deposited by a suitable deposition technique such as PVD, CVD, PECVD, ALD, or electrodeposition to at least substantially fill the one or more via openings 426 . In some implementations, a diffusion barrier layer and/or liner layer may be deposited in the one or more via openings 426 prior to filling the one or more via openings 426 with an electrically conductive material 427 . A diffusion barrier layer and/or liner layer may separate one or more vias 428 from surrounding dielectric materials.

하나 이상의 비아들 (428) 은 패터닝된 금속 라인들의 제 2 층 (418) 및 하나 이상의 제 1 하드마스크 피처들 (408) 이 에칭된 전기 도전성 재료 (427) 로 백필링함으로써 형성된다. 전기적으로 도전성 재료 (427) 는 노출된 패터닝된 금속 라인들의 제 1 층 (409) 과 콘택트하고 백필에 의해 패터닝된 금속 라인들의 제 2 층 (418) 과 전기적 상호 접속을 제공한다. 일부 구현 예들에서, 전기 도전성 재료 (427) 는 하나 이상의 비아 개구부들 (426) 을 충진하고, 마스크 층 (425) 의 하나 이상의 홀들을 충진하고, 그리고 하나 이상의 비아 개구부들 (426) 위에 전기적으로 도전성 재료 (427) 의 블랭킷 층을 제공하도록 증착된다. 이는 하나 이상의 비아 개구부들 (426) 위에 전기적으로 도전성 재료 (427) 의 오버버든을 제공한다. The one or more vias 428 are formed by backfilling with an electrically conductive material 427 in which the second layer 418 of patterned metal lines and one or more first hardmask features 408 have been etched. An electrically conductive material 427 contacts the exposed first layer of patterned metal lines 409 and provides electrical interconnection with the second layer 418 of patterned metal lines by backfill. In some implementations, electrically conductive material 427 fills one or more via openings 426 , fills one or more holes in mask layer 425 , and is electrically conductive over one or more via openings 426 . It is deposited to provide a blanket layer of material 427 . This provides an overburden of electrically conductive material 427 over one or more via openings 426 .

도 4l에서, 하나 이상의 제 1 하드마스크 피처들 (408) 및 패터닝된 금속 라인들의 제 2 층 (418) 이 하나 이상의 비아 개구부들 (426) 을 미리 충진한 전기적으로 도전성 재료 (427) 의 나머지 부분들이 충진되도록 전기적으로 도전성 재료 (427) 의 일부가 제거된다. 전기적으로 도전성 재료 (427) 의 부분의 이러한 제거는 마스크 층 (425) 의 하나 이상의 홀들 내에 있는, 하나 이상의 비아 개구부들 (426) 위에 있는, 그리고 제 2 하드마스크 피처들 (417) 이 하나 이상의 비아 개구부들 (426) 을 이전에 충진한 전기적으로 도전성 재료 (427) 를 에칭하는 것을 포함한다. 이는 전기적으로 도전성 재료 (427) 의 오버버든을 제거하고 전기적으로 도전성 재료 (427) 를 제 2 하드마스크 피처들 (417) 의 하단 레벨까지 남긴다. 따라서, 하나 이상의 리세스들은 제 2 하드마스크 피처들 (417) 의 하단 레벨로 하나 이상의 홀들을 통해 형성될 수도 있고, 이에 따라 적어도 리세스된 금속 충진물 (429) 을 제 2 하드마스크 피처들 (417) 의 하단 레벨로 제공한다. 도 4l에서 하나 이상의 완전히 정렬된 비아들 (428) 에 의해 연결된 2 개의 연속적인 금속화 층들을 갖는 금속 상호 접속 구조체가 제조된다. In FIG. 4L , the remaining portion of electrically conductive material 427 in which one or more first hardmask features 408 and a second layer of patterned metal lines 418 have pre-filled one or more via openings 426 . A portion of the electrically conductive material 427 is removed so that they are filled. This removal of the portion of the electrically conductive material 427 is in the one or more holes of the mask layer 425 , over the one or more via openings 426 , and the second hardmask features 417 are one or more vias. and etching the electrically conductive material 427 that previously filled the openings 426 . This removes the overburden of the electrically conductive material 427 and leaves the electrically conductive material 427 up to the bottom level of the second hardmask features 417 . Accordingly, one or more recesses may be formed through the one or more holes to the bottom level of the second hardmask features 417 , thereby displacing at least the recessed metal fill 429 into the second hardmask features 417 . ) as the lower level of In FIG. 4L a metal interconnect structure having two successive metallization layers connected by one or more fully aligned vias 428 is fabricated.

도 3을 다시 참조하면, 프로세스 (300) 는 전기적으로 도전성 재료의 노출된 부분들을 제 3 유전체 재료로 커버하는 것을 더 포함할 수 있다. 일부 구현 예들에서, 제 3 유전체 재료는 리세스된 비아 금속 충진물 및 제 2 절연 피처들 위에 증착될 수도 있다. 제 3 유전체 재료는 제 2 절연 피처들과 동일 평면 상에 있도록 에칭되거나 폴리싱될 수도 있다. 일부 구현 예들에서, 제 3 유전체 재료는 제 2 절연 피처들과 동일한 재료일 수 있다. Referring again to FIG. 3 , process 300 can further include covering the exposed portions of the electrically conductive material with a third dielectric material. In some implementations, a third dielectric material may be deposited over the recessed via metal fill and the second insulating features. The third dielectric material may be etched or polished to be coplanar with the second insulating features. In some implementations, the third dielectric material can be the same material as the second insulating features.

일부 구현 예들에서, 프로세스 (300) 는 제거식 패터닝에 의해 패터닝된 금속 라인들의 제 2 층 위에 패터닝된 금속 라인들의 제 3 층 (Mx+2) 을 형성하는 것을 더 포함할 수 있다. 패터닝된 금속 라인들의 제 3 층은 금속 상호 접속 구조체의 제 3 금속화 층을 나타낼 수도 있다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 2 층과 패터닝된 금속 라인들의 제 3 층 사이에 전기적 상호 접속을 제공하는 하나 이상의 부가적인 비아들이 형성될 수도 있다. 부가적인 금속화 층들 및 비아들은 금속 상호 접속 구조체에서 계속해서 제조될 수도 있고, 여기서 부가적인 금속화 층들은 제 1 금속화 층 및 제 2 금속화 층과 동일하거나 유사한 방식으로 형성될 수도 있고, 부가적인 비아들은 패터닝된 금속 라인들의 제 1 층과 패터닝된 금속 라인들의 제 2 층 사이에 전기적 상호 접속을 제공하는 하나 이상의 비아들과 동일하거나 유사한 방식으로 형성될 수도 있다.In some implementations, process 300 can further include forming a third layer of patterned metal lines (Mx+2) over the second layer of patterned metal lines by removable patterning. The third layer of patterned metal lines may represent a third metallization layer of the metal interconnect structure. In some implementations, one or more additional vias may be formed to provide electrical interconnection between the second layer of patterned metal lines and the third layer of patterned metal lines. Additional metallization layers and vias may continue to be fabricated in the metal interconnect structure, wherein the additional metallization layers may be formed in the same or similar manner as the first metallization layer and the second metallization layer, and additional The specific vias may be formed in the same or similar manner as one or more vias that provide electrical interconnection between the first layer of patterned metal lines and the second layer of patterned metal lines.

도 4m 및 도 4n은 제 3 유전체 재료로 리세스된 비아 금속 충진물을 캡핑하는 예시적인 프로세스의 개략적인 예시들을 도시한다. 리세스된 비아 금속 충진물을 캡핑하는 것은 도 4m 및 도 4n에 도시된 것과 상이하거나, 보다 적거나, 부가적인 동작들을 수반할 수도 있다. 도 4m에서, 제 3 유전체 재료 (430) 는 복수의 제 2 하드마스크 피처들 (417) 및 리세스된 비아 금속 충진물 (429) 위에 증착된다. 제 3 유전체 재료 (430) 는 하나 이상의 비아 개구부들 (426) 을 충진하는 전기적으로 도전성 재료 (427) 의 부분들을 제거한 후 형성된 하나 이상의 리세스들 내에 증착될 수도 있다. 일부 구현 예들에서, 제 3 유전체 재료 (430) 는 제 2 하드마스크 피처들 (417) 과 동일한 재료이다. 일부 구현 예들에서, 제 3 유전체 재료 (430) 는 마스크 층 (425) 위에 증착된다. 제 3 유전체 재료 (430) 는 마스크 층 (425) 과 동일한 재료 또는 동일한 타입의 재료일 수도 있다. 제 3 유전체 재료 (430) 는 전기적으로 도전성 재료 (427) 의 노출된 부분들을 커버하도록 증착된다.4M and 4N show schematic illustrations of an exemplary process for capping a recessed via metal fill with a third dielectric material. Capping the recessed via metal fill may involve different, fewer, or additional operations than shown in FIGS. 4M and 4N . In FIG. 4M , a third dielectric material 430 is deposited over the plurality of second hardmask features 417 and the recessed via metal fill 429 . A third dielectric material 430 may be deposited in the one or more recesses formed after removing portions of the electrically conductive material 427 filling the one or more via openings 426 . In some implementations, the third dielectric material 430 is the same material as the second hardmask features 417 . In some implementations, a third dielectric material 430 is deposited over the mask layer 425 . The third dielectric material 430 may be the same material or the same type of material as the mask layer 425 . A third dielectric material 430 is deposited to cover the exposed portions of the electrically conductive material 427 .

도 4n에서, 제 2 하드마스크 피처들 (417) 까지 제 3 유전체 재료 (430) 를 제거하도록 평탄화 프로세스가 수행된다. 평탄화 프로세스는 제 3 유전체 재료 (430) 가 제 2 하드마스크 피처들 (417) 과 동일 평면 상에 있도록 CMP 및/또는 블랭킷 에칭백을 포함할 수 있다. 게다가, 복수의 제 2 하드마스크 피처들 (417) 위의 마스크 층 (425) 은 제 3 유전체 재료 (430) 의 일부 제거와 함께 제거될 수도 있다. 제 3 유전체 재료 (430) 및 제 2 하드마스크 피처들 (417) 은 패터닝된 금속 라인들 (418) 의 제 2 층을 캡핑하거나 달리 커버하는 역할을 한다. 패터닝된 금속 라인들의 부가적인 층들 및 부가적인 비아들은 패터닝된 금속 라인들의 제 1 층 (409), 패터닝된 금속 라인들의 제 2 층 (418), 및 하나 이상의 비아들 (428) 과 동일하거나 유사한 방식으로 후속하여 형성될 수도 있다. 리세스된 비아 금속 충진물 (429) 을 캡핑한 후, 금속 상호 접속 구조체가 각각 도 4n에서 라인 C-C 및 라인 D-D를 따라 취해진 도 5c 및 도 5d에서 관찰될 수 있다. 도 5c 및 도 5d의 금속 상호 접속 구조체는 패터닝된 금속 라인들의 제 1 층 (409) 과 패터닝된 금속 라인들의 제 2 층 (418) 사이에 전기적 상호 접속을 제공하는 완전히 정렬된 비아들 (428) 을 도시한다. In FIG. 4N , a planarization process is performed to remove the third dielectric material 430 up to the second hardmask features 417 . The planarization process may include CMP and/or blanket etchback such that the third dielectric material 430 is coplanar with the second hardmask features 417 . In addition, the mask layer 425 over the plurality of second hardmask features 417 may be removed along with some removal of the third dielectric material 430 . The third dielectric material 430 and the second hardmask features 417 serve to cap or otherwise cover the second layer of the patterned metal lines 418 . Additional layers of patterned metal lines and additional vias are in the same or similar manner as the first layer of patterned metal lines 409 , the second layer of patterned metal lines 418 , and one or more vias 428 . may be formed subsequently. After capping the recessed via metal fill 429 , a metal interconnect structure can be observed in FIGS. 5C and 5D taken along lines C-C and D-D in FIG. 4N , respectively. The metal interconnect structure of FIGS. 5C and 5D has fully aligned vias 428 that provide electrical interconnection between a first layer 409 of patterned metal lines and a second layer 418 of patterned metal lines. shows

금속 상호 접속 구조체는 패터닝된 금속 라인들의 제 1 층 (409) 과 패터닝된 금속 라인들의 제 2 층 (418) 사이에 전기적 상호 접속을 제공할 때 하나 이상의 비아들 (428) 의 형성 후에 형성된다. 집적 회로의 예시적인 금속 상호 접속 구조체가 도 5c 및 도 5d에 예시된다. 금속 상호 접속 구조체는 패터닝된 금속 라인들의 제 1 층 (409), 패터닝된 금속 라인들의 제 1 층 (409) 중 적어도 일부 상의 복수의 제 1 절연 피처들 (431), 패터닝된 금속 라인들의 제 1 층 (409) 위의 패터닝된 금속 라인들의 제 2 층 (418), 및 패터닝된 금속 라인들의 제 2 층 (418) 중 적어도 일부 상의 복수의 제 2 절연 피처들 (432) 을 포함할 수 있다. 금속 상호 접속 구조체는 패터닝된 금속 라인들의 제 1 층 (409) 과 패터닝된 금속 라인들의 제 2 층 (418) 사이에 전기적 상호 접속을 제공하는 하나 이상의 비아들 (428) 을 더 포함하고, 하나 이상의 비아들 (428) 은 패터닝된 금속 라인들의 제 1 층 (409) 및 패터닝된 금속 라인들의 제 2 층 (418) 과 완전히 정렬된다. 제 1 유전체 재료 (410) 는 패터닝된 금속 라인들의 제 1 층 (409) 및 복수의 제 1 절연 피처들 (431) 을 둘러싼다. 제 2 유전체 재료 (419) 는 패터닝된 금속 라인들의 제 2 층 (418) 및 제 2 절연 피처들 (432) 을 둘러싼다. 하나 이상의 비아들 (428) 은 하나 이상의 비아들 (428) 이 제 1 유전체 재료 (410) 또는 제 2 유전체 재료 (419) 와 오버랩하지 않는 패터닝된 금속 라인들의 제 1 층 (409) 과 직접적으로 콘택트하도록 완전히 정렬된다. 하나 이상의 비아들 (428) 은 금속 라인들의 제 1 층 (409) 및 금속 라인들의 제 2 층 (418) 을 패터닝한 후에 형성된다.A metal interconnect structure is formed after formation of the one or more vias 428 when providing electrical interconnection between the first layer of patterned metal lines 409 and the second layer of patterned metal lines 418 . Exemplary metal interconnect structures of integrated circuits are illustrated in FIGS. 5C and 5D . The metal interconnect structure includes a first layer of patterned metal lines 409 , a plurality of first insulating features 431 on at least some of the first layer 409 of patterned metal lines, a first of the patterned metal lines. a second layer of patterned metal lines 418 over the layer 409 , and a plurality of second insulating features 432 on at least some of the second layer of patterned metal lines 418 . The metal interconnect structure further includes one or more vias 428 that provide electrical interconnection between the first layer 409 of patterned metal lines and the second layer 418 of patterned metal lines, and one or more The vias 428 are fully aligned with the first layer 409 of patterned metal lines and the second layer 418 of patterned metal lines. A first dielectric material 410 surrounds a first layer 409 of patterned metal lines and a plurality of first insulating features 431 . A second dielectric material 419 surrounds the second layer of patterned metal lines 418 and second insulating features 432 . The one or more vias 428 directly contact the first layer 409 of patterned metal lines where the one or more vias 428 do not overlap the first dielectric material 410 or the second dielectric material 419 . completely aligned to One or more vias 428 are formed after patterning the first layer 409 of metal lines and the second layer 418 of metal lines.

일부 구현 예들에서, 금속 상호 접속 구조체는 리세스된 비아 금속 충진물 (429) 위에 제 3 유전체 재료 (430) 를 더 포함하고, 패터닝된 금속 라인들의 제 2 층 (418) 의 상단 표면들은 제 2 절연 피처들 (432) 에 의해 커버되고 리세스된 비아 금속 충진물 (429) 이 제 3 유전체 재료 (430) 에 의해 커버된다. 일부 구현 예들에서, 제 3 유전체 재료 (430) 는 제 2 절연 피처들 (432) 과 동일한 재료이다. 일부 구현 예들에서, 제 1 유전체 재료 (410) 및 제 2 유전체 재료 (419) 각각은 로우-k 유전체 재료이다. 제 1 절연 피처들 (431) 및 제 2 절연 피처들 (432) 은 로우-k 유전체 재료와 상이한 에칭 선택도를 갖는다. 일부 구현 예들에서, 패터닝된 금속 라인들의 제 1 층 (409) 및 패터닝된 금속 라인들의 제 2 층 (418) 은 Mo, Ru, Al, 또는 W를 포함한다. 일부 구현 예들에서, 하나 이상의 비아들 (428) 은 Mo, Ru, Al, 또는 W를 포함하고, 여기서, 하나 이상의 비아들 (428) 의 재료는 패터닝된 금속 라인들의 제 1 층 (409) 및 패터닝된 금속 라인들의 제 2 층 (418) 의 재료와 동일하거나 상이하다.In some implementations, the metal interconnect structure further includes a third dielectric material 430 over the recessed via metal fill 429 , and the top surfaces of the second layer 418 of patterned metal lines are second insulating. Via metal fill 429 covered and recessed by features 432 is covered by a third dielectric material 430 . In some implementations, the third dielectric material 430 is the same material as the second insulating features 432 . In some implementations, each of the first dielectric material 410 and the second dielectric material 419 is a low-k dielectric material. The first insulating features 431 and the second insulating features 432 have different etch selectivity than the low-k dielectric material. In some implementations, the first layer of patterned metal lines 409 and the second layer of patterned metal lines 418 include Mo, Ru, Al, or W. In some implementations, the one or more vias 428 include Mo, Ru, Al, or W, wherein the material of the one or more vias 428 includes the first layer 409 of patterned metal lines and the patterning. the same or different from the material of the second layer 418 of the metal lines.

본 명세서에 기술된 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는 이하의 동작들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 동작; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함한다.The process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the manufacture or fabrication of semiconductor devices, displays, LEDs, optoelectronic panels, and the like. Typically, though not necessarily, these tools/processes will be used or performed together in a common manufacturing facility. Lithographic patterning of a film typically involves the following operations, each of which is enabled using a number of possible tools: (1) a workpiece using a spin-on tool or a spray-on tool. , that is, applying a photoresist on the substrate; (2) curing the photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light using a tool such as a wafer stepper; (4) developing the resist to pattern the resist by selectively removing the resist using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

결론conclusion

전술한 기술 (description) 에서, 제시된 구현 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 구현 예들은 이들 구체적 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 구현 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 구현 예들이 구체적 구현 예들과 함께 기술되었지만, 이는 개시된 구현 예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.In the foregoing description, numerous specific details have been set forth in order to provide a thorough understanding of the presented implementations. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the disclosed implementations. While the disclosed implementations have been described in conjunction with specific implementations, it will be understood that this is not intended to limit the disclosed implementations.

전술한 실시예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 세부사항들로 한정되지 않을 것이다.Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be regarded as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims (20)

금속 상호 접속 구조체를 제조하는 방법에 있어서,
제거식 패터닝 (subtractive patterning) 에 의해 기판 상에 패터닝된 금속 라인들의 제 1 층을 형성하는 단계;
상기 제거식 패터닝에 의해 상기 패터닝된 금속 라인들의 제 1 층 위에 패터닝된 금속 라인들의 제 2 층을 형성하는 단계; 및
상기 패터닝된 금속 라인들의 제 2 층을 형성한 후, 금속 상호 접속 구조체를 형성하기 위해 상기 패터닝된 금속 라인들의 제 1 층과 상기 패터닝된 금속 라인들의 제 2 층 사이에 전기적 상호 접속을 제공하는 하나 이상의 비아들을 형성하는 단계를 포함하는, 금속 상호 접속 구조체 제조 방법.
A method of manufacturing a metal interconnect structure comprising:
forming a first layer of patterned metal lines on a substrate by subtractive patterning;
forming a second layer of patterned metal lines over the first layer of patterned metal lines by the removable patterning; and
one providing electrical interconnection between the first layer of patterned metal lines and the second layer of patterned metal lines to form a metal interconnect structure after forming the second layer of patterned metal lines A method of fabricating a metal interconnect structure comprising forming one or more vias.
제 1 항에 있어서,
상기 하나 이상의 비아들을 형성하는 단계는,
적어도 상기 패터닝된 금속 라인들의 제 2 층을 통해 상기 패터닝된 금속 라인들의 제 1 층으로 하나 이상의 비아 개구부들을 형성하는 단계; 및
전기 도전성 재료로 상기 하나 이상의 비아 개구부들을 충진하는 단계를 포함하는, 금속 상호 접속 구조체 제조 방법.
The method of claim 1,
Forming the one or more vias comprises:
forming one or more via openings into the first layer of patterned metal lines at least through the second layer of patterned metal lines; and
and filling the one or more via openings with an electrically conductive material.
제 1 항에 있어서,
상기 패터닝된 금속 라인들의 제 1 층 상에 복수의 제 1 절연 피처들을 형성하는 단계; 및
상기 복수의 제 1 절연 피처들을 형성한 후, 상기 제 1 층의 인접한 금속 라인들 사이의 공간들에 제 1 유전체 재료를 형성하는 단계를 더 포함하는, 금속 상호 접속 구조체 제조 방법.
The method of claim 1,
forming a first plurality of insulating features on the first layer of patterned metal lines; and
and after forming the plurality of first insulating features, forming a first dielectric material in spaces between adjacent metal lines of the first layer.
제 3 항에 있어서,
상기 패터닝된 금속 라인들의 제 2 층 상에 복수의 제 2 절연 피처들을 형성하는 단계; 및
상기 복수의 제 2 절연 피처들을 형성한 후, 상기 제 2 층의 인접한 금속 라인들 사이의 공간들에 제 2 유전체 재료를 형성하는 단계를 더 포함하는, 금속 상호 접속 구조체 제조 방법.
4. The method of claim 3,
forming a second plurality of insulating features on the second layer of patterned metal lines; and
and after forming the plurality of second insulating features, forming a second dielectric material in spaces between adjacent metal lines of the second layer.
제 4 항에 있어서,
상기 하나 이상의 비아들을 형성하는 단계는,
하나 이상의 제 2 절연 피처들을 에칭쓰루하는 (etch through) 단계;
상기 패터닝된 금속 라인들의 제 2 층을 에칭쓰루하는 단계;
상기 패터닝된 금속 라인들의 제 1 층을 노출하도록 하나 이상의 비아 개구부들을 형성하도록 하나 이상의 제 1 절연 피처들을 에칭쓰루하는 단계; 및
상기 패터닝된 금속 라인들의 노출된 제 1 층 상에 상기 하나 이상의 비아들을 형성하도록 상기 하나 이상의 비아 개구부들 내에 전기적으로 도전성 재료를 증착하는 단계를 포함하는, 금속 상호 접속 구조체 제조 방법.
5. The method of claim 4,
Forming the one or more vias comprises:
etching through one or more second insulating features;
etching through the second layer of patterned metal lines;
etching through one or more first insulating features to form one or more via openings to expose the first layer of patterned metal lines; and
and depositing an electrically conductive material in the one or more via openings to form the one or more vias on the exposed first layer of patterned metal lines.
제 5 항에 있어서,
상기 복수의 제 2 절연 피처들 및 상기 제 2 유전체 재료 위에 비아 마스크를 형성하는 단계; 및
상기 비아 마스크 내에 하나 이상의 홀들을 패터닝하는 단계로서, 상기 하나 이상의 홀들은 각각 상기 패터닝된 금속 라인들의 제 2 층 및/또는 상기 패터닝된 금속 라인들의 제 1 층의 임계 치수 (CD) 보다 큰 직경 또는 폭을 갖는, 상기 홀들을 패터닝하는 단계를 더 포함하는, 금속 상호 접속 구조체 제조 방법.
6. The method of claim 5,
forming a via mask over the plurality of second insulating features and the second dielectric material; and
patterning one or more holes in the via mask, each of the one or more holes having a diameter greater than a critical dimension (CD) of the second layer of patterned metal lines and/or the first layer of patterned metal lines, or and patterning the holes having a width.
제 6 항에 있어서,
상기 하나 이상의 홀들 각각은 상기 패터닝된 금속 라인들의 제 2 층 및/또는 상기 패터닝된 금속 라인들의 제 1 층의 상기 CD보다 최대 약 100 % 큰 직경 또는 폭을 갖는, 금속 상호 접속 구조체 제조 방법.
7. The method of claim 6,
wherein each of the one or more holes has a diameter or width at most about 100% greater than the CD of the second layer of patterned metal lines and/or the first layer of patterned metal lines.
제 6 항에 있어서,
상기 전기 도전성 재료를 증착하는 단계는 상기 제 1 절연 피처들 및 상기 패터닝된 금속 라인들의 제 2 층이 이전에 에칭된 상기 전기 도전성 재료로 충진하는 단계를 포함하는, 금속 상호 접속 구조체 제조 방법.
7. The method of claim 6,
and depositing the electrically conductive material comprises filling the first insulating features and the second layer of patterned metal lines with the electrically conductive material previously etched.
제 5 항에 있어서,
상기 하나 이상의 제 2 절연 피처들을 에칭쓰루하는 단계는 상기 하나 이상의 제 2 절연 피처들을 둘러싸는 상기 제 2 유전체 재료에 대해 선택적이고, 그리고 상기 패터닝된 금속 라인들의 제 2 층을 에칭쓰루하는 단계는 상기 패터닝된 금속 라인들의 상기 제 2 층을 둘러싸는 상기 제 2 유전체 재료에 대해 선택적이고, 그리고 상기 하나 이상의 제 1 절연 피처들을 에칭쓰루하는 단계는 상기 하나 이상의 제 1 절연 피처들을 둘러싸는 상기 제 1 유전체 재료에 대해 선택적인, 금속 상호 접속 구조체 제조 방법.
6. The method of claim 5,
Etching through the one or more second insulating features is optional with respect to the second dielectric material surrounding the one or more second insulating features, and etching through the second layer of patterned metal lines comprises: selective with respect to the second dielectric material surrounding the second layer of patterned metal lines, and wherein etching through the one or more first insulating features comprises the first dielectric surrounding the one or more first insulating features. A method of fabricating a metal interconnect structure that is selective to the material
제 5 항에 있어서,
상기 패터닝된 금속 라인들의 제 1 층, 상기 패터닝된 금속 라인들의 제 2 층, 및 상기 전기적으로 도전성 재료 각각은 Mo, Ru, Al, 또는 W를 포함하는, 금속 상호 접속 구조체 제조 방법.
6. The method of claim 5,
wherein each of the first layer of patterned metal lines, the second layer of patterned metal lines, and the electrically conductive material comprises Mo, Ru, Al, or W.
제 4 항에 있어서,
상기 제 1 유전체 재료 및 상기 제 2 유전체 재료 각각은 로우-k (low-k) 유전체 재료를 포함하고, 그리고 상기 복수의 제 1 절연 피처들 및 상기 복수의 제 2 절연 피처들 각각은 상기 로우-k 유전체 재료와 상이한 에칭 선택도를 갖는, 금속 상호 접속 구조체 제조 방법.
5. The method of claim 4,
each of the first dielectric material and the second dielectric material comprises a low-k dielectric material, and each of the plurality of first insulating features and the plurality of second insulating features comprises the low-k dielectric material. k having a different etch selectivity than the dielectric material.
제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
상기 하나 이상의 비아들은 상기 패터닝된 금속 라인들의 제 1 층 및 상기 패터닝된 금속 라인들의 제 2 층과 완전히 정렬되는, 금속 상호 접속 구조체 제조 방법.
12. The method according to any one of claims 1 to 11,
and the one or more vias are fully aligned with the first layer of patterned metal lines and the second layer of patterned metal lines.
제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
상기 패터닝된 금속 라인들의 상기 제 1 층 및 상기 패터닝된 금속 라인들의 상기 제 2 층의 CD는 약 20 ㎚ 이하인, 금속 상호 접속 구조체 제조 방법.
12. The method according to any one of claims 1 to 11,
The CD of the first layer of patterned metal lines and the second layer of patterned metal lines is about 20 nm or less.
제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
상기 패터닝된 금속 라인들의 제 1 층을 형성하는 단계는,
상기 기판 위에 제 1 금속을 증착하는 단계;
상기 제 1 금속 위에 제 1 마스크 층을 증착하는 단계;
상기 제 1 금속 위에 복수의 제 1 절연 피처들을 형성하도록 제 1 마스크 층을 에칭하는 단계; 및
상기 복수의 제 1 절연 피처들에 의해 규정된 상기 패터닝된 금속 라인들의 제 1 층을 형성하도록 상기 제 1 금속을 에칭하는 단계를 포함하고,
상기 패터닝된 금속 라인들의 제 2 층을 형성하는 단계는,
상기 패터닝된 금속 라인들의 제 1 층 위에 제 2 금속을 증착하는 단계;
상기 제 2 금속 위에 제 2 마스크 층을 증착하는 단계;
상기 제 2 금속 위에 복수의 제 2 절연 피처들을 형성하도록 상기 제 2 마스크 층을 에칭하는 단계; 및
상기 복수의 제 2 절연 피처들에 의해 규정된 상기 제 2 금속의 패터닝된 금속 라인들을 형성하도록 상기 제 2 금속을 에칭하는 단계를 포함하는, 금속 상호 접속 구조체 제조 방법.
12. The method according to any one of claims 1 to 11,
Forming a first layer of the patterned metal lines comprises:
depositing a first metal over the substrate;
depositing a first mask layer over the first metal;
etching the first mask layer to form a first plurality of insulating features over the first metal; and
etching the first metal to form a first layer of the patterned metal lines defined by the plurality of first insulating features;
Forming a second layer of the patterned metal lines comprises:
depositing a second metal over the first layer of patterned metal lines;
depositing a second mask layer over the second metal;
etching the second mask layer to form a second plurality of insulating features over the second metal; and
and etching the second metal to form patterned metal lines of the second metal defined by the plurality of second insulating features.
집적 회로를 위한 금속 상호 접속 구조체에 있어서,
패터닝된 금속 라인들의 제 1 층;
상기 패터닝된 금속 라인들의 상기 제 1 층의 상기 패터닝된 금속 라인들 중 적어도 일부 상의 복수의 제 1 절연 피처들;
상기 패터닝된 금속 라인들의 제 1 층 위의 패터닝된 금속 라인들의 제 2 층;
상기 패터닝된 금속 라인들의 제 2 층 중 적어도 일부 상의 복수의 제 2 절연 피처들; 및
상기 패터닝된 금속 라인들의 제 1 층과 상기 패터닝된 금속 라인들의 제 2 층 사이에 전기적 상호 접속을 제공하는 하나 이상의 비아들로서, 상기 하나 이상의 비아들은 상기 패터닝된 금속 라인들의 제 1 층 및 상기 패터닝된 금속 라인들의 제 2 층과 완전히 정렬되는, 상기 하나 이상의 비아들을 포함하는, 금속 상호 접속 구조체.
A metal interconnect structure for an integrated circuit comprising:
a first layer of patterned metal lines;
a plurality of first insulating features on at least some of the patterned metal lines of the first layer of patterned metal lines;
a second layer of patterned metal lines over the first layer of patterned metal lines;
a plurality of second insulating features on at least a portion of the second layer of patterned metal lines; and
one or more vias providing electrical interconnection between the first layer of patterned metal lines and the second layer of patterned metal lines, the one or more vias comprising the first layer of patterned metal lines and the patterned metal lines and the one or more vias fully aligned with the second layer of metal lines.
제 15 항에 있어서,
상기 하나 이상의 비아들은 상기 패터닝된 금속 라인들의 제 1 층을 상기 패터닝된 금속 라인들의 제 2 층과 콘택트하도록 상기 제 1 절연 피처들을 통해 연장하는, 금속 상호 접속 구조체.
16. The method of claim 15,
and the one or more vias extend through the first insulating features to contact the first layer of patterned metal lines with the second layer of patterned metal lines.
제 15 항에 있어서,
상기 패터닝된 금속 라인들의 제 1 층 및 상기 복수의 제 1 절연 피처들을 둘러싸는 제 1 유전체 재료; 및
상기 패터닝된 금속 라인들의 제 2 층 및 상기 복수의 제 2 절연 피처들을 둘러싸는 제 2 유전체 재료를 더 포함하는, 금속 상호 접속 구조체.
16. The method of claim 15,
a first dielectric material surrounding the first layer of patterned metal lines and the plurality of first insulating features; and
and a second dielectric material surrounding the second layer of patterned metal lines and the plurality of second insulating features.
제 17 항에 있어서,
상기 하나 이상의 비아들의 리세스된 비아 금속 충진물 위의 제 3 유전체 재료를 더 포함하는, 금속 상호 접속 구조체.
18. The method of claim 17,
and a third dielectric material over the recessed via metal fill of the one or more vias.
제 17 항에 있어서,
상기 제 1 유전체 재료 및 상기 제 2 유전체 재료 각각은 로우-k 유전체 재료를 포함하고, 그리고 상기 복수의 제 1 절연 피처들 및 상기 복수의 제 2 절연 피처들 각각은 상기 로우-k 유전체 재료와 상이한 에칭 선택도를 갖는, 금속 상호 접속 구조체.
18. The method of claim 17,
each of the first dielectric material and the second dielectric material comprises a low-k dielectric material, and each of the plurality of first insulating features and the plurality of second insulating features is different from the low-k dielectric material. A metal interconnect structure having etch selectivity.
제 15 항 내지 제 19 항 중 어느 한 항에 있어서,
상기 하나 이상의 비아들은 전기적으로 도전성 재료를 포함하고, 상기 패터닝된 금속 라인들의 제 1 층, 상기 패터닝된 금속 라인들의 제 2 층, 및 상기 전기적으로 도전성 재료 각각은 Mo, Ru, Al 또는 W를 포함하는, 금속 상호 접속 구조체.
20. The method according to any one of claims 15 to 19,
the one or more vias comprise an electrically conductive material, each of the first layer of patterned metal lines, the second layer of patterned metal lines, and the electrically conductive material comprising Mo, Ru, Al or W which is a metal interconnect structure.
KR1020217020279A 2018-11-30 2019-11-15 Metal interconnect structure by subtractive process KR20210087550A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862774046P 2018-11-30 2018-11-30
US62/774,046 2018-11-30
PCT/US2019/061718 WO2020112388A1 (en) 2018-11-30 2019-11-15 Metal interconnect structure by subtractive process

Publications (1)

Publication Number Publication Date
KR20210087550A true KR20210087550A (en) 2021-07-12

Family

ID=70853020

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217020279A KR20210087550A (en) 2018-11-30 2019-11-15 Metal interconnect structure by subtractive process

Country Status (4)

Country Link
KR (1) KR20210087550A (en)
CN (1) CN113169117A (en)
TW (1) TWI834762B (en)
WO (1) WO2020112388A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114144883A (en) * 2021-11-04 2022-03-04 长江存储科技有限责任公司 Three-dimensional (3D) memory device and method of fabrication using self-aligned multiple patterning and air gaps

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6255735B1 (en) * 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6664011B2 (en) * 2001-12-05 2003-12-16 Taiwan Semiconductor Manufacturing Company Hole printing by packing and unpacking using alternating phase-shifting masks
US8993404B2 (en) * 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9293392B2 (en) * 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US20170040257A1 (en) * 2015-08-04 2017-02-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US9502350B1 (en) * 2016-01-28 2016-11-22 International Business Machines Corporation Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer
EP3217425B1 (en) * 2016-03-07 2021-09-15 IMEC vzw Self-aligned interconnects and corresponding method

Also Published As

Publication number Publication date
TW202036791A (en) 2020-10-01
WO2020112388A1 (en) 2020-06-04
TWI834762B (en) 2024-03-11
CN113169117A (en) 2021-07-23

Similar Documents

Publication Publication Date Title
US10861742B2 (en) Interconnect structure having an etch stop layer over conductive lines
US10643895B2 (en) Self-aligned interconnects formed using subtractive techniques
KR20210000732A (en) Via prefilling of fully aligned vias
JP5532400B2 (en) Semiconductor devices including fine pitch arrays with staggered contacts and methods for their design and manufacture
US6911389B2 (en) Self aligned vias in dual damascene interconnect, buried mask approach
KR20030082573A (en) Self-aligned conductive line for cross-point magnetic memory integrated circuits
US20210366726A1 (en) Via Connection to a Partially Filled Trench
US7119006B2 (en) Via formation for damascene metal conductors in an integrated circuit
TW201913762A (en) Method of forming semiconductor device and semiconductor device
US20200194306A1 (en) Self-aligned cuts in an interconnect structure
KR20210087550A (en) Metal interconnect structure by subtractive process
CN112750773B (en) Method for producing gate and source/drain via connections for contact transistors
KR20090024854A (en) Metal line and method for fabricating metal line of semiconductor device
CN111463169B (en) Method for manufacturing semiconductor device
KR100870315B1 (en) Method for manufacturing semiconductor device
KR100521453B1 (en) Method of forming multilayer interconnection line for semiconductor device
US20130045595A1 (en) Method for processing metal layer
KR100698743B1 (en) Method of manufacturing semiconductor device
KR100203299B1 (en) Interconnecting method of semiconductor device
KR20030073863A (en) Method for forming metal line of semiconductor device by using dual damascene process
KR20040022627A (en) Method for forming contact hole of a semiconductor

Legal Events

Date Code Title Description
A201 Request for examination