TW202036791A - Metal interconnect structure by subtractive process - Google Patents

Metal interconnect structure by subtractive process Download PDF

Info

Publication number
TW202036791A
TW202036791A TW108142703A TW108142703A TW202036791A TW 202036791 A TW202036791 A TW 202036791A TW 108142703 A TW108142703 A TW 108142703A TW 108142703 A TW108142703 A TW 108142703A TW 202036791 A TW202036791 A TW 202036791A
Authority
TW
Taiwan
Prior art keywords
layer
patterned metal
metal
dielectric material
patterned
Prior art date
Application number
TW108142703A
Other languages
Chinese (zh)
Other versions
TWI834762B (en
Inventor
湯瑪士 韋勒 茂希爾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202036791A publication Critical patent/TW202036791A/en
Application granted granted Critical
Publication of TWI834762B publication Critical patent/TWI834762B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A metal interconnect structure of an integrated circuit may be fabricated by forming one or more vias subsequent to formation of two contiguous metallization layers. The one or more vias are fully aligned with a first metallization layer and a second metallization layer. Hardmask material or other insulating material is left on top of the first metallization layer and the second metallization layer during fabrication of the metal interconnect structure. Some of the hardmask material or other insulating material is etched and subsequently backfilled with electrically conductive material to form the one or more vias, where the one or more vias are contained within a space that does not overlap with surrounding dielectric material.

Description

由削減式製程形成的金屬互連結構Metal interconnect structure formed by reduction process

本發明係關於由削減式製程形成的金屬互連結構。The present invention relates to a metal interconnection structure formed by a reduction process.

在積體電路(IC)中所加入的互連結構包含一或更多層的金屬線,用以將IC之電子裝置彼此連接並連接至外部的連接部。可透過一或更多介電材料中介層以使該等金屬線層彼此絕緣。互連結構可藉由加成式圖案化技術或削減式圖案化技術而形成。加成式圖案化技術可包含鑲嵌或雙重鑲嵌處理,其可用以製造具有諸如銅或鈷之金屬的互連結構。將渠溝及/或孔洞蝕刻於介電材料中、將金屬沉積於渠溝及/或孔洞中、並且利用化學機械平坦化(CMP)以移除過量的部分。然而,在削減式圖案化技術中,沉積一金屬覆蓋層並對其進行蝕刻以在金屬中形成渠溝及/或孔洞,並且將介電材料沉積於渠溝及/或孔洞中。The interconnection structure added in an integrated circuit (IC) includes one or more layers of metal wires to connect the electronic devices of the IC to each other and to the external connection portion. The metal wire layers can be insulated from each other through one or more intervening layers of dielectric material. The interconnect structure can be formed by additive patterning technology or subtractive patterning technology. Additive patterning techniques can include damascene or dual damascene processes, which can be used to fabricate interconnect structures with metals such as copper or cobalt. Etching trenches and/or holes in the dielectric material, depositing metal in the trenches and/or holes, and using chemical mechanical planarization (CMP) to remove excess portions. However, in the reduction patterning technique, a metal cap layer is deposited and etched to form trenches and/or holes in the metal, and a dielectric material is deposited in the trenches and/or holes.

此處所提供之先前技術說明係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。The prior art description provided here is to generally introduce the background of the present invention. The achievements of the inventors listed in this case within the scope described in this chapter of the prior art, and the implementation of the specification of the prior art at the time of application, are not intentionally or implicitly recognized as opposed to the present invention The prior art.

本文提供一種製造金屬互連結構的方法。該方法包含:藉由削減式圖案化以在一基板上形成第一層的圖案化金屬線;以及藉由削減式圖案化以在該第一層的圖案化金屬線上方形成第二層的圖案化金屬線。該方法更包含:在形成該第二層的圖案化金屬線之後,形成提供該第一層的圖案化金屬線與該第二層的圖案化金屬線之間之電互連的一或更多介層窗,從而形成該金屬互連結構。This article provides a method of manufacturing a metal interconnect structure. The method includes: forming a patterned metal line of a first layer on a substrate by reduction patterning; and forming a pattern of a second layer over the patterned metal line of the first layer by reduction patterning化metal wire. The method further includes: after forming the patterned metal lines of the second layer, forming one or more electrical interconnections between the patterned metal lines of the first layer and the patterned metal lines of the second layer Via a via window, thereby forming the metal interconnection structure.

在某些實施例中,形成該一或更多介層窗之步驟包含:使一或更多介層窗開口形成通過至少該第二層的圖案化金屬線直至該第一層的圖案化金屬線;以及利用一導電材料填充該一或更多介層窗開口。在某些實施例中,該方法更包含:在該第一層的圖案化金屬線上形成複數第一絕緣特徵部;以及在形成該複數第一絕緣特徵部之後,在該第一層的相鄰金屬線之間的間隙中形成第一介電材料。該方法更包含:在該第二層的圖案化金屬線上形成複數第二絕緣特徵部;以及在形成該複數第二絕緣特徵部之後,在該第二層的相鄰金屬線之間的間隙中形成第二介電材料。在某些實施例中,形成該一或更多介層窗之步驟包含:蝕刻通過一或更多第二絕緣特徵部;蝕刻通過該第二層的圖案化金屬線;蝕刻通過一或更多第一絕緣特徵部以形成一或更多介層窗開口,俾使該第一層的圖案化金屬線暴露;以及在該一或更多介層窗開口中沉積導電材料,以在暴露的該第一層的圖案化金屬線上形成該一或更多介層窗。在某些實施例中,該方法更包含:在該複數第二絕緣特徵部及該第二介電材料上方形成一介層窗遮罩;以及在該介層窗遮罩中圖案化一或更多孔洞,其中該一或更多孔洞各自具有大於該第二層的圖案化金屬線及/或該第一層的圖案化金屬線之臨界尺寸(CD)的直徑或寬度。該一或更多孔洞各自具有比該第二層的圖案化金屬線及/或該第一層的圖案化金屬線之CD大多達約100%的直徑或寬度。在某些實施例中,沉積該導電材料之步驟包含:利用該導電材料填充先前該等第一絕緣特徵部及該第二層的圖案化金屬線被蝕刻之處。在某些實施例中,該第一層的圖案化金屬線、該第二層的圖案化金屬線、及該導電材料之各者包含鉬(Mo)、釕(Ru)、鋁(Al)、或鎢(W)。在某些實施例中,該一或更多介層窗係與該第一層的圖案化金屬線及該第二層的圖案化金屬線完全對準。在某些實施例中,形成該第一層的圖案化金屬線之步驟包含:在該基板上方沉積第一金屬;在該第一金屬上方沉積第一遮罩層;對第一遮罩層進行蝕刻,以在該第一金屬上方形成複數第一絕緣特徵部;以及對該第一金屬進行蝕刻,以形成由該複數第一絕緣特徵部所界定的該第一層的圖案化金屬線。在某些實施例中,形成該第二層的圖案化金屬線之步驟包含:在該第一層的圖案化金屬線上方沉積第二金屬;在該第二金屬上方沉積第二遮罩層;對該第二遮罩層進行蝕刻,以在該第二金屬上方形成複數第二絕緣特徵部;以及對該第二金屬進行蝕刻,以形成由該複數第二絕緣特徵部所界定的該第二層的圖案化金屬線。In some embodiments, the step of forming the one or more vias includes: forming one or more via openings through at least the patterned metal line of the second layer to the patterned metal of the first layer Line; and filling the one or more via openings with a conductive material. In some embodiments, the method further includes: forming a plurality of first insulating features on the patterned metal line of the first layer; and after forming the plurality of first insulating features, adjacent to the first layer A first dielectric material is formed in the gap between the metal lines. The method further includes: forming a plurality of second insulating features on the patterned metal lines of the second layer; and after forming the plurality of second insulating features, in the gaps between adjacent metal lines of the second layer A second dielectric material is formed. In some embodiments, the step of forming the one or more vias includes: etching through one or more second insulating features; etching through the patterned metal lines of the second layer; etching through one or more The first insulating feature forms one or more via openings to expose the patterned metal lines of the first layer; and depositing conductive material in the one or more via openings to expose the exposed The one or more vias are formed on the patterned metal line of the first layer. In some embodiments, the method further includes: forming a via mask over the plurality of second insulating features and the second dielectric material; and patterning one or more vias in the via mask Holes, wherein each of the one or more holes has a diameter or width larger than the critical dimension (CD) of the patterned metal line of the second layer and/or the patterned metal line of the first layer. The one or more porous holes each have a diameter or width that is up to about 100% larger than the CD of the patterned metal line of the second layer and/or the patterned metal line of the first layer. In some embodiments, the step of depositing the conductive material includes: using the conductive material to fill the previously etched portions of the first insulating features and the patterned metal lines of the second layer. In some embodiments, each of the patterned metal lines of the first layer, the patterned metal lines of the second layer, and the conductive material includes molybdenum (Mo), ruthenium (Ru), aluminum (Al), Or tungsten (W). In some embodiments, the one or more vias are completely aligned with the patterned metal lines of the first layer and the patterned metal lines of the second layer. In some embodiments, the step of forming the patterned metal line of the first layer includes: depositing a first metal on the substrate; depositing a first mask layer on the first metal; Etching to form a plurality of first insulating features on the first metal; and etching the first metal to form patterned metal lines of the first layer defined by the plurality of first insulating features. In some embodiments, the step of forming the patterned metal line of the second layer includes: depositing a second metal over the patterned metal line of the first layer; depositing a second mask layer over the second metal; Etching the second mask layer to form a plurality of second insulating features over the second metal; and etching the second metal to form the second insulating feature defined by the second metal Layer of patterned metal lines.

另一態樣涉及一種用於積體電路的金屬互連結構。該金屬互連結構包含:第一層的圖案化金屬線;複數第一絕緣特徵部,位在該第一層中的至少一些圖案化金屬線上;第二層的圖案化金屬線,位在該第一層的圖案化金屬線上方;複數第二絕緣特徵部,位在該第二層中的至少一些圖案化金屬線上;以及一或更多介層窗,其提供該第一層的圖案化金屬線與該第二層的圖案化金屬線之間的電互連,其中該一或更多介層窗係與該第一層的圖案化金屬線及該第二層的圖案化金屬線完全對準。Another aspect relates to a metal interconnection structure for integrated circuits. The metal interconnection structure includes: patterned metal lines of a first layer; a plurality of first insulating features located on at least some of the patterned metal lines in the first layer; and patterned metal lines of the second layer located on the Above the patterned metal lines of the first layer; a plurality of second insulating features located on at least some of the patterned metal lines in the second layer; and one or more vias that provide patterning of the first layer The electrical interconnection between the metal line and the patterned metal line of the second layer, wherein the one or more vias are completely connected to the patterned metal line of the first layer and the patterned metal line of the second layer. alignment.

在某些實施例中,該一或更多介層窗延伸通過該等第一絕緣特徵部,以使該第一層的圖案化金屬線與該第二層的圖案化金屬線接觸。在某些實施例中,該金屬互連結構更包含:第一介電材料,其包圍該第一層的圖案化金屬線及該複數第一絕緣特徵部;以及第二介電材料,其包圍該第二層的圖案化金屬線及該複數第二絕緣特徵部。在某些實施例中,該金屬互連結構更包含:第三介電材料,位在該一或更多介層窗之凹陷介層窗金屬填充的上方。在某些實施例中,該第一介電材料及該第二介電材料之各者包含低k介電材料,其中該複數第一絕緣特徵部及該複數第二絕緣特徵部之各者具有與該低k介電材料不同的蝕刻選擇性。在某些實施例中,該一或更多介層窗包含一導電材料,其中該第一層的圖案化金屬線、該第二層的圖案化金屬線、及該導電材料之各者包含Mo、Ru、Al、或W。In some embodiments, the one or more vias extend through the first insulating features so that the patterned metal lines of the first layer are in contact with the patterned metal lines of the second layer. In some embodiments, the metal interconnection structure further includes: a first dielectric material that surrounds the patterned metal line of the first layer and the plurality of first insulating features; and a second dielectric material that surrounds The second layer of patterned metal lines and the plurality of second insulating features. In some embodiments, the metal interconnection structure further includes: a third dielectric material located above the recessed via metal filling of the one or more vias. In some embodiments, each of the first dielectric material and the second dielectric material includes a low-k dielectric material, wherein each of the plurality of first insulating features and the plurality of second insulating features has Different etch selectivity from this low-k dielectric material. In some embodiments, the one or more vias include a conductive material, wherein each of the patterned metal lines of the first layer, the patterned metal lines of the second layer, and the conductive material includes Mo , Ru, Al, or W.

以下參照圖式而進一步描述該等及其他實施態樣。These and other implementation aspects are further described below with reference to the drawings.

在本揭示內容中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分加工之積體電路」係可互換地使用。該領域中具通常知識者將會理解:用語「部分加工之積體電路」可指涉積體電路加工之許多階段之任一者期間的矽晶圓。用於半導體裝置產業中的晶圓或基板通常具有200 mm、或300 mm、或450 mm的直徑。以下的詳細說明假設在晶圓上施行本揭示內容。然而,實施例並非如此受限。工件可為各種外形、尺寸、及材料。除了半導體晶圓之外,可利用本揭示內容的其他工件包含各種物件,例如印刷電路板等。前言 In this disclosure, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate", and "partially processed integrated circuit" are used interchangeably. Those with ordinary knowledge in the field will understand that the term "partially processed integrated circuit" can refer to silicon wafers during any of the many stages of integrated circuit processing. Wafers or substrates used in the semiconductor device industry generally have a diameter of 200 mm, or 300 mm, or 450 mm. The following detailed description assumes that the present disclosure is implemented on a wafer. However, the embodiment is not so limited. The workpiece can be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that can use the present disclosure include various objects, such as printed circuit boards. Preface

積體電路技術之進展涉及在積體電路中縮小至越來越小的特徵部。積體電路通常包含連接導電結構或膜層的導電微電子結構或介層窗。導電結構可包含在晶片上橫跨一距離的線特徵部(例如金屬線或金屬化層)、及將不同階層中之線特徵部連接的互連特徵部(例如介層窗)。可透過介電材料將線特徵部及互連特徵部絕緣。Advances in integrated circuit technology involve shrinking to smaller and smaller features in integrated circuits. Integrated circuits usually include conductive microelectronic structures or vias that connect conductive structures or layers. The conductive structure may include line features (such as metal lines or metallization layers) that span a distance on the chip, and interconnect features (such as vias) that connect the line features in different levels. The line features and interconnection features can be insulated by dielectric materials.

鑲嵌及雙重鑲嵌製造技術已被使用於在金屬互連結構中產生介層窗及金屬線。鑲嵌及雙重鑲嵌技術為在製造金屬互連結構(例如銅互連結構)之過程中所依賴的加成式圖案化技術。然而,隨著積體電路中的特徵部尺寸持續縮小,加成式圖案化技術對於某些技術節點而言可能係不足的。在加成式圖案化技術不足的情況下,削減式圖案化技術可能為合適的。Damascene and dual damascene manufacturing techniques have been used to produce vias and metal lines in metal interconnect structures. Damascene and dual damascene technologies are additive patterning technologies that are relied upon in the process of manufacturing metal interconnect structures (such as copper interconnect structures). However, as the size of features in integrated circuits continues to shrink, additive patterning technology may be insufficient for some technology nodes. In the case that the additive patterning technology is insufficient, the subtractive patterning technology may be suitable.

概括而言,削減式圖案化技術沉積一金屬覆蓋層、將一遮罩塗佈於金屬覆蓋層、並且蝕刻金屬覆蓋層以圖案化由遮罩所界定的金屬線或特徵部。相對地,加成式圖案化技術沉積一介電材料覆蓋層、將一遮罩塗佈於介電材料覆蓋層、在介電材料覆蓋層中蝕刻由遮罩所界定的開口或凹部、並且以金屬填充開口或凹部。在加成式圖案化技術中所使用的典型金屬包含銅(Cu)或鈷(Co)。銅具有高電導率(僅次於銀),這使其非常適合用作互連金屬。然而,諸如銅及鈷之金屬係難以進行蝕刻的,因此對於常用於積體電路製造中的削減式圖案化技術而言並非適合的選擇。In summary, the subtractive patterning technique deposits a metal cover layer, applies a mask to the metal cover layer, and etches the metal cover layer to pattern the metal lines or features defined by the mask. In contrast, the additive patterning technique deposits a dielectric material cover layer, coats a mask on the dielectric material cover layer, etches the openings or recesses defined by the mask in the dielectric material cover layer, and uses Metal fills the openings or recesses. Typical metals used in additive patterning techniques include copper (Cu) or cobalt (Co). Copper has high electrical conductivity (second only to silver), which makes it very suitable as an interconnect metal. However, metals such as copper and cobalt are difficult to etch, so they are not a suitable choice for the reduced patterning technology commonly used in integrated circuit manufacturing.

典型的削減式圖案化技術在製造金屬線及金屬互連結構的過程中使用諸如鋁(Al)之金屬。削減式圖案化技術所製造的線寬通常大約為若干微米至數百奈米。銅鑲嵌技術係在多年前導入以製造銅線及銅互連結構,其中鑲嵌技術所製造的線寬通常大約為數十及數百奈米。然而,利用銅鑲嵌技術難以可靠地獲得等於或小於30 nm、或等於或小於20 nm的線寬。例如,銅互連結構通常需要擴散阻障層及/或襯墊層以限制銅擴散至周圍介電材料中,且此等膜層可能佔據更多空間,因此使得較小線寬更難以達成。在可容許較薄擴散阻障層及/或襯墊層(或者兩者皆無)之削減式圖案化中可使用銅以外的金屬。此可在積體電路之製造中實現較小的尺寸及/或技術節點。削減式圖案化 Typical reduction patterning techniques use metals such as aluminum (Al) in the process of manufacturing metal lines and metal interconnect structures. The line width produced by the reduction patterning technology is usually about several micrometers to hundreds of nanometers. Copper damascene technology was introduced many years ago to manufacture copper wires and copper interconnect structures. The line widths produced by damascene technology are usually about tens or hundreds of nanometers. However, it is difficult to reliably obtain a line width equal to or less than 30 nm, or equal to or less than 20 nm using copper damascene technology. For example, copper interconnect structures usually require diffusion barrier layers and/or liner layers to limit the diffusion of copper into surrounding dielectric materials, and these layers may occupy more space, thus making smaller line widths more difficult to achieve. Metals other than copper can be used in reduced patterning that allows thinner diffusion barrier layers and/or liner layers (or neither). This can realize smaller size and/or technology nodes in the manufacture of integrated circuits. Reduced patterning

圖1A–1O顯示藉由削減式圖案化而形成金屬互連結構的例示性程序之示意圖。在圖1A中,將第一金屬層101(Mx)沉積於基板100上方。圖1A中之第一金屬層101為未經圖案化的一覆蓋層。可利用合適的沉積處理(例如物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)、或電沉積)以沉積第一金屬層101。電沉積可包含例如電鍍或無電式電鍍(electroless plating)。在某些實施例中,第一金屬層101可包含可受蝕刻之金屬,其中此等金屬可包含(但不限於)鉬(Mo)、釕(Ru)、鎢(W)、或鋁(Al)。在某些實施例中,可在第一金屬層101與基板100之間設置一襯墊層。襯墊層之範例包含(但不限於)氮化鈦(TiN)。其他範例包含氮化鉭(TaN)、氮化鎢(WN)、及碳氮化鎢(WCN)。襯墊層之厚度可等於或小於約5 nm、或等於或小於約3 nm。在某些實施例中,可在襯墊層與基板100之間設置一介電層102。襯墊層用以將第一金屬層101與介電層102分隔開。1A-10 show schematic diagrams of an exemplary process for forming a metal interconnect structure by reduction patterning. In FIG. 1A, a first metal layer 101 (Mx) is deposited on the substrate 100. The first metal layer 101 in FIG. 1A is an unpatterned cover layer. Appropriate deposition processes (such as physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma assisted chemical vapor deposition (PECVD), atomic layer deposition (ALD), or electrodeposition) can be used to deposit the first The metal layer 101. Electrodeposition may include, for example, electroplating or electroless plating. In some embodiments, the first metal layer 101 may include metals that can be etched, and these metals may include, but are not limited to, molybdenum (Mo), ruthenium (Ru), tungsten (W), or aluminum (Al ). In some embodiments, a spacer layer may be provided between the first metal layer 101 and the substrate 100. Examples of the liner layer include, but are not limited to, titanium nitride (TiN). Other examples include tantalum nitride (TaN), tungsten nitride (WN), and tungsten carbonitride (WCN). The thickness of the liner layer may be equal to or less than about 5 nm, or equal to or less than about 3 nm. In some embodiments, a dielectric layer 102 may be provided between the liner layer and the substrate 100. The liner layer is used to separate the first metal layer 101 from the dielectric layer 102.

為了將第一金屬層101圖案化,可在第一金屬層101上方沉積第一硬遮罩層103。合適硬遮罩材料之範例可包含矽氮化物、矽氧化物、矽碳氮化物、矽碳氧化物、矽氮氧化物、非晶矽、多晶矽、或碳(例如非晶碳、金屬摻雜的非晶碳、類鑽碳、多晶鑽石)。可利用經受極紫外光(EUV)微影的光阻104及光阻下層105以將第一硬遮罩層103圖案化。可在光阻與第一硬遮罩層之間形成額外的膜層,其中該等額外的膜層在微影處理中可為有用的。例如,可將非晶碳106 (a-C)及抗反射層107 (ARL)設置於光阻104與第一硬遮罩層103之間。抗反射層107可用以防止後續微影處理中的輻射從下方膜層反射並干擾曝光處理。In order to pattern the first metal layer 101, a first hard mask layer 103 may be deposited on the first metal layer 101. Examples of suitable hard mask materials can include silicon nitride, silicon oxide, silicon carbon nitride, silicon oxycarbide, silicon oxynitride, amorphous silicon, polysilicon, or carbon (e.g., amorphous carbon, metal doped Amorphous carbon, diamond-like carbon, polycrystalline diamond). The photoresist 104 and the photoresist lower layer 105 subjected to extreme ultraviolet light (EUV) lithography can be used to pattern the first hard mask layer 103. Additional film layers can be formed between the photoresist and the first hard mask layer, and the additional film layers can be useful in lithography processing. For example, the amorphous carbon 106 (a-C) and the anti-reflection layer 107 (ARL) may be disposed between the photoresist 104 and the first hard mask layer 103. The anti-reflection layer 107 can be used to prevent the radiation in the subsequent lithography process from reflecting from the underlying film layer and interfering with the exposure process.

在圖1B中,藉由將第一硬遮罩層103圖案化而形成複數第一硬遮罩特徵部108。可藉由利用微影術(例如EUV微影術)將光阻104圖案化以界定該複數第一硬遮罩特徵部108。此外,在某些實施例中,可藉由自對準雙重圖案化(SADP)處理以減小第一硬遮罩特徵部的特徵部尺寸。舉例而言,可藉由節距重覆(pitch doubling)而形成較狹窄的硬遮罩特徵部,其中可利用SADP處理將該複數第一硬遮罩特徵部108中的節距從80 nm減小至40 nm。In FIG. 1B, a plurality of first hard mask features 108 are formed by patterning the first hard mask layer 103. The photoresist 104 can be patterned by using lithography (such as EUV lithography) to define the plurality of first hard mask features 108. In addition, in some embodiments, a self-aligned double patterning (SADP) process can be used to reduce the feature size of the first hard mask feature. For example, a narrower hard mask feature can be formed by pitch doubling, in which SADP processing can be used to reduce the pitch of the plurality of first hard mask features 108 from 80 nm. As small as 40 nm.

在圖1C中,可在複數第一硬遮罩特徵部108上方選用性地沉積和圖案化額外的遮罩層。可將該等額外的遮罩層圖案化,以用於將底下的複數第一硬遮罩特徵部108蝕刻成第一硬遮罩特徵部之期望配置,俾對第一金屬層101進行圖案化。繼而,可依據第一硬遮罩特徵部108之期望配置而對第一金屬層101進行圖案化和「切割」。在某些實施例中,額外的遮罩層可包含光阻109、光阻下層110、及旋塗碳111 (SoC)。然而,應理解,取代使用額外的遮罩層以蝕刻底下的複數第一硬遮罩特徵部108,而可在蝕刻第一金屬層101之後進行第一硬遮罩特徵部108之蝕刻。換言之,第一金屬層101係透過額外的遮罩層而加以「切割」而非使該複數第一硬遮罩特徵部108經歷「切割」處理。In FIG. 1C, an additional mask layer can be optionally deposited and patterned over the plurality of first hard mask features 108. These additional mask layers can be patterned to be used to etch the plurality of first hard mask features 108 underneath into the desired configuration of the first hard mask features, so as to pattern the first metal layer 101 . Then, the first metal layer 101 can be patterned and "cut" according to the desired configuration of the first hard mask feature 108. In some embodiments, the additional mask layer may include photoresist 109, photoresist underlayer 110, and spin-on carbon 111 (SoC). However, it should be understood that instead of using an additional mask layer to etch the plurality of first hard mask features 108 underneath, the etching of the first hard mask features 108 may be performed after the first metal layer 101 is etched. In other words, the first metal layer 101 is "cut" through the additional mask layer instead of subjecting the plurality of first hard mask features 108 to "cutting".

在圖1D中,透過額外的遮罩層對複數第一硬遮罩特徵部108進行圖案化。透過「切割」蝕刻處理,額外的遮罩層使該複數第一硬遮罩特徵部108形成為期望的特徵部配置。隨後將該等額外的遮罩層移除。In FIG. 1D, a plurality of first hard mask features 108 are patterned through an additional mask layer. Through the "cut" etching process, the additional mask layer forms the plurality of first hard mask features 108 into the desired feature configuration. These additional mask layers are then removed.

在圖1E中,將第一金屬層101圖案化以形成第一圖案化金屬線層112。在金屬線蝕刻處理期間,第一圖案化金屬線層112係由複數第一硬遮罩特徵部108加以界定。金屬線蝕刻處理可選擇性地蝕刻通過金屬以形成第一圖案化金屬線層112,而不會對下伏介電層102進行蝕刻。可使用合適的蝕刻劑以蝕刻金屬而實質上不蝕刻下伏介電層102之介電材料。如本文所使用,「實質上不蝕刻」可指涉以下蝕刻處理:對象材料(例如介電質)的蝕刻速率為欲蝕刻之目標材料(例如金屬)的蝕刻速率的至少1/5倍低的蝕刻處理。例如,削減式電漿蝕刻可以比下伏介電層102明顯更高的蝕刻速率移除金屬覆蓋層。在形成第一圖案化金屬線層112之後,可將複數硬遮罩特徵部108移除。在某些實施例中,可在第一圖案化金屬線層112上沉積擴散阻障層及/或襯墊層。擴散阻障層及/或襯墊層將第一圖案化金屬線層112與周圍的介電材料分隔開。In FIG. 1E, the first metal layer 101 is patterned to form a first patterned metal line layer 112. During the metal line etching process, the first patterned metal line layer 112 is defined by a plurality of first hard mask features 108. The metal line etching process can selectively etch through metal to form the first patterned metal line layer 112 without etching the underlying dielectric layer 102. A suitable etchant may be used to etch the metal without substantially etching the dielectric material of the underlying dielectric layer 102. As used herein, "substantially not etched" may refer to the following etching process: the etching rate of the target material (for example, dielectric) is at least 1/5 times lower than the etching rate of the target material (for example, metal) to be etched Etching treatment. For example, subtractive plasma etching can remove the metal capping layer at a significantly higher etch rate than the underlying dielectric layer 102. After forming the first patterned metal line layer 112, the plurality of hard mask features 108 may be removed. In some embodiments, a diffusion barrier layer and/or a liner layer may be deposited on the first patterned metal line layer 112. The diffusion barrier layer and/or the liner layer separate the first patterned metal line layer 112 from the surrounding dielectric material.

在圖1F中,第一介電材料113被沉積在第一圖案化金屬線層112上方,並填充於相鄰的第一金屬線之間的間隙中。第一介電材料113可將第一圖案化金屬線層112包圍。在蝕刻金屬覆蓋層以形成第一圖案化金屬線層112之後,第一介電材料113填充於先前由金屬覆蓋層所填充的間隙、凹部、開口、或間隔中。在某些實施例中,在沉積第一介電材料113之後,可藉由一平坦化處理(例如化學機械研磨(CMP)及/或毯式回蝕(blanket etchback))使第一介電材料113平坦化。在某些實施例中,第一介電材料113為具有低介電常數的介電材料(低k介電質)。低k介電質可具有等於或低於約5.0的介電常數,其可為等於或低於矽氧化物的介電常數(約4.2)。低k介電材料可包含經氟摻雜或碳摻雜的矽氧化物或含有機物之低k材料,例如有機矽玻璃(OSG)。在某些實施例中,可在相鄰圖案化金屬線之間的第一介電材料113中形成氣隙,其中該等氣隙可用於進一步使相鄰圖案化金屬線之間的第一介電材料113的介電常數減小。在從圖1F之線A-A截取的部分加工金屬互連結構之橫剖面示意圖的圖2A中可觀察到此等氣隙114。如圖2A中所示,第一介電材料113填充相鄰圖案化金屬線之間的間隙。氣隙114係形成於相鄰圖案化金屬線之間的間隙中之第一介電材料113中,其中圖案化金屬線係經由剩餘的第一介電材料113而與氣隙114分隔開。In FIG. 1F, the first dielectric material 113 is deposited on the first patterned metal line layer 112 and filled in the gaps between adjacent first metal lines. The first dielectric material 113 may surround the first patterned metal line layer 112. After the metal capping layer is etched to form the first patterned metal line layer 112, the first dielectric material 113 is filled in the gaps, recesses, openings, or spaces previously filled by the metal capping layer. In some embodiments, after depositing the first dielectric material 113, a planarization process (such as chemical mechanical polishing (CMP) and/or blanket etchback) may be used to make the first dielectric material 113 flattening. In some embodiments, the first dielectric material 113 is a dielectric material with a low dielectric constant (low-k dielectric). The low-k dielectric may have a dielectric constant equal to or lower than about 5.0, which may be equal to or lower than the dielectric constant of silicon oxide (about 4.2). The low-k dielectric material may include fluorine-doped or carbon-doped silicon oxide or organic-containing low-k materials, such as organic silicon glass (OSG). In some embodiments, air gaps can be formed in the first dielectric material 113 between adjacent patterned metal lines, where the air gaps can be used to further make the first dielectric material between adjacent patterned metal lines The dielectric constant of the electrical material 113 decreases. These air gaps 114 can be observed in FIG. 2A, which is a schematic cross-sectional view of a partially processed metal interconnect structure taken from the line A-A of FIG. 1F. As shown in FIG. 2A, the first dielectric material 113 fills the gaps between adjacent patterned metal lines. The air gap 114 is formed in the first dielectric material 113 in the gap between adjacent patterned metal lines, wherein the patterned metal line is separated from the air gap 114 by the remaining first dielectric material 113.

在圖1G中,可在第一介電材料113上方形成介層窗遮罩115。在某些實施例中,介層窗遮罩115可包含一或更多遮罩層,其中該一或更多遮罩層包含光阻116、光阻下層117、及旋塗碳118 (SoC)。為了形成連接至第一圖案化金屬線層112的介層窗,在第一介電材料113中圖案化和形成如介層窗遮罩115所界定的介層窗開口。可將微影處理施用於光阻116,以將介層窗遮罩115的光阻116圖案化。可在介層窗遮罩115中形成一或更多孔洞119,以在第一介電材料113中界定介層窗開口。意圖使介層窗遮罩115中的一或更多孔洞119與第一圖案化金屬線層112對準。In FIG. 1G, a via mask 115 may be formed over the first dielectric material 113. In some embodiments, the via mask 115 may include one or more mask layers, where the one or more mask layers include photoresist 116, photoresist underlayer 117, and spin-on carbon 118 (SoC) . In order to form a via connected to the first patterned metal line layer 112, a via opening as defined by the via mask 115 is patterned and formed in the first dielectric material 113. A lithography process can be applied to the photoresist 116 to pattern the photoresist 116 of the via mask 115. One or more holes 119 may be formed in the via mask 115 to define the via opening in the first dielectric material 113. It is intended to align one or more holes 119 in the via mask 115 with the first patterned metal line layer 112.

在圖1H中,藉由蝕刻而在第一介電材料113中形成介層窗開口120。介層窗開口120係由介層窗遮罩115的一或更多孔洞119所界定。意圖使介層窗開口120與第一層112的一或更多圖案化金屬線對準。然而,如下所述,在微影處理期間可能發生對準誤差,其可能使得介層窗開口120未與第一層112的一或更多圖案化金屬線對準。可在形成介層窗開口120之後將介層窗遮罩115移除。在圖2B-1中可觀察到與第一層112的一或更多圖案化金屬線完全對準的介層窗開口120,而在圖2B-2中可觀察到未與第一層112的一或更多圖案化金屬線對準的介層窗開口120。In FIG. 1H, a via opening 120 is formed in the first dielectric material 113 by etching. The via opening 120 is defined by one or more holes 119 of the via mask 115. It is intended that the via opening 120 is aligned with one or more patterned metal lines of the first layer 112. However, as described below, alignment errors may occur during the lithography process, which may cause the via opening 120 to not be aligned with one or more patterned metal lines of the first layer 112. The via mask 115 may be removed after the via opening 120 is formed. In FIG. 2B-1, the via opening 120 that is completely aligned with one or more patterned metal lines of the first layer 112 can be observed, and in FIG. 2B-2, it can be observed that the via opening 120 is not aligned with the first layer 112 One or more via openings 120 aligned with patterned metal lines.

隨著特徵部尺寸縮小,將習知微影處理尺度化以提供較小特徵部尺寸可能係困難的。此係至少部分歸因於金屬互連結構中之特徵部之間的對準或覆蓋誤差。在微影處理期間總是會發生對準或覆蓋誤差,因為遮罩未與下層結構完全對準。例如,在微影處理中使用倍縮光罩的曝光階段期間,用於介層窗及渠溝的圖案化遮罩可能存在若干奈米的錯位。因此,意圖與圖案化金屬線連接的介層窗可能為未對準的。雖然可藉由重新進行微影處理以使覆蓋誤差最小化,但一定程度的覆蓋誤差係無法避免的。As the feature size shrinks, it may be difficult to scale the conventional lithography process to provide a smaller feature size. This is at least partially due to alignment or coverage errors between features in the metal interconnect structure. Alignment or coverage errors always occur during the lithography process because the mask is not completely aligned with the underlying structure. For example, during the exposure stage of the use of a reduction mask in the lithography process, the patterned mask for the vias and trenches may be misaligned by a few nanometers. Therefore, the vias intended to be connected to the patterned metal lines may be misaligned. Although the coverage error can be minimized by performing lithography again, a certain degree of coverage error is unavoidable.

如圖2B-1中所示,當經由一或更多遮罩層而圖案化的介層窗開口120與第一圖案化金屬線層112完全對準時,介層窗開口120不偏離第一圖案化金屬線層112。介層窗開口120係直接形成於第一圖案化金屬線層112上方,而不形成於第一層112之相鄰圖案化金屬線之間的間隙中。然而,對準或覆蓋誤差可能使得一或更多遮罩層在x方向或y方向上發生錯位,即使係若干奈米。如圖2B-2中所示,介層窗開口120係經由一或更多遮罩層而圖案化,且係未與第一圖案化金屬線層112對準。錯位使得介層窗開口120的一部分形成於第一層112之相鄰圖案化金屬線之間的間隙中。錯位導致第一層112之圖案化金屬線與介層窗之間的接觸面積的損失,且介層窗與包圍第一圖案化金屬線層112的介電材料之部分重疊。此外,錯位可能造成鄰近氣隙114之缺口的風險,其可能導致短路或漏電。As shown in FIG. 2B-1, when the via opening 120 patterned through one or more mask layers is completely aligned with the first patterned metal line layer 112, the via opening 120 does not deviate from the first pattern化metal wire layer 112. The via opening 120 is formed directly above the first patterned metal line layer 112 and is not formed in the gap between adjacent patterned metal lines of the first layer 112. However, alignment or coverage errors may cause one or more mask layers to be misaligned in the x direction or the y direction, even if they are several nanometers. As shown in FIG. 2B-2, the via opening 120 is patterned through one or more mask layers and is not aligned with the first patterned metal line layer 112. The misalignment causes a portion of the via opening 120 to be formed in the gap between adjacent patterned metal lines of the first layer 112. The misalignment results in the loss of the contact area between the patterned metal line of the first layer 112 and the via, and the via overlaps with a portion of the dielectric material surrounding the first patterned metal line layer 112. In addition, the misalignment may cause the risk of a gap adjacent to the air gap 114, which may cause a short circuit or leakage.

在圖1I中,在第一介電材料113上沉積第二金屬層121 (Mx+1),其中第二金屬層121填充介層窗開口120而形成一或更多介層窗。第二金屬層121在第一介電材料113上方提供金屬覆蓋層。在某些實施例中,在第二金屬層121與第一介電材料113之間設置襯墊層。襯墊層亦可被設置於第一層112的一或更多圖案化金屬線與介層窗之間。第二金屬層121可在第一介電材料113上方提供金屬覆蓋層,或者可被沉積至第二金屬層121的目標厚度。第二金屬層121之沉積可能造成表面形貌問題或表面粗糙,其可歸因於金屬填充介層窗開口120且覆蓋第一介電材料113。在某些實施例中,可利用平坦化處理以使第二金屬層121平坦化,俾產生相對平滑、平坦的金屬薄層。在某些實施例中,藉由合適的沉積技術(例如PVD、CVD、PECVD、ALD、或電沉積)以沉積第二金屬層121。在某些實施例中,第二金屬層121包含Mo、Ru、Al、或W。在某些實施例中,可藉由與沉積金屬於第一介電材料113上之金屬沉積處理不同的金屬沉積處理以填充介層窗開口120。例如,可利用合適的沉積處理,使用上列金屬中之一者來填充介層窗開口120。在此之後可進行一個別處理,用以在第一介電材料113上沉積上列金屬中之一者的覆蓋層並連接至介層窗。在某些實施例中,可進行一平坦化處理直至第二金屬層121之期望厚度。In FIG. 1I, a second metal layer 121 (Mx+1) is deposited on the first dielectric material 113, wherein the second metal layer 121 fills the via opening 120 to form one or more vias. The second metal layer 121 provides a metal capping layer on the first dielectric material 113. In some embodiments, a liner layer is provided between the second metal layer 121 and the first dielectric material 113. The liner layer may also be disposed between one or more patterned metal lines of the first layer 112 and the via. The second metal layer 121 may provide a metal capping layer over the first dielectric material 113 or may be deposited to a target thickness of the second metal layer 121. The deposition of the second metal layer 121 may cause surface topography problems or surface roughness, which can be attributed to the metal-filled via opening 120 and covering the first dielectric material 113. In some embodiments, a planarization process can be used to planarize the second metal layer 121 to produce a relatively smooth and flat metal thin layer. In some embodiments, the second metal layer 121 is deposited by a suitable deposition technique (for example, PVD, CVD, PECVD, ALD, or electrodeposition). In some embodiments, the second metal layer 121 includes Mo, Ru, Al, or W. In some embodiments, a metal deposition process different from the metal deposition process of depositing metal on the first dielectric material 113 may be used to fill the via opening 120. For example, a suitable deposition process can be used to fill the via opening 120 with one of the metals listed above. After that, a separate process can be performed to deposit a cover layer of one of the metals listed above on the first dielectric material 113 and connect to the via. In some embodiments, a planarization process can be performed to the desired thickness of the second metal layer 121.

在圖1J中,在第二金屬層121上方沉積第二硬遮罩層122。合適的硬遮罩材料之範例包含矽氮化物、矽氧化物、矽碳氮化物、矽碳氧化物、矽氮氧化物、非晶矽、多晶矽、或碳(例如非晶碳、金屬摻雜的非晶碳、類鑽碳、多晶鑽石)。可利用經受極紫外光(EUV)微影的光阻123及光阻下層124以將第二硬遮罩層122圖案化。可在光阻123與第二硬遮罩層122之間形成額外的膜層,其中該等額外的膜層在微影處理中可為有用的。例如,可將非晶碳125 (a-C)及抗反射層126 (ARL)設置於光阻123與第二硬遮罩層122之間。In FIG. 1J, a second hard mask layer 122 is deposited on the second metal layer 121. Examples of suitable hard mask materials include silicon nitride, silicon oxide, silicon carbon nitride, silicon oxycarbide, silicon oxynitride, amorphous silicon, polysilicon, or carbon (e.g., amorphous carbon, metal doped Amorphous carbon, diamond-like carbon, polycrystalline diamond). The photoresist 123 and the photoresist lower layer 124 subjected to extreme ultraviolet light (EUV) lithography can be used to pattern the second hard mask layer 122. An additional film layer may be formed between the photoresist 123 and the second hard mask layer 122, wherein the additional film layer may be useful in lithography processing. For example, the amorphous carbon 125 (a-C) and the anti-reflection layer 126 (ARL) may be disposed between the photoresist 123 and the second hard mask layer 122.

圖2C-1及2C-2顯示從圖1J之線C-C截取的部分加工金屬互連結構之橫剖面示意圖。如圖2C-1及2C-2中所示,第二金屬層121填充介層窗開口120以形成介層窗127,其提供與第一圖案化金屬線層112的電連接。在圖2C-1中,介層窗127與第一圖案化金屬線層112完全對準。然而,歸因於對準或覆蓋誤差,如圖2C-2中所示,介層窗127未與第一圖案化金屬線層112對準。歸因於對準或覆蓋誤差,介層窗127部分地「著陸」(land)於第一層112之一或更多圖案化金屬線的頂表面上,從而使介層窗127偏移而更靠近第一層112之鄰近圖案化金屬線並進入周圍的介電材料。此使得導電特徵部之間的距離減小,其意指介層窗127與第一層112之鄰近圖案化金屬線之間的絕緣間隙較小。距離減小可能導致短路餘裕不足及依時性介電質崩潰(TDDB)減低、或甚至完全短路。TDDB為一故障模式,絕緣層(例如第一介電材料113)隨時間而崩潰並且不再作為典型電場中的有效電絕緣體。TDDB係取決於金屬線之間的電場,因為暴露於較高電場的區域較容易受到TDDB故障的影響。高電壓及/或減小的絕緣體厚度會導致較高的電場。TDDB亦係取決於相鄰金屬線之間的間距,因為該間距可能減小至絕緣層無法承受電場的程度,從而導致相鄰金屬線之間的非期望電導。當絕緣層無法承受工作電場時,最終結果為短路或可靠度降低。「未著陸」(unlanded)的介層窗可能造成因TDDB劣化而導致的嚴重可靠度問題。此外,「未著陸」的介層窗可能造成底下氣隙114的缺口,該等氣隙114被導電材料所沉積,其可能導致短路,2C-1 and 2C-2 show schematic cross-sectional views of a partially processed metal interconnection structure taken from the line C-C of FIG. 1J. As shown in FIGS. 2C-1 and 2C-2, the second metal layer 121 fills the via opening 120 to form a via 127, which provides an electrical connection with the first patterned metal line layer 112. In FIG. 2C-1, the via 127 is completely aligned with the first patterned metal line layer 112. However, due to alignment or coverage errors, as shown in FIG. 2C-2, the via 127 is not aligned with the first patterned metal line layer 112. Due to alignment or coverage errors, the via 127 is partially "landed" on the top surface of one or more of the patterned metal lines in the first layer 112, thereby causing the via 127 to shift and change. The adjacent patterned metal line near the first layer 112 enters the surrounding dielectric material. This reduces the distance between the conductive features, which means that the insulating gap between the via 127 and the adjacent patterned metal line of the first layer 112 is smaller. The reduced distance may result in insufficient short-circuit margin and reduced time-dependent dielectric breakdown (TDDB), or even complete short-circuit. TDDB is a failure mode in which the insulating layer (such as the first dielectric material 113) collapses over time and no longer acts as an effective electrical insulator in a typical electric field. TDDB depends on the electric field between the metal wires, because areas exposed to higher electric fields are more susceptible to TDDB failure. High voltage and/or reduced insulator thickness will result in higher electric fields. TDDB also depends on the spacing between adjacent metal lines, because the spacing may be reduced to the point where the insulating layer cannot withstand the electric field, resulting in undesirable conductance between adjacent metal lines. When the insulating layer cannot withstand the working electric field, the final result is a short circuit or reduced reliability. "Unlanded" vias may cause serious reliability problems due to TDDB degradation. In addition, the "unlanded" vias may cause gaps in the bottom air gaps 114, which are deposited by conductive materials, which may cause short circuits.

在圖1K中,藉由將第二硬遮罩層122圖案化而形成複數第二硬遮罩特徵部128。可藉由利用微影術(例如EUV微影術)將光阻123圖案化以界定該複數第二硬遮罩特徵部128。此外,在某些實施例中,可藉由自對準雙重圖案化(SADP)處理以減小第二硬遮罩特徵部128的特徵部尺寸。舉例而言,可藉由節距重覆(pitch doubling)而形成較狹窄的硬遮罩特徵部,其中可利用SADP處理將該複數第二硬遮罩特徵部128中的節距從40 nm減小至20 nm。In FIG. 1K, a plurality of second hard mask features 128 are formed by patterning the second hard mask layer 122. The photoresist 123 can be patterned by using lithography (such as EUV lithography) to define the plurality of second hard mask features 128. In addition, in some embodiments, a self-aligned double patterning (SADP) process can be used to reduce the feature size of the second hard mask feature 128. For example, a narrower hard mask feature can be formed by pitch doubling, where SADP processing can be used to reduce the pitch of the plurality of second hard mask features 128 from 40 nm As small as 20 nm.

在圖1L中,可在複數第二硬遮罩特徵部128上方選用性地沉積和圖案化額外的遮罩層。可將該等額外的遮罩層圖案化,以用於將底下的複數第二硬遮罩特徵部128蝕刻成第二硬遮罩特徵部128之期望配置,俾對第二金屬層121進行圖案化。繼而,可依據第二硬遮罩特徵部128之期望配置而對第二金屬層121進行圖案化和「切割」。在某些實施例中,額外的遮罩層可包含光阻129、光阻下層130、及旋塗碳131 (SoC)。然而,應理解,取代使用額外的遮罩層以蝕刻底下的複數第二硬遮罩特徵部128,而可在蝕刻第二金屬層121之後進行第二硬遮罩特徵部128之蝕刻。換言之,第二金屬層121係透過額外的遮罩層而加以「切割」而非使該複數第二硬遮罩特徵部128經歷「切割」處理。In FIG. 1L, an additional mask layer can be optionally deposited and patterned over a plurality of second hard mask features 128. These additional mask layers can be patterned to be used to etch the plurality of second hard mask features 128 underneath into the desired configuration of the second hard mask features 128, so as to pattern the second metal layer 121化. Then, the second metal layer 121 can be patterned and "cut" according to the desired configuration of the second hard mask feature 128. In some embodiments, the additional mask layer may include photoresist 129, photoresist underlayer 130, and spin-on carbon 131 (SoC). However, it should be understood that instead of using an additional mask layer to etch the plurality of second hard mask features 128 underneath, the second hard mask features 128 may be etched after the second metal layer 121 is etched. In other words, the second metal layer 121 is “cut” through the additional mask layer instead of subjecting the plurality of second hard mask features 128 to “cutting”.

在圖1M中,透過額外的遮罩層對複數第二硬遮罩特徵部128進行圖案化。透過「切割」蝕刻處理,額外的遮罩層使該複數第二硬遮罩特徵部128形成為期望的特徵部配置。隨後將該等額外的遮罩層移除。In FIG. 1M, a plurality of second hard mask features 128 are patterned through an additional mask layer. Through the "cut" etching process, the additional mask layer forms the plurality of second hard mask features 128 into the desired feature configuration. These additional mask layers are then removed.

在圖1N中,將第二金屬層121圖案化以形成第二圖案化金屬線層132。在金屬線蝕刻處理期間,圖案化金屬線係由複數第二硬遮罩特徵部128加以界定。金屬線蝕刻處理可選擇性地蝕刻通過金屬以形成第二圖案化金屬線層132,而不會蝕刻第一介電材料113。可使用合適的蝕刻劑以移除金屬而不蝕刻或實質上不蝕刻第一介電材料113。例如,削減式電漿蝕刻可以比下伏第一介電材料113明顯更高的蝕刻速率移除金屬覆蓋層。在形成第二圖案化金屬線層132之後,可將複數第二硬遮罩特徵部128移除。在某些實施例中,可在第二圖案化金屬線層132上沉積擴散阻障層及/或襯墊層。擴散阻障層及/或襯墊層將第二圖案化金屬線層132與周圍的介電材料分隔開。In FIG. 1N, the second metal layer 121 is patterned to form a second patterned metal line layer 132. During the metal line etching process, the patterned metal line is defined by a plurality of second hard mask features 128. The metal line etching process can selectively etch through the metal to form the second patterned metal line layer 132 without etching the first dielectric material 113. A suitable etchant may be used to remove the metal without etching or substantially not etching the first dielectric material 113. For example, subtractive plasma etching can remove the metal capping layer at a significantly higher etching rate than the underlying first dielectric material 113. After the second patterned metal line layer 132 is formed, the plurality of second hard mask features 128 may be removed. In some embodiments, a diffusion barrier layer and/or a liner layer may be deposited on the second patterned metal line layer 132. The diffusion barrier layer and/or the liner layer separate the second patterned metal line layer 132 from the surrounding dielectric material.

介層窗127提供第二圖案化金屬線層132與第一圖案化金屬線層112之間的電互連,俾形成一金屬互連結構。如前所述,當在介層窗遮罩115中圖案化一或更多孔洞119時,存在與第一圖案化金屬線層112錯位的風險。不僅與第一圖案化金屬線層112 (Mx)錯位的風險存在,而且還存在與第二圖案化金屬線層132 (Mx+1)錯位的風險。當圖案化第二圖案化金屬線層132時,存在介層窗127與第二圖案化金屬線層132之間錯位的風險。圖2D-1顯示從圖1N之線D-D截取的金屬互連結構之橫剖面示意圖,其中介層窗127係與第二圖案化金屬線層132對準。在圖2D-1中,介層窗127與第二圖案化金屬線層132之間沒有接觸面積的損失。圖2D-2顯示從圖1N之線D-D截取的金屬互連結構之橫剖面示意圖,其中介層窗127係與第二圖案化金屬線層132錯位。歸因於錯位,在圖2D-2中,介層窗127與第二圖案化金屬線層132之間存在接觸面積的損失。此導致介層窗面積的損失。電阻與材料的電阻率及其長度成正比,且與材料的截面積成反比。介層窗面積的損失造成較高的介層窗電阻,其導致性能減低且可靠度減低。The via 127 provides an electrical interconnection between the second patterned metal line layer 132 and the first patterned metal line layer 112 to form a metal interconnection structure. As mentioned above, when one or more holes 119 are patterned in the via mask 115, there is a risk of misalignment with the first patterned metal line layer 112. There is not only a risk of misalignment with the first patterned metal line layer 112 (Mx), but also a risk of misalignment with the second patterned metal line layer 132 (Mx+1). When the second patterned metal line layer 132 is patterned, there is a risk of misalignment between the via 127 and the second patterned metal line layer 132. 2D-1 shows a schematic cross-sectional view of the metal interconnection structure taken from the line D-D of FIG. 1N, in which the via 127 is aligned with the second patterned metal line layer 132. In FIG. 2D-1, there is no loss of contact area between the via 127 and the second patterned metal line layer 132. 2D-2 shows a schematic cross-sectional view of the metal interconnection structure taken from the line D-D of FIG. 1N, in which the via 127 is misaligned with the second patterned metal line layer 132. Due to the misalignment, in FIG. 2D-2, there is a loss of contact area between the via 127 and the second patterned metal line layer 132. This leads to a loss of via area. The electrical resistance is proportional to the resistivity of the material and its length, and inversely proportional to the cross-sectional area of the material. The loss of via area results in higher via resistance, which leads to reduced performance and reduced reliability.

在圖1O中,藉由與Mx本質上相同的方式,介電材料133被沉積在第二圖案化金屬線層132上方,並填充於相鄰的第二金屬線之間的間隙中。介電材料133(在下文中稱為第二介電材料)可將第二圖案化金屬線層132包圍。在蝕刻金屬覆蓋層以形成第二圖案化金屬線層132之後,第二介電材料133填充於先前由金屬覆蓋層所填充的間隙、凹部、開口、或間隔中。在某些實施例中,在沉積第二介電材料133之後,可藉由一平坦化處理(例如CMP及/或毯式回蝕(blanket etchback))使第二介電材料133平坦化。在某些實施例中,第二介電材料133為一低k介電材料。在某些實施例中,第二介電材料133具有與第一介電材料113相同的組成。在某些實施例中,可在相鄰的第二金屬線之間的第二介電材料中形成氣隙,其中該等氣隙可用於進一步使相鄰第二金屬線之間的第二介電材料133的介電常數減小。在沉積第二介電材料133之後,製成一金屬互連結構。藉由削減式圖案化技術所形成的金屬互連結構具有第一圖案化金屬線層112、及位在第一圖案化金屬線層112上方的第二圖案化金屬線層132,其中一或更多介層窗127提供第一圖案化金屬線層112與第二圖案化金屬線層132之間的電互連。應理解,可沉積和圖案化額外的金屬線(例如Mx+2、Mx+3等)以建立於該金屬互連結構上。可藉由與第二圖案化金屬線層132及第一圖案化金屬線層112相同或相似的方式形成額外的金屬線。削減式圖案化中的自對準介層窗 In FIG. 10, in the same manner as Mx, the dielectric material 133 is deposited on the second patterned metal line layer 132 and filled in the gaps between adjacent second metal lines. The dielectric material 133 (hereinafter referred to as the second dielectric material) may surround the second patterned metal line layer 132. After the metal capping layer is etched to form the second patterned metal line layer 132, the second dielectric material 133 is filled in the gaps, recesses, openings, or spaces previously filled by the metal capping layer. In some embodiments, after the second dielectric material 133 is deposited, the second dielectric material 133 may be planarized by a planarization process (such as CMP and/or blanket etchback). In some embodiments, the second dielectric material 133 is a low-k dielectric material. In some embodiments, the second dielectric material 133 has the same composition as the first dielectric material 113. In some embodiments, air gaps can be formed in the second dielectric material between adjacent second metal lines, where the air gaps can be used to further make the second dielectric material between adjacent second metal lines The dielectric constant of the electrical material 133 decreases. After depositing the second dielectric material 133, a metal interconnection structure is formed. The metal interconnection structure formed by the reduction patterning technology has a first patterned metal line layer 112 and a second patterned metal line layer 132 located above the first patterned metal line layer 112, one or more The multi-layer window 127 provides electrical interconnection between the first patterned metal line layer 112 and the second patterned metal line layer 132. It should be understood that additional metal lines (such as Mx+2, Mx+3, etc.) can be deposited and patterned to build on the metal interconnect structure. The additional metal lines can be formed in the same or similar manner as the second patterned metal line layer 132 and the first patterned metal line layer 112. Self-aligned vias in reduced patterning

本發明係關於金屬互連結構之製造,其中在形成兩個相連金屬化層之後形成一或更多介層窗。藉由在圖案化第一金屬層之後和圖案化第二金屬層之後利用導電材料填充一或更多介層窗開口,而形成該一或更多介層窗。該金屬互連結構係藉由削減式圖案化技術而加以製造。該一或更多介層窗係與該兩個相連金屬化層之各者對準。該一或更多介層窗與該等相連金屬化層之間的對準狀態係透過以下方式而達成:於形成兩個相連金屬化層之後在圖案化金屬線上方留下一些硬遮罩材料或其他絕緣隔離材料。在蝕刻通過該等相連金屬化層中之一者時將其中一些剩餘絕緣隔離材料移除,以形成一或更多介層窗開口。由於周圍介電材料與絕緣隔離材料之間的蝕刻選擇性差異、以及周圍介電材料與相連金屬化層之間的蝕刻選擇性差異,因此一或更多介層窗之形成係限制於不會形成為周圍介電材料的空間內。在某些實施例中,該一或更多介層窗與該兩個相連金屬化層係完全對準,俾提供改良的接觸面積、減小的電阻率、減低的TDDB故障風險、及減低的短路風險。The present invention relates to the manufacture of metal interconnect structures, in which one or more vias are formed after forming two connected metallization layers. The one or more via openings are formed by filling the one or more via openings with a conductive material after patterning the first metal layer and after patterning the second metal layer. The metal interconnection structure is manufactured by reduction patterning technology. The one or more vias are aligned with each of the two connected metallization layers. The alignment state between the one or more vias and the connected metallization layers is achieved by the following method: After forming two connected metallization layers, leaving some hard mask material above the patterned metal lines Or other insulating materials. When etching through one of the connected metallization layers, some of the remaining insulating material is removed to form one or more via openings. Due to the difference in etch selectivity between the surrounding dielectric material and insulating isolation material, and the difference in etch selectivity between the surrounding dielectric material and the connected metallization layer, the formation of one or more vias is limited to not Formed into the space of the surrounding dielectric material. In some embodiments, the one or more vias are fully aligned with the two connected metallization layers to provide improved contact area, reduced resistivity, reduced risk of TDDB failure, and reduced Risk of short circuit.

依據某些實施例,圖3顯示在積體電路中製造金屬互連結構之例示性方法的流程圖。可按不同的順序、及/或利用不同的、更少的、或額外的操作來執行程序300中之操作。According to some embodiments, FIG. 3 shows a flowchart of an exemplary method of manufacturing a metal interconnect structure in an integrated circuit. The operations in the program 300 may be performed in a different order, and/or with different, fewer, or additional operations.

在程序300的方塊310,藉由削減式圖案化以在基板上形成第一圖案化金屬線層(Mx)。在某些實施例中,基板為半導體晶圓、被設置在半導體晶圓上、或半導體晶圓之部分。基板可包含一介電層,第一圖案化金屬線層係在該介電層上形成。在某些實施例中,可在介電層上沉積擴散阻障層及/或襯墊層,以將第一圖案化金屬線層與介電層分隔開。第一圖案化金屬線層代表金屬互連結構中的第一金屬化層。如本文所使用,圖案化金屬線層亦可稱為金屬化層、金屬層、金屬線、金屬特徵部、或線特徵部。第一圖案化金屬線層或第一金屬化層亦可稱為底部的圖案化金屬線層或底部金屬化層。At block 310 of process 300, a first patterned metal line layer (Mx) is formed on the substrate by reduction patterning. In some embodiments, the substrate is a semiconductor wafer, is disposed on a semiconductor wafer, or part of a semiconductor wafer. The substrate may include a dielectric layer, and the first patterned metal line layer is formed on the dielectric layer. In some embodiments, a diffusion barrier layer and/or a liner layer may be deposited on the dielectric layer to separate the first patterned metal line layer from the dielectric layer. The first patterned metal line layer represents the first metallization layer in the metal interconnect structure. As used herein, the patterned metal wire layer may also be referred to as a metallization layer, metal layer, metal wire, metal feature, or wire feature. The first patterned metal line layer or the first metallization layer can also be referred to as the bottom patterned metal line layer or the bottom metallization layer.

在程序300的方塊310,藉由削減式圖案化而形成第一圖案化金屬線層可涉及一或更多操作。在某些實施例中,形成第一圖案化金屬線層之操作包含在基板上沉積第一金屬層、在第一金屬層上沉積第一絕緣層、蝕刻第一絕緣層以在第一金屬層上方形成第一絕緣特徵部、以及蝕刻第一金屬層以形成由複數第一絕緣特徵部所界定之第一圖案化金屬線層。在某些實施例中,第一絕緣層可為第一硬遮罩層,且第一絕緣特徵部可為第一硬遮罩特徵部。第一金屬層可包含可利用削減式圖案化技術進行蝕刻和圖案化之任何合適的金屬。例如,第一金屬層可包含Mo、Ru、Al、或W。在某些實施例中,利用任何合適的沉積技術(例如PVD、CVD、PECVD、ALD、或電沉積)以沉積第一金屬層。電沉積可包含例如電鍍或無電式電鍍(electroless plating)。在某些實施例中,第一層(Mx)之圖案化金屬線的臨界尺寸(CD)係等於或小於約50 nm、等於或小於約20 nm、等於或小於約15 nm、或等於或小於約10 nm。在某些實施例中,第一層(Mx)之圖案化金屬線的節距係等於或小於約100 nm、等於或小於約40 nm、等於或小於約30 nm、或等於或小於約20 nm。At block 310 of process 300, forming the first patterned metal line layer by reduction patterning may involve one or more operations. In some embodiments, the operation of forming the first patterned metal line layer includes depositing a first metal layer on the substrate, depositing a first insulating layer on the first metal layer, and etching the first insulating layer to form a layer on the first metal layer. A first insulating feature is formed above and the first metal layer is etched to form a first patterned metal line layer defined by the plurality of first insulating features. In some embodiments, the first insulating layer can be a first hard mask layer, and the first insulating feature can be a first hard mask feature. The first metal layer may include any suitable metal that can be etched and patterned using a reduction patterning technique. For example, the first metal layer may include Mo, Ru, Al, or W. In some embodiments, any suitable deposition technique (eg, PVD, CVD, PECVD, ALD, or electrodeposition) is used to deposit the first metal layer. Electrodeposition may include, for example, electroplating or electroless plating. In some embodiments, the critical dimension (CD) of the patterned metal line of the first layer (Mx) is equal to or less than about 50 nm, equal to or less than about 20 nm, equal to or less than about 15 nm, or equal to or less than About 10 nm. In some embodiments, the pitch of the patterned metal lines of the first layer (Mx) is equal to or less than about 100 nm, equal to or less than about 40 nm, equal to or less than about 30 nm, or equal to or less than about 20 nm .

在某些實施例中,程序300更包含在第一金屬層上形成複數第一絕緣特徵部。該複數第一絕緣特徵部可界定第一金屬層中的圖案化金屬線。程序300更包含在相鄰金屬線之間的間隙中形成第一介電材料。第一介電材料可包圍複數第一絕緣特徵部及第一圖案化金屬線層。在形成第一介電材料之後,保留複數第一絕緣特徵部,以覆蓋第一圖案化金屬線層的頂表面。此可用於在形成一或更多介層窗時限制後續的蝕刻處理。In some embodiments, the process 300 further includes forming a plurality of first insulating features on the first metal layer. The plurality of first insulating features can define patterned metal lines in the first metal layer. The process 300 further includes forming a first dielectric material in the gap between adjacent metal lines. The first dielectric material may surround the plurality of first insulating features and the first patterned metal line layer. After the first dielectric material is formed, a plurality of first insulating features are left to cover the top surface of the first patterned metal line layer. This can be used to limit the subsequent etching process when forming one or more vias.

圖4A–4D顯示藉由削減式圖案化而在基板上形成第一圖案化金屬線層的例示性程序之示意圖。與圖4A–4D所示者相比,程序300之方塊310的第一圖案化金屬線層之形成可涉及不同、較少、或額外的操作。在圖4A中,將第一金屬層401(Mx)沉積於基板400上方。圖4A中之第一金屬層401為未經圖案化的金屬覆蓋層。在某些實施例中,可在第一金屬層401與基板400之間設置一襯墊層。襯墊層之範例包含(但不限於)氮化鈦(TiN)。其他範例包含氮化鉭(TaN)、氮化鎢(WN)、及碳氮化鎢(WCN)。襯墊層之厚度可等於或小於約5 nm、或等於或小於約3 nm。在某些實施例中,可在襯墊層與基板400之間設置一介電層402。襯墊層用以將第一金屬層401與介電層402分隔開。4A-4D show schematic diagrams of an exemplary process of forming a first patterned metal wire layer on a substrate by reduction patterning. Compared with those shown in FIGS. 4A-4D, the formation of the first patterned metal line layer in the block 310 of the process 300 may involve different, fewer, or additional operations. In FIG. 4A, a first metal layer 401 (Mx) is deposited on the substrate 400. The first metal layer 401 in FIG. 4A is an unpatterned metal covering layer. In some embodiments, a spacer layer may be provided between the first metal layer 401 and the substrate 400. Examples of the liner layer include, but are not limited to, titanium nitride (TiN). Other examples include tantalum nitride (TaN), tungsten nitride (WN), and tungsten carbonitride (WCN). The thickness of the liner layer may be equal to or less than about 5 nm, or equal to or less than about 3 nm. In some embodiments, a dielectric layer 402 may be provided between the liner layer and the substrate 400. The liner layer is used to separate the first metal layer 401 from the dielectric layer 402.

為了將第一金屬層401圖案化,可在第一金屬層401上方沉積第一硬遮罩層403。合適硬遮罩材料之範例可包含矽氮化物、矽氧化物、矽碳氮化物、矽碳氧化物、矽氮氧化物、非晶矽、多晶矽、或碳(例如非晶碳、金屬摻雜的非晶碳、類鑽碳、多晶鑽石)。可利用光阻404及光阻下層405以將第一硬遮罩層403圖案化,如圖1A中所示,並且非晶碳層406及抗反射層407係選用性地設置於光阻404與第一硬遮罩層403之間,如圖1A中所示。In order to pattern the first metal layer 401, a first hard mask layer 403 may be deposited on the first metal layer 401. Examples of suitable hard mask materials can include silicon nitride, silicon oxide, silicon carbon nitride, silicon oxycarbide, silicon oxynitride, amorphous silicon, polysilicon, or carbon (e.g., amorphous carbon, metal doped Amorphous carbon, diamond-like carbon, polycrystalline diamond). The photoresist 404 and the photoresist lower layer 405 can be used to pattern the first hard mask layer 403, as shown in FIG. 1A, and the amorphous carbon layer 406 and the anti-reflection layer 407 are optionally disposed on the photoresist 404 and Between the first hard mask layers 403, as shown in FIG. 1A.

在圖4B中,藉由將第一硬遮罩層403圖案化而形成複數第一硬遮罩特徵部408。可藉由利用微影術(例如EUV微影術)將光阻404圖案化以實現第一硬遮罩層403之圖案化。在某些實施例中,可藉由如圖1B中所示之節距重覆(pitch doubling)而形成較小的特徵部尺寸。在某些實施例中,可進行額外的遮蔽操作,以將第一硬遮罩特徵部408「切割」成第一硬遮罩特徵部408之期望配置,如圖1C及1D中所示。繼而,在額外的遮蔽及切割操作之後,將如第一硬遮罩特徵部408所界定而圖案化第一金屬層401。In FIG. 4B, a plurality of first hard mask features 408 are formed by patterning the first hard mask layer 403. The photoresist 404 can be patterned by using lithography (for example, EUV lithography) to realize the patterning of the first hard mask layer 403. In some embodiments, a smaller feature size can be formed by pitch doubling as shown in FIG. 1B. In some embodiments, additional masking operations may be performed to "cut" the first hard mask feature 408 into the desired configuration of the first hard mask feature 408, as shown in FIGS. 1C and 1D. Then, after additional masking and cutting operations, the first metal layer 401 will be patterned as defined by the first hard mask feature 408.

在圖4C中,將第一金屬層401圖案化以形成第一圖案化金屬線層409。在金屬線蝕刻處理期間,第一圖案化金屬線層409係由複數第一硬遮罩特徵部408加以界定。金屬線蝕刻處理可選擇性地蝕刻通過金屬以形成第一圖案化金屬線層409,而不蝕刻或實質上不蝕刻下伏介電層402。例如,削減式電漿蝕刻可以比下伏介電層402明顯更高的蝕刻速率移除金屬覆蓋層。保留該複數第一硬遮罩特徵部408,而不從圖4C中之第一圖案化金屬線層409移除該複數第一硬遮罩特徵部408。在某些實施例中,可在複數第一硬遮罩特徵部408及第一圖案化金屬線層409上沉積襯墊層及/或擴散阻障層。襯墊層及/或擴散阻障層將第一圖案化金屬線層409及複數第一硬遮罩特徵部408與周圍的介電材料分隔開。In FIG. 4C, the first metal layer 401 is patterned to form a first patterned metal line layer 409. During the metal line etching process, the first patterned metal line layer 409 is defined by a plurality of first hard mask features 408. The metal line etching process can selectively etch through the metal to form the first patterned metal line layer 409 without etching or substantially not etching the underlying dielectric layer 402. For example, subtractive plasma etching can remove the metal capping layer at a significantly higher etch rate than the underlying dielectric layer 402. The plurality of first hard mask features 408 are retained without removing the plurality of first hard mask features 408 from the first patterned metal line layer 409 in FIG. 4C. In some embodiments, a liner layer and/or a diffusion barrier layer may be deposited on the plurality of first hard mask features 408 and the first patterned metal line layer 409. The liner layer and/or diffusion barrier layer separates the first patterned metal line layer 409 and the plurality of first hard mask features 408 from the surrounding dielectric material.

在圖4D中,第一介電材料410被沉積在第一圖案化金屬線層409及複數第一硬遮罩特徵部408的周圍,並填充於相鄰的圖案化金屬線之間的間隙中。第一介電材料410可將第一圖案化金屬線層409及第一硬遮罩特徵部408包圍。在某些實施例中,第一介電材料410被沉積在複數第一硬遮罩特徵部408上方。在蝕刻金屬覆蓋層以形成第一圖案化金屬線層409之後,第一介電材料410填充於先前由金屬覆蓋層所填充的間隙、凹部、開口、或間隔中。在某些實施例中,在沉積第一介電材料410之後,可藉由一平坦化處理(例如CMP及/或毯式回蝕(blanket etchback))使第一介電材料410及複數第一硬遮罩特徵部408平坦化。平坦化處理可使覆蓋第一圖案化金屬線層409之第一硬遮罩特徵部408的頂表面暴露。第一硬遮罩特徵部408與第一介電材料410的頂表面係共面的。在某些實施例中,第一介電材料410為一低k介電材料。低k介電材料可包含經氟摻雜或碳摻雜的矽氧化物或含有機物之低k材料,例如OSG。在某些實施例中,可在相鄰圖案化金屬線之間的第一介電材料410中形成氣隙,其中該等氣隙可用於進一步使相鄰圖案化金屬線之間的第一介電材料410的介電常數減小。氣隙係形成於相鄰圖案化金屬線之間的間隙中之第一介電材料410中,其中圖案化金屬線係經由剩餘的第一介電材料410而與氣隙分隔開。In FIG. 4D, the first dielectric material 410 is deposited around the first patterned metal line layer 409 and the plurality of first hard mask features 408, and is filled in the gaps between adjacent patterned metal lines . The first dielectric material 410 can surround the first patterned metal line layer 409 and the first hard mask feature 408. In some embodiments, the first dielectric material 410 is deposited over the plurality of first hard mask features 408. After the metal capping layer is etched to form the first patterned metal line layer 409, the first dielectric material 410 is filled in the gaps, recesses, openings, or spaces previously filled by the metal capping layer. In some embodiments, after the first dielectric material 410 is deposited, a planarization process (such as CMP and/or blanket etchback) may be used to make the first dielectric material 410 and the first The hard mask feature 408 is flattened. The planarization process may expose the top surface of the first hard mask feature 408 covering the first patterned metal line layer 409. The first hard mask feature 408 is coplanar with the top surface of the first dielectric material 410. In some embodiments, the first dielectric material 410 is a low-k dielectric material. The low-k dielectric material may include fluorine-doped or carbon-doped silicon oxide or organic-containing low-k materials, such as OSG. In some embodiments, air gaps can be formed in the first dielectric material 410 between adjacent patterned metal lines, where the air gaps can be used to further make the first dielectric material between adjacent patterned metal lines The dielectric constant of the electrical material 410 decreases. The air gap is formed in the first dielectric material 410 in the gap between adjacent patterned metal lines, wherein the patterned metal line is separated from the air gap by the remaining first dielectric material 410.

回到圖3,在程序300的方塊320,藉由削減式圖案化在第一圖案化金屬層上方形成第二圖案化金屬層。在某些實施例中,可在第一介電材料及複數第一絕緣特徵部的暴露表面上沉積擴散阻障層及/或襯墊層。第二圖案化金屬層代表金屬互連結構中的第二金屬化層。Returning to FIG. 3, in block 320 of process 300, a second patterned metal layer is formed on the first patterned metal layer by reduction patterning. In some embodiments, a diffusion barrier layer and/or a liner layer may be deposited on the exposed surface of the first dielectric material and the plurality of first insulating features. The second patterned metal layer represents the second metalized layer in the metal interconnect structure.

在程序300的方塊320,藉由削減式圖案化而形成第二圖案化金屬線層可涉及一或更多操作。在某些實施例中,形成第二圖案化金屬線層之操作包含在第一介電材料及第一圖案化金屬線層的上方沉積第二金屬層、在第二金屬層上沉積第二絕緣層、蝕刻第二絕緣層以在第二金屬層上方形成複數第二絕緣特徵部、以及蝕刻第二金屬層以形成由複數第二絕緣特徵部所界定之第二圖案化金屬線層。在某些實施例中,第二絕緣層可為第二硬遮罩層,且第二絕緣特徵部可為第二硬遮罩特徵部。第二金屬層可包含可利用削減式圖案化技術進行蝕刻和圖案化之任何合適的金屬。例如,第二金屬層可包含Mo、Ru、Al、或W。在某些實施例中,第二金屬層為與第一金屬層相同的材料。在某些實施例中,利用任何合適的沉積技術(例如PVD、CVD、PECVD、ALD、或電沉積)以沉積第二金屬層。電沉積可包含例如電鍍或無電式電鍍(electroless plating)。在某些實施例中,第二層(Mx+1)之圖案化金屬線的臨界尺寸係等於或小於約50 nm、等於或小於約20 nm、等於或小於約15 nm、或等於或小於約10 nm。在某些實施例中,第二層(Mx+1)之圖案化金屬線的節距係等於或小於約100 nm、等於或小於約40 nm、等於或小於約30 nm、或等於或小於約20 nm。At block 320 of process 300, forming the second patterned metal line layer by reduction patterning may involve one or more operations. In some embodiments, the operation of forming the second patterned metal wire layer includes depositing a second metal layer on the first dielectric material and the first patterned metal wire layer, and depositing a second insulating layer on the second metal layer. Layer, etching the second insulating layer to form a plurality of second insulating features over the second metal layer, and etching the second metal layer to form a second patterned metal line layer defined by the plurality of second insulating features. In some embodiments, the second insulating layer can be a second hard mask layer, and the second insulating feature can be a second hard mask feature. The second metal layer may include any suitable metal that can be etched and patterned using a reduction patterning technique. For example, the second metal layer may include Mo, Ru, Al, or W. In some embodiments, the second metal layer is the same material as the first metal layer. In some embodiments, any suitable deposition technique (eg, PVD, CVD, PECVD, ALD, or electrodeposition) is used to deposit the second metal layer. Electrodeposition may include, for example, electroplating or electroless plating. In some embodiments, the critical dimension of the patterned metal line of the second layer (Mx+1) is equal to or less than about 50 nm, equal to or less than about 20 nm, equal to or less than about 15 nm, or equal to or less than about 10 nm. In some embodiments, the pitch of the patterned metal lines of the second layer (Mx+1) is equal to or less than about 100 nm, equal to or less than about 40 nm, equal to or less than about 30 nm, or equal to or less than about 20 nm.

在某些實施例中,程序300更包含在第二金屬層上形成複數第二絕緣特徵部。該複數第二絕緣特徵部可界定第二金屬層中的第二圖案化金屬線層。程序300更包含在相鄰金屬線之間的間隙中形成第二介電材料。第二介電材料可包圍複數第二絕緣特徵部及第二圖案化金屬線層。在形成第二介電材料之後,保留複數第二絕緣特徵部,以覆蓋第二圖案化金屬線層的頂表面。此可用於在形成一或更多介層窗時限制後續的蝕刻處理。In some embodiments, the process 300 further includes forming a plurality of second insulating features on the second metal layer. The plurality of second insulating features can define a second patterned metal line layer in the second metal layer. The process 300 further includes forming a second dielectric material in the gap between adjacent metal lines. The second dielectric material may surround the plurality of second insulating features and the second patterned metal line layer. After the second dielectric material is formed, a plurality of second insulating features are retained to cover the top surface of the second patterned metal line layer. This can be used to limit the subsequent etching process when forming one or more vias.

圖4E–4H顯示藉由削減式圖案化而在第一圖案化金屬線層上形成第二圖案化金屬線層的例示性程序之示意圖。與圖4E–4H所示者相比,程序300之方塊320的第二圖案化金屬線層之形成可涉及不同、較少、或額外的操作。在圖4E中,將第二金屬層411 (Mx+1)沉積於第一圖案化金屬線層409上方、及第一介電材料410上方、及複數第一硬遮罩特徵部408上方。圖4E中的第二金屬層411在第一介電材料410及複數第一硬遮罩特徵部408上方提供一金屬覆蓋層。在某些實施例中,在第二金屬層411與第一介電材料410之間、以及第二金屬層411與複數第一硬遮罩特徵部408之間設置一襯墊層。在圖案化第二金屬層411的期間,可在第二金屬層411上方沉積第二硬遮罩層412,其中可利用光阻413及光阻下層414以將第二硬遮罩層412圖案化,如圖1J中所示,並且非晶碳層415及抗反射層416係選用性地設置於光阻413與第二硬遮罩層412之間,如圖1J中所示。4E-4H show schematic diagrams of an exemplary process of forming a second patterned metal wire layer on the first patterned metal wire layer by reduction patterning. Compared with those shown in FIGS. 4E-4H, the formation of the second patterned metal line layer in the block 320 of the process 300 may involve different, fewer, or additional operations. In FIG. 4E, a second metal layer 411 (Mx+1) is deposited on the first patterned metal line layer 409, on the first dielectric material 410, and on the plurality of first hard mask features 408. The second metal layer 411 in FIG. 4E provides a metal covering layer above the first dielectric material 410 and the plurality of first hard mask features 408. In some embodiments, a liner layer is provided between the second metal layer 411 and the first dielectric material 410, and between the second metal layer 411 and the plurality of first hard mask features 408. During the patterning of the second metal layer 411, a second hard mask layer 412 can be deposited on the second metal layer 411, wherein a photoresist 413 and a photoresist lower layer 414 can be used to pattern the second hard mask layer 412 As shown in FIG. 1J, and the amorphous carbon layer 415 and the anti-reflection layer 416 are optionally disposed between the photoresist 413 and the second hard mask layer 412, as shown in FIG. 1J.

在圖4F中,藉由將第二硬遮罩層412圖案化而形成複數第二硬遮罩特徵部417。可藉由利用微影術(例如EUV微影術)將光阻413圖案化以實現第二硬遮罩層412之圖案化。在某些實施例中,可藉由如圖1K中所示之節距重覆(pitch doubling)而形成較小的特徵部尺寸。在某些實施例中,可進行額外的遮蔽操作,以將第二硬遮罩特徵部417「切割」成第二硬遮罩特徵部417之期望配置,如圖1L及1M中所示。繼而,在額外的遮蔽及切割操作之後,將如第二硬遮罩特徵部417所界定而圖案化第二金屬層411。In FIG. 4F, a plurality of second hard mask features 417 are formed by patterning the second hard mask layer 412. The patterning of the second hard mask layer 412 can be achieved by patterning the photoresist 413 by using lithography (for example, EUV lithography). In some embodiments, a smaller feature size can be formed by pitch doubling as shown in FIG. 1K. In some embodiments, additional masking operations may be performed to "cut" the second hard mask feature 417 into the desired configuration of the second hard mask feature 417, as shown in FIGS. 1L and 1M. Then, after additional masking and cutting operations, the second metal layer 411 will be patterned as defined by the second hard mask feature 417.

在圖4G中,將第二金屬層411圖案化以形成第二圖案化金屬線層418。在金屬線蝕刻處理期間,第二圖案化金屬線層418係由複數第二硬遮罩特徵部417加以界定。金屬線蝕刻處理可選擇性地蝕刻通過第二金屬層411以形成第二圖案化金屬線層418,而不蝕刻或實質上不蝕刻第一介電材料410及複數第一硬遮罩特徵部408。例如,削減式電漿蝕刻可以比第一介電材料410及複數第一硬遮罩特徵部408明顯更高的蝕刻速率移除金屬覆蓋層。如本文所使用,「明顯更高的蝕刻速率」可指涉:欲蝕刻之目標材料的蝕刻速率為其他材料的至少5倍大。保留該複數第二硬遮罩特徵部417,而不從圖4G中之第二圖案化金屬線層418移除該複數第二硬遮罩特徵部417。在某些實施例中,可在複數第二硬遮罩特徵部417及第二圖案化金屬線層418上沉積襯墊層及/或擴散阻障層。襯墊層及/或擴散阻障層將第二圖案化金屬線層418及複數第二硬遮罩特徵部417與周圍的介電材料分隔開。In FIG. 4G, the second metal layer 411 is patterned to form a second patterned metal line layer 418. During the metal line etching process, the second patterned metal line layer 418 is defined by a plurality of second hard mask features 417. The metal line etching process can selectively etch through the second metal layer 411 to form the second patterned metal line layer 418 without etching or substantially not etching the first dielectric material 410 and the plurality of first hard mask features 408 . For example, the reduced plasma etching can remove the metal capping layer at a significantly higher etch rate than the first dielectric material 410 and the plurality of first hard mask features 408. As used herein, "significantly higher etching rate" may refer to: the etching rate of the target material to be etched is at least 5 times greater than other materials. The plurality of second hard mask features 417 are retained, and the plurality of second hard mask features 417 are not removed from the second patterned metal line layer 418 in FIG. 4G. In some embodiments, a liner layer and/or a diffusion barrier layer may be deposited on the plurality of second hard mask features 417 and the second patterned metal line layer 418. The liner layer and/or the diffusion barrier layer separate the second patterned metal line layer 418 and the plurality of second hard mask features 417 from the surrounding dielectric material.

在圖4H中,第二介電材料419被沉積在第二圖案化金屬線層418及複數第二硬遮罩特徵部417的周圍,並填充於相鄰的圖案化金屬線之間的間隙中。第二介電材料419可將第二圖案化金屬線層418及第二硬遮罩特徵部417包圍。在某些實施例中,第二介電材料419被沉積在複數第二硬遮罩特徵部417上方。在蝕刻金屬覆蓋層以形成第二圖案化金屬線層418之後,第二介電材料419填充於先前由金屬覆蓋層所填充的間隙、凹部、開口、或間隔中。在某些實施例中,在沉積第二介電材料419之後,可藉由一平坦化處理(例如CMP及/或毯式回蝕(blanket etchback))使第二介電材料419及複數第二硬遮罩特徵部417平坦化。平坦化處理可使覆蓋第二圖案化金屬線層418之第二硬遮罩特徵部417的頂表面暴露。第二硬遮罩特徵部417與第二介電材料419的頂表面係共面的。在某些實施例中,第二介電材料419為一低k介電材料。在某些實施例中,可在相鄰圖案化金屬線之間的第二介電材料419中形成氣隙,其中圖案化金屬線係經由剩餘的第二介電材料419而與氣隙分隔開。In FIG. 4H, the second dielectric material 419 is deposited around the second patterned metal line layer 418 and the plurality of second hard mask features 417, and is filled in the gaps between adjacent patterned metal lines . The second dielectric material 419 can surround the second patterned metal line layer 418 and the second hard mask feature 417. In some embodiments, the second dielectric material 419 is deposited over the plurality of second hard mask features 417. After the metal capping layer is etched to form the second patterned metal line layer 418, the second dielectric material 419 is filled in the gaps, recesses, openings, or spaces previously filled by the metal capping layer. In some embodiments, after the second dielectric material 419 is deposited, a planarization process (such as CMP and/or blanket etchback) may be used to make the second dielectric material 419 and the second The hard mask feature 417 is flattened. The planarization process may expose the top surface of the second hard mask feature 417 covering the second patterned metal line layer 418. The second hard mask feature 417 is coplanar with the top surface of the second dielectric material 419. In some embodiments, the second dielectric material 419 is a low-k dielectric material. In some embodiments, an air gap may be formed in the second dielectric material 419 between adjacent patterned metal lines, wherein the patterned metal line is separated from the air gap by the remaining second dielectric material 419 open.

回到圖3,在程序300的方塊330,形成提供第一圖案化金屬線層與第二圖案化金屬線層之間之電互連的一或更多介層窗,而形成金屬互連結構。該一或更多介層窗係在形成第一圖案化金屬線層與第二圖案化金屬線層之後形成。此外,該一或更多介層窗係在以下步驟之後形成:第一金屬層之削減式圖案化和利用第一介電材料填充於第一圖案化金屬線層周圍的間隙、以及第二金屬層之削減式圖案化和利用第二介電材料填充於第二圖案化金屬線層周圍的間隙。換言之,圖案化該一或更多介層窗之操作係在界定兩個金屬化層之後進行。Returning to FIG. 3, at block 330 of the process 300, one or more vias providing electrical interconnection between the first patterned metal line layer and the second patterned metal line layer are formed to form a metal interconnection structure . The one or more vias are formed after forming the first patterned metal wire layer and the second patterned metal wire layer. In addition, the one or more vias are formed after the following steps: the reduction patterning of the first metal layer and the use of the first dielectric material to fill the gaps around the first patterned metal line layer, and the second metal The layer reduction patterning and the use of a second dielectric material to fill the gaps around the second patterned metal line layer. In other words, the operation of patterning the one or more vias is performed after defining two metallization layers.

在程序300的方塊330,該一或更多介層窗之形成可涉及一或更多操作。藉由使一或更多介層窗開口形成通過至少第二圖案化金屬線層直至第一圖案化金屬線層、並利用導電材料填充該一或更多介層窗開口,可形成該一或更多介層窗。形成一或更多介層窗開口之操作包含蝕刻通過一或更多第二絕緣特徵部、蝕刻通過第二圖案化金屬線層、及蝕刻通過一或更多第一絕緣特徵部。在不蝕刻或實質上不蝕刻周圍材料之情況下蝕刻通過三或更多層材料可能帶來許多挑戰。在某些實施例中,蝕刻通過一或更多第二絕緣特徵部之操作係在不蝕刻或實質上不蝕刻第二介電材料之情況下發生。在某些實施例中,蝕刻通過第二圖案化金屬線層之操作係在不蝕刻或實質上不蝕刻第二介電材料之情況下發生。在某些實施例中,蝕刻通過一或更多第一絕緣特徵部之操作係在不蝕刻或實質上不蝕刻第一介電材料之情況下發生。如本文所使用,「實質上不蝕刻」可指涉以下蝕刻處理:對象材料(例如介電質)的蝕刻速率為欲蝕刻之目標材料(例如硬遮罩)的蝕刻速率的至少1/5倍低的蝕刻處理。換言之,欲蝕刻之目標材料對其他材料之蝕刻選擇性等於或大於約5:1。蝕刻通過三或更多層材料之操作可使用利用相同蝕刻劑的相同蝕刻處理、或者可使用利用不同蝕刻劑的不同蝕刻處理。在某些實施例中,利用導電材料填充一或更多介層窗開口之操作包含回填第二圖案化金屬線層及一或更多第一絕緣特徵部被蝕刻掉之處。此等回填操作形成該一或更多介層窗,俾提供與剩餘之第二圖案化金屬線層的電連接。At block 330 of process 300, the formation of the one or more vias may involve one or more operations. By forming one or more via openings through at least the second patterned metal wire layer to the first patterned metal wire layer, and filling the one or more via openings with a conductive material, the one or more via openings can be formed More interlayer windows. The operation of forming one or more via openings includes etching through one or more second insulating features, etching through the second patterned metal line layer, and etching through one or more first insulating features. Etching through three or more layers of material without etching or substantially not etching the surrounding material can present many challenges. In some embodiments, etching through one or more second insulating features occurs without etching or substantially not etching the second dielectric material. In some embodiments, etching through the second patterned metal line layer occurs without etching or substantially without etching the second dielectric material. In some embodiments, etching through one or more first insulating features occurs without etching or substantially not etching the first dielectric material. As used herein, "substantially not etched" may refer to the following etching process: the etching rate of the target material (for example, dielectric) is at least 1/5 times the etching rate of the target material (for example, hard mask) to be etched Low etching treatment. In other words, the etch selectivity of the target material to be etched to other materials is equal to or greater than about 5:1. The operation of etching through three or more layers of materials may use the same etching process using the same etchant, or may use different etching processes using different etchants. In some embodiments, filling one or more via openings with a conductive material includes backfilling where the second patterned metal line layer and one or more first insulating features are etched away. These backfill operations form the one or more vias to provide electrical connection with the remaining second patterned metal line layer.

使一或更多介層窗開口形成直至第一圖案化金屬線層之操作可藉由第一絕緣特徵部及第二絕緣特徵部加以限制,使得該一或更多介層窗開口不會偏移或錯位。具體而言,第一絕緣特徵部及第二絕緣特徵部用以限制蝕刻處理,使得介層窗開口不會形成於周圍的介電材料中。在形成第一圖案化金屬線層與第二圖案化金屬線層之後未保留第一絕緣特徵部及第二絕緣特徵部之情況下,可能發生對準或覆蓋誤差,其在第一圖案化金屬線層與第二圖案化金屬線層之間產生不樂見之電連接(例如不樂見之短路)。The operation of forming one or more via openings up to the first patterned metal wire layer can be restricted by the first insulating feature and the second insulating feature, so that the one or more via openings are not biased Shifted or misplaced. Specifically, the first insulating feature and the second insulating feature are used to limit the etching process, so that the via opening is not formed in the surrounding dielectric material. In the case where the first insulating feature and the second insulating feature are not retained after the first patterned metal line layer and the second patterned metal line layer are formed, alignment or coverage errors may occur, which may occur in the first patterned metal An undesirable electrical connection (such as an undesirable short circuit) is generated between the wire layer and the second patterned metal wire layer.

第一及第二絕緣特徵部與周圍介電材料之間的材料差異促成蝕刻對比,因此限制介層窗之形成,從而使得一或更多介層窗能夠與第一圖案化金屬線層及第二圖案化金屬線層自對準。用於提供金屬化層之間之介層窗的習知製造處理通常使用相同介電材料作為金屬化層之間的空間補償,而本發明之第一及第二絕緣特徵部提供與周圍介電材料的材料差異,其具有不同的蝕刻選擇性。The material difference between the first and second insulating features and the surrounding dielectric material promotes etching contrast, thus restricting the formation of vias, so that one or more vias can interact with the first patterned metal line layer and the second 2. The patterned metal wire layer is self-aligned. The conventional manufacturing process for providing a via window between metallization layers usually uses the same dielectric material as the space compensation between the metallization layers, and the first and second insulating features of the present invention provide the dielectric The material difference of the material has different etching selectivity.

周圍介電材料的垂直壁部用作限制介層窗蝕刻的蝕刻邊界,使得介層窗之形成與第一圖案化金屬線層及第二圖案化金屬線層對準。介層窗蝕刻不會延伸至周圍介電材料或鄰接的介層窗中。藉由限制介層窗形成,此確保一或更多介層窗與第一圖案化金屬線層及第二圖案化金屬線層之自對準。當一或更多介層窗與至少第一圖案化金屬線層對準時,該一或更多介層窗直接接觸第一圖案化金屬線層的頂表面而沒有重疊。因此,該一或更多介層窗不會與第一介電材料重疊,並且解決因未對準之介層窗而導致的TDDB劣化及短路問題。當一或更多介層窗與至少第二圖案化金屬線層對準時,一或更多介層窗在先前第二層的一或更多圖案化金屬線受蝕刻之處填充有導電材料,且不與第二介電材料重疊。此解決了因未對準之介層窗而導致之減小的接觸面積、較高的介層窗電阻、及降低的可靠度之問題。因此,自對準介層窗圖案化方案可提供與第二圖案化金屬線層及第一圖案化金屬線層完全對準的一或更多介層窗。The vertical wall portion of the surrounding dielectric material serves as an etching boundary for limiting the etching of the via, so that the formation of the via is aligned with the first patterned metal wire layer and the second patterned metal wire layer. The via etching does not extend into the surrounding dielectric material or adjacent vias. By constraining the formation of vias, this ensures self-alignment of one or more vias with the first patterned metal line layer and the second patterned metal line layer. When one or more vias are aligned with at least the first patterned metal wire layer, the one or more vias directly contact the top surface of the first patterned metal wire layer without overlapping. Therefore, the one or more vias will not overlap with the first dielectric material, and the problem of TDDB degradation and short circuits caused by misaligned vias is solved. When one or more vias are aligned with at least the second patterned metal line layer, the one or more vias are filled with conductive material where one or more patterned metal lines of the previous second layer were etched, And it does not overlap with the second dielectric material. This solves the problems of reduced contact area, higher via resistance, and reduced reliability due to misaligned vias. Therefore, the self-aligned via patterning solution can provide one or more vias that are completely aligned with the second patterned metal line layer and the first patterned metal line layer.

在某些實施例中,程序300更包含在第二複數絕緣特徵部及第二介電材料上方沉積介層窗遮罩、以及在介層窗遮罩中圖案化一或更多孔洞以界定一或更多介層窗開口。一或更多孔洞之各者具有大於第二圖案化金屬線層及/或第一圖案化金屬線層之臨界尺寸的直徑或寬度。在某些實施例中,一或更多孔洞之各者具有比第二圖案化金屬線層及/或第一圖案化金屬線層之臨界尺寸大多達約100%的直徑或寬度。使得介層窗遮罩中之一或更多孔洞的直徑或寬度過大,從而大於實際形成的一或更多介層窗之直徑或寬度。藉此方式,一或更多孔洞與欲蝕刻之下伏層之間的任何錯位不會導致留下欲蝕刻之目標材料。透過具有過大的孔洞,此亦確保實際上不管任何對準誤差而蝕刻欲蝕刻之下伏層。此係部分歸因於在蝕刻期間使第二絕緣特徵部相對於第二介電材料而具選擇性、在蝕刻期間使第二圖案化金屬線層相對於第二介電材料而具選擇性、以及在蝕刻期間使第一絕緣特徵部相對於第一介電材料而具選擇性。然而,應理解,一或更多孔洞之直徑或寬度並非過大以至於有延伸至相鄰金屬線中之風險。因此,介層窗遮罩中之一或更多孔洞之直徑或寬度係些微過大以解決錯位公差之問題,但不過大以至於蝕刻至其他金屬線中。In some embodiments, the process 300 further includes depositing a via mask over the second plurality of insulating features and the second dielectric material, and patterning one or more holes in the via mask to define a Or more via openings. Each of the one or more porous holes has a diameter or width larger than the critical dimension of the second patterned metal wire layer and/or the first patterned metal wire layer. In some embodiments, each of the one or more porous holes has a diameter or width that is up to about 100% larger than the critical dimension of the second patterned metal line layer and/or the first patterned metal line layer. The diameter or width of one or more holes in the via mask is too large, so as to be larger than the diameter or width of one or more vias actually formed. In this way, any misalignment between the one or more porous holes and the underlying layer to be etched will not leave the target material to be etched. By having too large holes, this also ensures that the underlying layer to be etched is actually etched regardless of any alignment errors. This is partly due to making the second insulating feature selective to the second dielectric material during etching, and making the second patterned metal line layer selective to the second dielectric material during etching, And during etching, the first insulating feature is made selective to the first dielectric material. However, it should be understood that the diameter or width of one or more holes is not so large that there is a risk of extending into adjacent metal lines. Therefore, the diameter or width of one or more holes in the via mask is slightly too large to solve the problem of misalignment tolerance, but not too large to etch into other metal lines.

圖4I–4L顯示形成一或更多介層窗的例示性程序之示意圖,其中該一或更多介層窗係用以在第一圖案化金屬線層與第二圖案化金屬線層之間提供電互連。與圖4I–4L所示者相比,程序300之方塊330的一或更多介層窗之形成可涉及不同、較少、或額外的操作。在圖4I中,可在複數第二硬遮罩特徵部417及第二介電材料419上方形成介層窗遮罩420。介層窗遮罩420可具有一或更多孔洞421,用以將一或更多介層窗開口圖案化通過至少第二圖案化金屬線層418。介層窗遮罩420可包含用於圖案化的一或更多膜層,其中該一或更多膜層可包含光阻422、光阻下層423、旋塗碳424 (SoC)、及遮罩層425(例如硬遮罩層)。可將微影處理施用於光阻422以將遮罩層425圖案化,其中可在遮罩層425中形成一或更多孔洞。可蝕刻遮罩層425之部分以形成一或更多孔洞,用以界定一或更多介層窗開口。意圖使遮罩層425中的一或更多孔洞與以下各者對準:將於其中形成一或更多介層窗的第二硬遮罩特徵部417、第二圖案化金屬線層418、及第一硬遮罩特徵部408。在某些實施例中,該一或更多孔洞的直徑係大於第二圖案化金屬線層418及/或第一圖案化金屬線層409之臨界尺寸。在某些實施例中,第一圖案化金屬線層409或第二圖案化金屬線層418之臨界尺寸可為等於或小於約50 nm、等於或小於約20 nm、或等於或小於約10 nm。在某些實施例中,該直徑比第二圖案化金屬線層418及/或第一圖案化金屬線層409之臨界尺寸更大大約1%至大約100%、更大大約5%至大約100%、或更大大約10%至大約50%。該一或更多孔洞的直徑大於形成通過第二圖案化金屬線層418之實際的一或更多介層窗開口,其中尺寸上的差異解決某些錯位公差問題而不會蝕刻相鄰的金屬線。在某些實施例中,介層窗遮罩420的遮罩層425包含與第二硬遮罩特徵部417、第二圖案化金屬線層418、及第一硬遮罩特徵部408之材料不同的材料。4I-4L shows a schematic diagram of an exemplary process for forming one or more vias, where the one or more vias are used between the first patterned metal wire layer and the second patterned metal wire layer Provide electrical interconnection. Compared with those shown in FIGS. 4I-4L, the formation of one or more vias in block 330 of process 300 may involve different, fewer, or additional operations. In FIG. 4I, a via mask 420 may be formed over the plurality of second hard mask features 417 and the second dielectric material 419. In FIG. The via mask 420 may have one or more holes 421 for patterning one or more via openings through at least the second patterned metal line layer 418. The via mask 420 may include one or more film layers for patterning, where the one or more film layers may include photoresist 422, photoresist underlayer 423, spin-on carbon 424 (SoC), and a mask Layer 425 (e.g., hard mask layer). A lithography process may be applied to the photoresist 422 to pattern the mask layer 425, wherein one or more holes may be formed in the mask layer 425. Portions of the mask layer 425 can be etched to form one or more holes for defining one or more via openings. It is intended to align one or more holes in the mask layer 425 with each of the following: the second hard mask feature 417 in which one or more vias will be formed, the second patterned metal line layer 418, And the first hard mask feature 408. In some embodiments, the diameter of the one or more holes is larger than the critical size of the second patterned metal wire layer 418 and/or the first patterned metal wire layer 409. In some embodiments, the critical dimension of the first patterned metal line layer 409 or the second patterned metal line layer 418 may be equal to or less than about 50 nm, equal to or less than about 20 nm, or equal to or less than about 10 nm . In some embodiments, the diameter is about 1% to about 100% larger, about 5% to about 100% larger than the critical dimension of the second patterned metal line layer 418 and/or the first patterned metal line layer 409. %, or greater, about 10% to about 50%. The diameter of the one or more holes is larger than the actual one or more via openings formed through the second patterned metal line layer 418, where the difference in size resolves some misalignment tolerance issues without etching adjacent metals line. In some embodiments, the mask layer 425 of the via mask 420 includes a different material from the second hard mask feature 417, the second patterned metal line layer 418, and the first hard mask feature 408 s material.

在圖4J中,藉由蝕刻使一或更多介層窗開口426形成通過至少第二圖案化金屬線層418直至第一圖案化金屬線層409。一或更多介層窗開口426係由介層窗遮罩420中的一或更多孔洞421所界定。意圖使一或更多介層窗開口426與第二層418之一或更多圖案化金屬線及第一層409之一或更多圖案化金屬線對準。藉由將電絕緣材料(例如第一及第二硬遮罩特徵部)留在具有與周圍介電材料不同的蝕刻選擇性之圖案化金屬線的頂表面上,可解決錯位公差問題。藉此方式,電絕緣材料用於限制蝕刻處理,使得一或更多介層窗開口426不會形成於周圍介電材料中。亦可藉由以下方式解決錯位公差問題:使介層窗遮罩420中具有些微過大的孔洞、以及確保蝕刻處理相對於周圍介電材料而對第二硬遮罩特徵部417、第二圖案化金屬線層418、及第一硬遮罩特徵部408具選擇性。藉此方式,介層窗遮罩420中之過大孔洞減低蝕刻處理錯過欲蝕刻之目標材料的風險,因此形成一或更多介層窗開口426之操作不會留下任何的目標材料。In FIG. 4J, one or more via openings 426 are formed through at least the second patterned metal line layer 418 to the first patterned metal line layer 409 by etching. One or more via openings 426 are defined by one or more holes 421 in the via mask 420. It is intended that one or more via openings 426 are aligned with one or more patterned metal lines of the second layer 418 and one or more patterned metal lines of the first layer 409. By leaving the electrically insulating material (such as the first and second hard mask features) on the top surface of the patterned metal line that has a different etch selectivity from the surrounding dielectric material, the misalignment tolerance problem can be solved. In this way, the electrically insulating material is used to limit the etching process so that one or more via openings 426 will not be formed in the surrounding dielectric material. The problem of misalignment tolerance can also be solved by the following methods: making the via mask 420 have slightly too large holes, and ensuring that the etching process compares the surrounding dielectric materials to the second hard mask feature 417 and the second patterning The metal line layer 418 and the first hard mask feature 408 are selective. In this way, the excessively large holes in the via mask 420 reduce the risk that the etching process misses the target material to be etched, so the operation of forming one or more via openings 426 does not leave any target material.

形成一或更多介層窗開口426之操作包含蝕刻通過一或更多第二硬遮罩特徵部417、蝕刻通過第二圖案化金屬線層418、及蝕刻通過一或更多第一硬遮罩特徵部408。蝕刻處理在第一圖案化金屬線層409上停止。在蝕刻處理之後暴露出第一圖案化金屬線層409。蝕刻通過一或更多第二硬遮罩特徵部之操作相對於第二介電材料419而具選擇性、蝕刻通過第二圖案化金屬線層418之操作相對於第二介電材料419而具選擇性、且蝕刻通過一或更多第一硬遮罩特徵部408之操作相對於第一介電材料410而具選擇性。在分別沿著圖4J之線A-A及線B-B截取的圖5A及5B中,可觀察到介層窗開口426形成通過一或更多第二硬遮罩特徵部417、通過第二圖案化金屬線層418、且通過一或更多第一硬遮罩特徵部408。The operation of forming one or more via openings 426 includes etching through one or more second hard mask features 417, etching through the second patterned metal line layer 418, and etching through one or more first hard masks. Cover feature 408. The etching process stops on the first patterned metal line layer 409. After the etching process, the first patterned metal line layer 409 is exposed. The operation of etching through one or more second hard mask features is selective to the second dielectric material 419, and the operation of etching through the second patterned metal line layer 418 is relatively selective to the second dielectric material 419 The operation of etching through one or more first hard mask features 408 is selective and selective relative to the first dielectric material 410. In FIGS. 5A and 5B taken along line AA and line BB of FIG. 4J, respectively, it can be observed that the via opening 426 is formed through one or more second hard mask features 417, through the second patterned metal line Layer 418 and pass through one or more first hard mask features 408.

在圖4K中,將導電材料427沉積於一或更多介層窗開口426中,以填充該一或更多介層窗開口426。透過將先前填充一或更多第一硬遮罩特徵部408及第二圖案化金屬線層418的該一或更多介層窗開口426填充,而形成一或更多介層窗428。在某些實施例中,導電材料427為與第一圖案化金屬線層409及第二圖案化金屬線層418相同的材料。例如,導電材料427包含Mo、Ru、Al、或W。在某些實施例中,導電材料427為與第一圖案化金屬線層409及第二圖案化金屬線層418不同的材料。在某些實施例中,藉由合適的沉積技術(例如PVD、CVD、PECVD、ALD、或電沉積)以沉積導電材料427,俾至少實質上填充一或更多介層窗開口426。在某些實施例中,在利用導電材料427填充一或更多介層窗開口426之前,可在一或更多介層窗開口426中沉積擴散阻障層及/或襯墊層。擴散阻障層及/或襯墊層可將一或更多介層窗428與周圍的介電材料分隔開。In FIG. 4K, a conductive material 427 is deposited in one or more via openings 426 to fill the one or more via openings 426. By filling the one or more via openings 426 previously filled with one or more first hard mask features 408 and second patterned metal line layer 418, one or more vias 428 are formed. In some embodiments, the conductive material 427 is the same material as the first patterned metal wire layer 409 and the second patterned metal wire layer 418. For example, the conductive material 427 includes Mo, Ru, Al, or W. In some embodiments, the conductive material 427 is a different material from the first patterned metal wire layer 409 and the second patterned metal wire layer 418. In some embodiments, the conductive material 427 is deposited by a suitable deposition technique (such as PVD, CVD, PECVD, ALD, or electrodeposition) so as to at least substantially fill one or more via openings 426. In some embodiments, before filling the one or more via openings 426 with the conductive material 427, a diffusion barrier layer and/or a liner layer may be deposited in the one or more via openings 426. The diffusion barrier layer and/or the liner layer may separate one or more vias 428 from the surrounding dielectric material.

透過利用導電材料427回填第二圖案化金屬線層418及一或更多第一硬遮罩特徵部408被蝕刻掉之處,而形成一或更多介層窗428。藉由回填,導電材料427接觸暴露的第一圖案化金屬線層409,並提供與第二圖案化金屬線層418的電互連。在某些實施例中,沉積導電材料427以填充一或更多介層窗開口426、填充遮罩層425中的一或更多孔洞、並在一或更多介層窗開口426上方提供導電材料427之覆蓋層。此在一或更多介層窗開口426上方提供導電材料427之表蓋層。One or more vias 428 are formed by backfilling the places where the second patterned metal line layer 418 and the one or more first hard mask features 408 are etched away with the conductive material 427. By backfilling, the conductive material 427 contacts the exposed first patterned metal line layer 409 and provides an electrical interconnection with the second patterned metal line layer 418. In some embodiments, the conductive material 427 is deposited to fill one or more via openings 426, to fill one or more holes in the mask layer 425, and to provide conductivity over the one or more via openings 426 Covering layer of material 427. This provides a cover layer of conductive material 427 above one or more via openings 426.

在圖4L中,將導電材料427之一部分移除,使得導電材料427之剩餘部分將一或更多第一硬遮罩特徵部408及第二圖案化金屬線層418先前填充一或更多介層窗開口426之處填充。此等移除導電材料427之該部分的操作包含蝕刻下列區域的導電材料427:一或更多介層窗開口426上方、遮罩層425中之一或更多孔洞中、及一或更多第二硬遮罩特徵部417先前填充一或更多介層窗開口426之處。此將導電材料427之表蓋層移除,並餘留導電材料427達第二硬遮罩特徵部417之底部水平。因此,可使一或更多凹部形成通過該一或更多孔洞至第二硬遮罩特徵部417之底部水平,從而提供至少一凹陷金屬填充429至第二硬遮罩特徵部417之底部水平。在圖4L,金屬互連結構被製造成具有由一或更多完全對準的介層窗428所連接的兩個相連金屬化層。In FIG. 4L, a part of the conductive material 427 is removed so that the remaining part of the conductive material 427 will be filled with one or more first hard mask features 408 and the second patterned metal line layer 418 previously. The layer window opening 426 is filled. These operations of removing the portion of the conductive material 427 include etching the conductive material 427 in the following areas: one or more of the via openings 426, one or more holes in the mask layer 425, and one or more The second hard mask feature 417 previously filled one or more via openings 426. This removes the cover layer of the conductive material 427, and leaves the conductive material 427 to the bottom level of the second hard mask feature 417. Therefore, one or more recesses can be formed through the one or more holes to the bottom level of the second hard mask feature 417, thereby providing at least one recessed metal filling 429 to the bottom level of the second hard mask feature 417 . In FIG. 4L, the metal interconnect structure is fabricated with two connected metallization layers connected by one or more fully aligned vias 428.

回到圖3,程序300可更包含利用第三介電材料覆蓋導電材料的暴露部分。在某些實施例中,可在凹陷介層窗金屬填充及第二絕緣特徵部上方沉積第三介電材料。可對第三介電材料進行蝕刻或拋光以使其與第二絕緣特徵部共面。在某些實施例中,第三介電材料可為與第二絕緣特徵部相同的材料。Returning to FIG. 3, the process 300 may further include covering the exposed portion of the conductive material with a third dielectric material. In some embodiments, a third dielectric material may be deposited over the recessed via metal fill and the second insulating feature. The third dielectric material can be etched or polished to make it coplanar with the second insulating feature. In some embodiments, the third dielectric material may be the same material as the second insulating feature.

在某些實施例中,程序300可更包含藉由削減式圖案化以在第二圖案化金屬線層上形成第三圖案化金屬線層(Mx+2)。第三圖案化金屬線層可代表金屬互連結構中的第三金屬化層。在某些實施例中,可形成一或更多的額外介電窗,其提供第二圖案化金屬線層與第三圖案化金屬線層之間的電互連。可繼續在金屬互連結構中製造額外的金屬化層及介層窗,其中可以與第一金屬化層及第二金屬化層相同或相似的方式形成額外的金屬化層,且可以與提供第一圖案化金屬線層與第二圖案化金屬線層之間的電互連之一或更多介層窗相同或相似的方式形成額外的介層窗。In some embodiments, the process 300 may further include forming a third patterned metal line layer (Mx+2) on the second patterned metal line layer by reduction patterning. The third patterned metal line layer may represent the third metalization layer in the metal interconnect structure. In some embodiments, one or more additional dielectric windows may be formed that provide electrical interconnections between the second patterned metal line layer and the third patterned metal line layer. It is possible to continue to manufacture additional metallization layers and vias in the metal interconnection structure, in which additional metallization layers can be formed in the same or similar manner as the first metallization layer and the second metallization layer, and the The electrical interconnection between one patterned metal line layer and the second patterned metal line layer forms additional vias in the same or similar manner as one or more vias.

圖4M–4N顯示利用第三介電材料封蓋凹陷介層窗金屬填充的例示性程序之示意圖。與圖4M–4N所示者相比,封蓋凹陷介層窗金屬填充之操作可涉及不同、較少、或額外的操作。在圖4M中,將第三介電材料430沉積在複數第二硬遮罩特徵部417及凹陷介層窗金屬填充429上方。可在將填充一或更多介層窗開口426的導電材料427之部分移除之後所形成的一或更多凹部中沉積第三介電材料430。在某些實施例中,第三介電材料430為與第二硬遮罩特徵部417相同的材料。在某些實施例中,將第三介電材料430沉積在遮罩層425上方。第三介電材料430可為與遮罩層425相同的材料或相同類型的材料。沉積第三介電材料430以覆蓋導電材料427之暴露部分。4M-4N show schematic diagrams of an exemplary process of using a third dielectric material to cover the recessed via metal filling. Compared with those shown in FIGS. 4M-4N, the metal filling operation of capping the recessed vias may involve different, fewer, or additional operations. In FIG. 4M, the third dielectric material 430 is deposited over the plurality of second hard mask features 417 and the recessed via metal fill 429. The third dielectric material 430 may be deposited in one or more recesses formed after the portion of the conductive material 427 filling the one or more via openings 426 is removed. In some embodiments, the third dielectric material 430 is the same material as the second hard mask feature 417. In some embodiments, the third dielectric material 430 is deposited over the mask layer 425. The third dielectric material 430 may be the same material or the same type of material as the mask layer 425. The third dielectric material 430 is deposited to cover the exposed portion of the conductive material 427.

在圖4N中,進行一平坦化處理以移除第三介電材料430直至第二硬遮罩特徵部417。該平坦化處理可包含CMP及/或毯式回蝕(blanket etchback),使得第三介電材料430與第二硬遮罩特徵部417係共面的。此外,在移除其中一些第三介電材料430的同時,可移除複數第二硬遮罩特徵部417上方的遮罩層425。第三介電材料430及第二硬遮罩特徵部417用於封蓋或覆蓋第二圖案化金屬線層418。隨後可以相同或相似於第一圖案化金屬線層409、第二圖案化金屬線層418、及一或更多介層窗428的方式形成額外的圖案化金屬線層及額外的介層窗。在將凹陷介層窗金屬填充429封蓋之後,在分別沿著圖4N之線C-C及線D-D截取的圖5C及5D中,可觀察到一金屬互連結構。圖5C及5D中之金屬互連結構顯示完全對準的介層窗428,其提供第一圖案化金屬線層409與第二圖案化金屬線層418之間的電互連。In FIG. 4N, a planarization process is performed to remove the third dielectric material 430 up to the second hard mask feature 417. The planarization process may include CMP and/or blanket etchback, so that the third dielectric material 430 and the second hard mask feature 417 are coplanar. In addition, while removing some of the third dielectric material 430, the mask layer 425 above the plurality of second hard mask features 417 can be removed. The third dielectric material 430 and the second hard mask feature 417 are used to cover or cover the second patterned metal line layer 418. Subsequently, additional patterned metal line layers and additional vias can be formed in the same or similar manner as the first patterned metal line layer 409, the second patterned metal line layer 418, and one or more vias 428. After the recessed via metal filling 429 is capped, in FIGS. 5C and 5D taken along lines C-C and D-D in FIG. 4N, a metal interconnect structure can be observed. The metal interconnection structure in FIGS. 5C and 5D shows a fully aligned via 428, which provides an electrical interconnection between the first patterned metal line layer 409 and the second patterned metal line layer 418.

在形成提供第一圖案化金屬線層409與第二圖案化金屬線層418之間的電互連之一或更多介層窗428之後,形成一金屬互連結構。一積體電路的例示性金屬互連結構係顯示於圖5C及5D中。金屬互連結構可包含第一圖案化金屬線層409、在第一層409中之至少一些圖案化金屬線上的複數第一絕緣特徵部431、在第一圖案化金屬線層409上方的第二圖案化金屬線層418、以及在第二層418中之至少一些圖案化金屬線上的複數第二絕緣特徵部432。該金屬互連結構更包含提供第一圖案化金屬線層409與第二圖案化金屬線層418之間的電互連的一或更多介層窗428,其中該一或更多介層窗428係與第一圖案化金屬線層409及第二圖案化金屬線層418完全對準。第一介電材料410將第一圖案化金屬線層409及複數第一絕緣特徵部431包圍。第二介電材料419將第二圖案化金屬線層418及第二絕緣特徵部432包圍。一或更多介層窗428係完全對準,使得一或更多介層窗428直接接觸第一圖案化金屬線層409而未與第一介電材料410或第二介電材料419重疊。該一或更多介層窗428係在圖案化第一圖案化金屬線層409及第二圖案化金屬線層418之後形成。After forming one or more vias 428 that provide electrical interconnections between the first patterned metal line layer 409 and the second patterned metal line layer 418, a metal interconnection structure is formed. An exemplary metal interconnect structure of an integrated circuit is shown in FIGS. 5C and 5D. The metal interconnection structure may include a first patterned metal line layer 409, a plurality of first insulating features 431 on at least some of the patterned metal lines in the first layer 409, and a second patterned metal line layer 409. The patterned metal line layer 418 and a plurality of second insulating features 432 on at least some of the patterned metal lines in the second layer 418. The metal interconnection structure further includes one or more vias 428 that provide electrical interconnection between the first patterned metal line layer 409 and the second patterned metal line layer 418, wherein the one or more vias 428 is completely aligned with the first patterned metal line layer 409 and the second patterned metal line layer 418. The first dielectric material 410 surrounds the first patterned metal line layer 409 and the plurality of first insulating features 431. The second dielectric material 419 surrounds the second patterned metal line layer 418 and the second insulating feature 432. The one or more vias 428 are completely aligned such that the one or more vias 428 directly contact the first patterned metal line layer 409 without overlapping the first dielectric material 410 or the second dielectric material 419. The one or more vias 428 are formed after patterning the first patterned metal wire layer 409 and the second patterned metal wire layer 418.

在某些實施例中,金屬互連結構更包含在凹陷介層窗金屬填充429上方的第三介電材料430,其中第二圖案化金屬線層418之頂表面係被第二絕緣特徵部432所覆蓋,且凹陷介層窗金屬填充429係被第三介電材料430所覆蓋。在某些實施例中,第三介電材料430為與第二絕緣特徵部432相同的材料。在某些實施例中,第一介電材料410與第二介電材料419之各者為低k介電材料。第一絕緣特徵部431及第二絕緣特徵部432具有與該低k介電材料不同的蝕刻選擇性。在某些實施例中,第一圖案化金屬線層409及第二圖案化金屬線層418包含Mo、Ru、Al、或W。在某些實施例中,一或更多介層窗428包含Mo、Ru、Al、或W,其中該一或更多介層窗428之材料與第一圖案化金屬線層409及第二圖案化金屬線層418之材料相同或不同。In some embodiments, the metal interconnect structure further includes a third dielectric material 430 above the recessed via metal filling 429, wherein the top surface of the second patterned metal line layer 418 is covered by the second insulating feature 432 The covered and recessed via metal filling 429 is covered by the third dielectric material 430. In some embodiments, the third dielectric material 430 is the same material as the second insulating feature 432. In some embodiments, each of the first dielectric material 410 and the second dielectric material 419 is a low-k dielectric material. The first insulating feature 431 and the second insulating feature 432 have a different etch selectivity from the low-k dielectric material. In some embodiments, the first patterned metal line layer 409 and the second patterned metal line layer 418 include Mo, Ru, Al, or W. In some embodiments, the one or more vias 428 include Mo, Ru, Al, or W, wherein the material of the one or more vias 428 and the first patterned metal line layer 409 and the second pattern The material of the metal wire layer 418 is the same or different.

本文所述之處理可與例如用於製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具/處理會在一共同的製造廠房中一起使用或進行。薄膜之微影圖案化通常包括下列操作之一些或全部,每一操作以幾個可能的工具而提供:(1) 使用旋塗式或噴塗式工具以在工作件(亦即,基板)上塗佈光阻;(2) 使用加熱板或加熱爐或UV固化工具以使光阻固化;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方薄膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)以移除光阻。結論 The processes described herein can be used with, for example, lithographic patterning tools or processes used to manufacture semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Generally speaking, although not necessary, such tools/processing will be used or performed together in a common manufacturing plant. Film lithography patterning usually includes some or all of the following operations. Each operation is provided with several possible tools: (1) Use spin-coating or spray-coating tools to coat the work piece (ie, the substrate) Distribute the photoresist; (2) Use a hot plate or oven or UV curing tools to cure the photoresist; (3) Use tools (for example, a wafer stepper) to expose the photoresist to visible light or UV light or x-ray light (4) Develop the photoresist to use tools (for example, a wet cleaning table) to selectively remove the photoresist and thereby pattern it; (5) Use dry or plasma-assisted etching tools to pattern the photoresist Transfer to the underlying film or work piece; and (6) Use tools (for example, RF or microwave plasma photoresist stripper) to remove the photoresist. in conclusion

在以上的敘述中,說明了大量的特定細節,以提供對所提出之實施方式的徹底理解。在毋須若干或全部此等特定細節之情況下即可實行所揭示之實施例。在其他範例中,為了不使所揭示之實施例晦澀難懂,習知的處理操作不會有詳細描述。雖然所揭示之實施例與特定實施例一同敘述,但應理解,並非試圖限制所揭示之實施例。In the above description, a large number of specific details are explained to provide a thorough understanding of the proposed implementation. The disclosed embodiments can be implemented without some or all of these specific details. In other examples, in order not to obscure the disclosed embodiments, the conventional processing operations will not be described in detail. Although the disclosed embodiments are described together with specific embodiments, it should be understood that they are not intended to limit the disclosed embodiments.

雖然上述實施例已為了清楚理解的目的而以一些細節描述,但顯然地,某些改變和修飾可在隨附申請專利範圍之範疇內實施。應注意,有許多替代方式執行本發明實施例的處理、系統、和設備。因此,本發明實施例係被視為說明性而非限制性,且該等實施例並不限於本文所提供之細節。Although the above embodiments have been described in some details for the purpose of clear understanding, it is obvious that certain changes and modifications can be implemented within the scope of the appended patent application. It should be noted that there are many alternative ways to implement the processes, systems, and devices of embodiments of the present invention. Therefore, the embodiments of the present invention are to be regarded as illustrative rather than restrictive, and the embodiments are not limited to the details provided herein.

100:基板 101:第一金屬層 102:介電層 103:第一硬遮罩層 104:光阻 105:光阻下層 106:非晶碳 107:抗反射層 108:第一硬遮罩特徵部 109:光阻 110:光阻下層 111:旋塗碳 112:第一圖案化金屬線層 113:第一介電材料 114:氣隙 115:介層窗遮罩 116:光阻 117:光阻下層 118:旋塗碳 119:一或更多孔洞 120:介層窗開口 121:第二金屬層 122:第二硬遮罩層 123:光阻 124:光阻下層 125:非晶碳 126:抗反射層 127:介層窗 128:第二硬遮罩特徵部 129:光阻 130:光阻下層 131:旋塗碳 132:第二圖案化金屬線層 133:第二介電材料 300:程序 310:方塊 320:方塊 330:方塊 400:基板 401:第一金屬層 402:介電層 403:第一硬遮罩層 404:光阻 405:光阻下層 406:非晶碳層 407:抗反射層 408:第一硬遮罩特徵部 409:第一圖案化金屬線層 410:第一介電材料 411:第二金屬層 412:第二硬遮罩層 413:光阻 414:光阻下層 415:非晶碳層 416:抗反射層 417:第二硬遮罩特徵部 418:第二圖案化金屬線層 419:第二介電材料 420:介層窗遮罩 421:一或更多孔洞 422:光阻 423:光阻下層 424:旋塗碳 425:遮罩層 426:介層窗開口 427:導電材料 428:介層窗 429:凹陷介層窗金屬填充 430:第三介電材料 431:第一絕緣特徵部 432:第二絕緣特徵部100: substrate 101: The first metal layer 102: Dielectric layer 103: The first hard mask layer 104: photoresist 105: photoresist lower layer 106: Amorphous carbon 107: Anti-reflection layer 108: The first hard mask feature 109: photoresist 110: photoresist lower layer 111: Spin-coated carbon 112: first patterned metal wire layer 113: The first dielectric material 114: air gap 115: Interlayer window mask 116: photoresist 117: photoresist lower layer 118: Spin-coated carbon 119: One or more holes 120: Interlayer window opening 121: second metal layer 122: second hard mask layer 123: photoresist 124: photoresist lower layer 125: Amorphous carbon 126: Anti-reflective layer 127: Interlayer window 128: Second hard mask feature 129: photoresist 130: photoresist lower layer 131: Spin-coated carbon 132: second patterned metal wire layer 133: second dielectric material 300: program 310: Block 320: block 330: Block 400: substrate 401: first metal layer 402: Dielectric layer 403: The first hard mask layer 404: photoresist 405: photoresist lower layer 406: Amorphous carbon layer 407: Anti-reflective layer 408: The first hard mask feature 409: first patterned metal wire layer 410: The first dielectric material 411: second metal layer 412: second hard mask layer 413: photoresist 414: photoresist lower layer 415: Amorphous carbon layer 416: Anti-reflective layer 417: Second hard mask feature 418: second patterned metal wire layer 419: second dielectric material 420: Interlayer window mask 421: One or more holes 422: photoresist 423: photoresist lower layer 424: Spin-on carbon 425: Mask layer 426: Interlayer window opening 427: conductive material 428: Interlayer Window 429: Recessed via metal filling 430: The third dielectric material 431: First insulation feature 432: Second insulation feature

圖1A-1O顯示藉由削減式圖案化而形成金屬互連結構的例示性程序之示意圖。1A-10 show schematic diagrams of an exemplary process for forming a metal interconnect structure by reduction patterning.

圖2A顯示來自圖1F之線A-A的例示性部分加工金屬互連結構之橫剖面示意圖。2A shows a schematic cross-sectional view of an exemplary partially processed metal interconnection structure taken from line A-A of FIG. 1F.

圖2B-1顯示來自圖1H之線B-B的例示性部分加工金屬互連結構之橫剖面示意圖,其中介層窗開口係與底下的金屬線對準。2B-1 shows a schematic cross-sectional view of an exemplary partially processed metal interconnect structure from line B-B of FIG. 1H, in which the via opening is aligned with the underlying metal line.

圖2B-2顯示來自圖1H之線C-C的例示性部分加工金屬互連結構之橫剖面示意圖,其中介層窗開口未與底下的金屬線對準。2B-2 shows a schematic cross-sectional view of an exemplary partially processed metal interconnect structure from line C-C of FIG. 1H, where the via opening is not aligned with the underlying metal line.

圖2C-1顯示來自圖1J之線C-C的例示性部分加工金屬互連結構之橫剖面示意圖,其中介層窗係與底下的金屬線對準。2C-1 shows a schematic cross-sectional view of an exemplary partially processed metal interconnect structure from line C-C of FIG. 1J, in which the via is aligned with the underlying metal line.

圖2C-2顯示來自圖1J之線C-C的例示性部分加工金屬互連結構之橫剖面示意圖,其中介層窗未與底下的金屬線對準。2C-2 shows a schematic cross-sectional view of an exemplary partially processed metal interconnect structure from line C-C of FIG. 1J, where the via is not aligned with the underlying metal line.

圖2D-1顯示來自圖1N之線D-D的例示性金屬互連結構之橫剖面示意圖,其中介層窗係與上覆的金屬線對準。2D-1 shows a schematic cross-sectional view of an exemplary metal interconnect structure from line D-D of FIG. 1N, in which the via is aligned with the overlying metal line.

圖2D-2顯示來自圖1N之線D-D的例示性金屬互連結構之橫剖面示意圖,其中介層窗未與上覆的金屬線對準。2D-2 shows a schematic cross-sectional view of an exemplary metal interconnection structure from line D-D in FIG. 1N, where the via is not aligned with the overlying metal line.

依據某些實施例,圖3顯示在積體電路中製造金屬互連結構之例示性方法的流程圖。According to some embodiments, FIG. 3 shows a flowchart of an exemplary method of manufacturing a metal interconnect structure in an integrated circuit.

依據某些實施例,圖4A-4N顯示藉由削減式圖案化而形成具有完全對準之介層窗的金屬互連結構的例示性程序之示意圖。According to some embodiments, FIGS. 4A-4N show schematic diagrams of an exemplary process for forming a metal interconnect structure with fully aligned vias by reduced patterning.

依據某些實施例,圖5A顯示來自圖4J之線A-A的例示性部分加工金屬互連結構之橫剖面示意圖。According to some embodiments, FIG. 5A shows a schematic cross-sectional view of an exemplary partially processed metal interconnect structure taken from line A-A of FIG. 4J.

依據某些實施例,圖5B顯示來自圖4J之線B-B的例示性部分加工金屬互連結構之橫剖面示意圖。According to some embodiments, FIG. 5B shows a schematic cross-sectional view of an exemplary partially processed metal interconnection structure taken from line B-B of FIG. 4J.

依據某些實施例,圖5C顯示來自圖4N之線C-C的例示性金屬互連結構之橫剖面示意圖。According to some embodiments, FIG. 5C shows a schematic cross-sectional view of an exemplary metal interconnection structure from the line C-C of FIG. 4N.

依據某些實施例,圖5D顯示來自圖4N之線D-D的例示性金屬互連結構之橫剖面示意圖。According to some embodiments, FIG. 5D shows a schematic cross-sectional view of an exemplary metal interconnection structure from the line D-D of FIG. 4N.

409:第一圖案化金屬線層 409: first patterned metal wire layer

410:第一介電材料 410: The first dielectric material

418:第二圖案化金屬線層 418: second patterned metal wire layer

419:第二介電材料 419: second dielectric material

428:介層窗 428: Interlayer Window

430:第三介電材料 430: The third dielectric material

431:第一絕緣特徵部 431: First insulation feature

432:第二絕緣特徵部 432: Second insulation feature

Claims (20)

一種製造金屬互連結構的方法,該方法包含: 藉由削減式圖案化以在一基板上形成第一層的圖案化金屬線; 藉由削減式圖案化以在該第一層的圖案化金屬線上方形成第二層的圖案化金屬線;以及 在形成該第二層的圖案化金屬線之後,形成提供該第一層的圖案化金屬線與該第二層的圖案化金屬線之間之電互連的一或更多介層窗,從而形成該金屬互連結構。A method of manufacturing a metal interconnection structure, the method comprising: Forming a first layer of patterned metal lines on a substrate by subtractive patterning; Forming a second layer of patterned metal lines above the first layer of patterned metal lines by reduction patterning; and After the patterned metal lines of the second layer are formed, one or more vias that provide electrical interconnections between the patterned metal lines of the first layer and the patterned metal lines of the second layer are formed, thereby The metal interconnection structure is formed. 如請求項1之製造金屬互連結構的方法,其中形成該一或更多介層窗之步驟包含: 使一或更多介層窗開口形成通過至少該第二層的圖案化金屬線直至該第一層的圖案化金屬線;以及 利用一導電材料填充該一或更多介層窗開口。The method for manufacturing a metal interconnect structure of claim 1, wherein the step of forming the one or more vias includes: Forming one or more via openings through at least the patterned metal line of the second layer to the patterned metal line of the first layer; and Fill the one or more via openings with a conductive material. 如請求項1之製造金屬互連結構的方法,更包含: 在該第一層的圖案化金屬線上形成複數第一絕緣特徵部;以及 在形成該複數第一絕緣特徵部之後,在該第一層的相鄰金屬線之間的間隙中形成第一介電材料。For example, the method of manufacturing a metal interconnection structure in claim 1, further including: Forming a plurality of first insulating features on the patterned metal line of the first layer; and After forming the plurality of first insulating features, a first dielectric material is formed in the gaps between adjacent metal lines of the first layer. 如請求項3之製造金屬互連結構的方法,更包含: 在該第二層的圖案化金屬線上形成複數第二絕緣特徵部;以及 在形成該複數第二絕緣特徵部之後,在該第二層的相鄰金屬線之間的間隙中形成第二介電材料。For example, the method of manufacturing a metal interconnection structure in claim 3 further includes: Forming a plurality of second insulating features on the patterned metal line of the second layer; and After forming the plurality of second insulating features, a second dielectric material is formed in the gaps between adjacent metal lines of the second layer. 如請求項4之製造金屬互連結構的方法,其中形成該一或更多介層窗之步驟包含: 蝕刻通過一或更多第二絕緣特徵部; 蝕刻通過該第二層的圖案化金屬線; 蝕刻通過一或更多第一絕緣特徵部以形成一或更多介層窗開口,俾使該第一層的圖案化金屬線暴露;以及 在該一或更多介層窗開口中沉積導電材料,以在暴露的該第一層的圖案化金屬線上形成該一或更多介層窗。The method for manufacturing a metal interconnect structure of claim 4, wherein the step of forming the one or more vias includes: Etching through one or more second insulating features; Etching the patterned metal line through the second layer; Etching through one or more first insulating features to form one or more via openings to expose the patterned metal lines of the first layer; and A conductive material is deposited in the one or more via openings to form the one or more vias on the exposed patterned metal lines of the first layer. 如請求項5之製造金屬互連結構的方法,更包含: 在該複數第二絕緣特徵部及該第二介電材料上方形成一介層窗遮罩;以及 在該介層窗遮罩中圖案化一或更多孔洞,其中該一或更多孔洞各自具有大於該第二層的圖案化金屬線及/或該第一層的圖案化金屬線之臨界尺寸(CD)的直徑或寬度。For example, the method for manufacturing a metal interconnection structure in claim 5 further includes: Forming a via mask over the plurality of second insulating features and the second dielectric material; and Pattern one or more holes in the via mask, wherein each of the one or more holes has a larger critical size than the patterned metal line of the second layer and/or the patterned metal line of the first layer (CD) diameter or width. 如請求項6之製造金屬互連結構的方法,其中該一或更多孔洞各自具有比該第二層的圖案化金屬線及/或該第一層的圖案化金屬線之CD大多達約100%的直徑或寬度。The method for manufacturing a metal interconnection structure of claim 6, wherein each of the one or more holes has a CD that is greater than the patterned metal line of the second layer and/or the patterned metal line of the first layer by up to about 100 % Of the diameter or width. 如請求項6之製造金屬互連結構的方法,其中沉積該導電材料之步驟包含:利用該導電材料填充先前該等第一絕緣特徵部及該第二層的圖案化金屬線被蝕刻之處。The method for manufacturing a metal interconnection structure according to claim 6, wherein the step of depositing the conductive material comprises: using the conductive material to fill previously etched portions of the first insulating features and the patterned metal lines of the second layer. 如請求項5之製造金屬互連結構的方法,其中蝕刻通過該一或更多第二絕緣特徵部之操作相對於包圍該一或更多第二絕緣特徵部之該第二介電材料而具選擇性,且其中蝕刻通過該第二層的圖案化金屬線之操作相對於包圍該第二層的圖案化金屬線之該第二介電材料而具選擇性,且其中蝕刻通過該一或更多第一絕緣特徵部之操作相對於包圍該一或更多第一絕緣特徵部之該第一介電材料而具選擇性。The method of manufacturing a metal interconnect structure of claim 5, wherein the operation of etching through the one or more second insulating features is relative to the second dielectric material surrounding the one or more second insulating features Selective, and wherein the operation of etching through the patterned metal line of the second layer is selective with respect to the second dielectric material surrounding the patterned metal line of the second layer, and wherein the etching through the one or more The operation of the multiple first insulating features is selective relative to the first dielectric material surrounding the one or more first insulating features. 如請求項5之製造金屬互連結構的方法,其中該第一層的圖案化金屬線、該第二層的圖案化金屬線、及該導電材料之各者包含Mo、Ru、Al、或W。According to claim 5, the method of manufacturing a metal interconnection structure, wherein each of the patterned metal line of the first layer, the patterned metal line of the second layer, and the conductive material includes Mo, Ru, Al, or W . 如請求項4之製造金屬互連結構的方法,其中該第一介電材料及該第二介電材料之各者包含低k介電材料,且其中該複數第一絕緣特徵部及該複數第二絕緣特徵部之各者具有與該低k介電材料不同的蝕刻選擇性。The method of manufacturing a metal interconnection structure of claim 4, wherein each of the first dielectric material and the second dielectric material includes a low-k dielectric material, and wherein the plurality of first insulating features and the plurality of first insulating features Each of the two insulating features has a different etch selectivity from the low-k dielectric material. 如請求項1-11之任一項之製造金屬互連結構的方法,其中該一或更多介層窗係與該第一層的圖案化金屬線及該第二層的圖案化金屬線完全對準。The method for manufacturing a metal interconnection structure according to any one of claims 1-11, wherein the one or more vias are completely connected to the patterned metal line of the first layer and the patterned metal line of the second layer alignment. 如請求項1-11之任一項之製造金屬互連結構的方法,其中該第一層的圖案化金屬線及該第二層的圖案化金屬線之CD等於或小於約20 nm。The method for manufacturing a metal interconnection structure according to any one of claims 1-11, wherein the CD of the patterned metal line of the first layer and the patterned metal line of the second layer is equal to or less than about 20 nm. 如請求項1-11之任一項之製造金屬互連結構的方法,其中形成該第一層的圖案化金屬線之步驟包含: 在該基板上方沉積第一金屬; 在該第一金屬上方沉積第一遮罩層; 對該第一遮罩層進行蝕刻,以在該第一金屬上方形成複數第一絕緣特徵部;以及 對該第一金屬進行蝕刻,以形成由該複數第一絕緣特徵部所界定的該第一層的圖案化金屬線; 其中形成該第二層的圖案化金屬線之步驟包含: 在該第一層的圖案化金屬線上方沉積第二金屬; 在該第二金屬上方沉積第二遮罩層; 對該第二遮罩層進行蝕刻,以在該第二金屬上方形成複數第二絕緣特徵部;以及 對該第二金屬進行蝕刻,以形成由該複數第二絕緣特徵部所界定的該第二層的圖案化金屬線。The method for manufacturing a metal interconnection structure according to any one of claims 1-11, wherein the step of forming the patterned metal line of the first layer comprises: Depositing a first metal on the substrate; Depositing a first mask layer on the first metal; Etching the first mask layer to form a plurality of first insulating features on the first metal; and Etching the first metal to form the patterned metal lines of the first layer defined by the plurality of first insulating features; The step of forming the patterned metal line of the second layer includes: Depositing a second metal on the patterned metal lines of the first layer; Depositing a second mask layer on the second metal; Etching the second mask layer to form a plurality of second insulating features on the second metal; and The second metal is etched to form the second layer of patterned metal lines defined by the plurality of second insulating features. 一種用於積體電路的金屬互連結構,該金屬互連結構包含: 第一層的圖案化金屬線; 複數第一絕緣特徵部,位在該第一層中的至少一些圖案化金屬線上; 第二層的圖案化金屬線,位在該第一層的圖案化金屬線上方; 複數第二絕緣特徵部,位在該第二層中的至少一些圖案化金屬線上;以及 一或更多介層窗,其提供該第一層的圖案化金屬線與該第二層的圖案化金屬線之間的電互連,其中該一或更多介層窗係與該第一層的圖案化金屬線及該第二層的圖案化金屬線完全對準。A metal interconnection structure for integrated circuits, the metal interconnection structure comprising: The patterned metal line of the first layer; A plurality of first insulating features located on at least some of the patterned metal lines in the first layer; The patterned metal line of the second layer is located above the patterned metal line of the first layer; A plurality of second insulating features located on at least some of the patterned metal lines in the second layer; and One or more vias, which provide electrical interconnection between the patterned metal lines of the first layer and the patterned metal lines of the second layer, wherein the one or more vias are connected to the first The patterned metal lines of the layer and the patterned metal lines of the second layer are completely aligned. 如請求項15之用於積體電路的金屬互連結構,其中該一或更多介層窗延伸通過該等第一絕緣特徵部,以使該第一層的圖案化金屬線與該第二層的圖案化金屬線接觸。For example, the metal interconnection structure for integrated circuits of claim 15, wherein the one or more vias extend through the first insulating features, so that the patterned metal lines of the first layer and the second layer Layer of patterned metal line contacts. 如請求項15之用於積體電路的金屬互連結構,更包含: 第一介電材料,其包圍該第一層的圖案化金屬線及該複數第一絕緣特徵部;以及 第二介電材料,其包圍該第二層的圖案化金屬線及該複數第二絕緣特徵部。For example, the metal interconnection structure for integrated circuits in claim 15 further includes: A first dielectric material that surrounds the patterned metal lines of the first layer and the plurality of first insulating features; and The second dielectric material surrounds the patterned metal line of the second layer and the plurality of second insulating features. 如請求項17之用於積體電路的金屬互連結構,更包含: 第三介電材料,位在該一或更多介層窗之凹陷介層窗金屬填充的上方。For example, the metal interconnection structure for integrated circuits in claim 17 further includes: The third dielectric material is located above the metal filling of the recessed vias of the one or more vias. 如請求項17之用於積體電路的金屬互連結構,其中該第一介電材料及該第二介電材料之各者包含低k介電材料,且其中該複數第一絕緣特徵部及該複數第二絕緣特徵部之各者具有與該低k介電材料不同的蝕刻選擇性。For example, the metal interconnection structure for integrated circuits of claim 17, wherein each of the first dielectric material and the second dielectric material includes a low-k dielectric material, and wherein the plurality of first insulating features and Each of the plurality of second insulating features has a different etch selectivity from the low-k dielectric material. 如請求項15-19之任一項之用於積體電路的金屬互連結構,其中該一或更多介層窗包含一導電材料,其中該第一層的圖案化金屬線、該第二層的圖案化金屬線、及該導電材料之各者包含Mo、Ru、Al、或W。The metal interconnection structure for integrated circuits according to any one of claim 15-19, wherein the one or more vias comprise a conductive material, wherein the patterned metal line of the first layer, the second layer Each of the patterned metal lines of the layer and the conductive material includes Mo, Ru, Al, or W.
TW108142703A 2018-11-30 2019-11-25 Metal interconnect structure by subtractive process TWI834762B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862774046P 2018-11-30 2018-11-30
US62/774,046 2018-11-30

Publications (2)

Publication Number Publication Date
TW202036791A true TW202036791A (en) 2020-10-01
TWI834762B TWI834762B (en) 2024-03-11

Family

ID=70853020

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108142703A TWI834762B (en) 2018-11-30 2019-11-25 Metal interconnect structure by subtractive process

Country Status (4)

Country Link
KR (1) KR20210087550A (en)
CN (1) CN113169117A (en)
TW (1) TWI834762B (en)
WO (1) WO2020112388A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023077336A1 (en) * 2021-11-04 2023-05-11 Yangtze Memory Technologies Co., Ltd. Three dimensional (3d) memory device and fabrication method using self-aligned multiple patterning and airgaps

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6255735B1 (en) * 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6664011B2 (en) * 2001-12-05 2003-12-16 Taiwan Semiconductor Manufacturing Company Hole printing by packing and unpacking using alternating phase-shifting masks
US8993404B2 (en) * 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9293392B2 (en) * 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US20170040257A1 (en) * 2015-08-04 2017-02-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US9502350B1 (en) * 2016-01-28 2016-11-22 International Business Machines Corporation Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer
EP3217425B1 (en) * 2016-03-07 2021-09-15 IMEC vzw Self-aligned interconnects and corresponding method

Also Published As

Publication number Publication date
WO2020112388A1 (en) 2020-06-04
TWI834762B (en) 2024-03-11
KR20210087550A (en) 2021-07-12
CN113169117A (en) 2021-07-23

Similar Documents

Publication Publication Date Title
US7960797B2 (en) Semiconductor devices including fine pitch arrays with staggered contacts
US6910907B2 (en) Contact for use in an integrated circuit and a method of manufacture therefor
US9245792B2 (en) Method for forming interconnect structures
US20060019485A1 (en) Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them
US20190363048A1 (en) Via prefill in a fully aligned via
TWI671810B (en) Method of forming semiconductor device and semiconductor device
EP0388862B1 (en) Fabrication method of a semiconductor device having a planarized surface
CN109427656B (en) Semiconductor device and method for manufacturing the same
US7119006B2 (en) Via formation for damascene metal conductors in an integrated circuit
TWI834762B (en) Metal interconnect structure by subtractive process
CN112750773B (en) Method for producing gate and source/drain via connections for contact transistors
KR101107746B1 (en) method of forming a metal line in semiconductor device
KR100338092B1 (en) Method for manufacturing semiconductor device
KR100398584B1 (en) Method for manufacturing semiconductor device
KR100678008B1 (en) Method for fabricating metal line of semiconductor
KR100641488B1 (en) Method for manufacturing contact of the semiconductor device
KR100383084B1 (en) Plug forming method of semiconductor devices
KR100887019B1 (en) Mask having multi overlay mark
KR20040008506A (en) Method for manufacturing semiconductor device
TW202029367A (en) Methods of manufacturing semiconductor devices
KR20040058944A (en) Method of manufacturing a semiconductor device
KR20010019139A (en) Method for manufacturing intermetal dielectric layer of semiconductor devices
KR20040022627A (en) Method for forming contact hole of a semiconductor
KR20040002011A (en) Method of forming a metal wiring in a semiconductor device
KR20020009771A (en) Method of defining a wire pattern in a semiconductor device