KR20210086957A - 패턴 형성 방법 - Google Patents

패턴 형성 방법 Download PDF

Info

Publication number
KR20210086957A
KR20210086957A KR1020200180069A KR20200180069A KR20210086957A KR 20210086957 A KR20210086957 A KR 20210086957A KR 1020200180069 A KR1020200180069 A KR 1020200180069A KR 20200180069 A KR20200180069 A KR 20200180069A KR 20210086957 A KR20210086957 A KR 20210086957A
Authority
KR
South Korea
Prior art keywords
pattern
photoresist
formula
underlayer
alkyl
Prior art date
Application number
KR1020200180069A
Other languages
English (en)
Inventor
슈 쳉-바이
리우 콩
필드 카메론 제임스
임 재-봉
호우 시센
심 재-환
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
롬엔드하스전자재료코리아유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨, 롬엔드하스전자재료코리아유한회사 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20210086957A publication Critical patent/KR20210086957A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/115Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having supports or layers with means for obtaining a screen effect or for obtaining better contact in vacuum printing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)

Abstract

패턴 형성 방법은 (a) 기판 상에 하층을 형성하는 단계로서, 하층은 두께가 5 미크론 이상인, 상기 단계; (b) 하층 상에 포토레지스트 층을 형성하는 단계로서, 포토레지스트 층은 규소-함유 중합체, 광산 발생제(photoacid generator), 및 용매를 포함하는 포토레지스트 조성물로부터 형성되고, 규소-함유 중합체는 중합 단위로서 하기 화학식 I의 단량체를 포함하는, 상기 단계:
[화학식 I]
Figure pat00064

(여기서, R1은 독립적으로 H, F, OH, C1-C6 알킬, C1-C6 할로알킬, C1-C6 히드록시-할로알킬, C1-C6 알콕시, 또는 C1-C6 할로알콕시로부터 선택되고; R2는 독립적으로 H 또는 F로부터 선택되고; R3은 독립적으로 H, F, CH3, CF3, CHF2, 또는 CH2F로부터 선택되고; R4는 산 절단성(acid cleavable) 기를 포함하고; m은 0 내지 2의 정수임); (c) 포토레지스트 층을 활성화 방사선에 패턴식으로(patternwise) 노광시키는 단계; (d) 노광된 포토레지스트 층을 현상하여 포토레지스트 패턴을 형성하는 단계; 및 (f) 포토레지스트 패턴을 에칭 마스크로서 사용하여 포토레지스트 패턴의 패턴을 하층으로 전사하는 단계를 포함한다. 본 발명은 반도체 장치의 제조에 사용되는 계단(staircase) 패턴과 같은 3차원 패턴의 형성에 특히 적용가능하다.

Description

패턴 형성 방법{PATTERN FORMATION METHODS}
본 발명은 일반적으로 반도체 장치의 제조에 관한 것이다. 더욱 구체적으로, 본 발명은 반도체 장치의 제조에 사용되는 계단(staircase) 패턴과 같은 3차원 패턴의 형성에 관한 것이다.
반도체 업계에서의 점점 더 주목 받고 있는 제품 영역은 NAND 플래시 메모리이다. 이러한 장치는 그의 솔리드-스테이트(solid-state), 비휘발성 속성으로 인해 하드 디스크 드라이브와 같은 자기 저장 매체를 대체하는 매력적인 옵션이다. 상업적 실행가능성을 개선하기 위하여, 이러한 장치의 비트당 비용을 추가로 감소시키는 것이 요구될 것이다. 반도체 제조 업계는 통상적으로 장치 집적 밀도를 증가시킴으로써 비트당 비용을 감소시켜 왔다. 그러나, 현재의 리소그래픽 공정, 재료, 및 공정 도구의 한계를 고려할 때 NAND 플래시 장치 지오메트리를 감소시키는 것은 점점 더 어려워지고 있다. 이러한 한계를 해결하기 위한 노력으로, 더 큰 저장 용량을 달성하기 위한 장치 아키텍처의 변화가 개발되어 왔다. 장치 아키텍처의 그러한 개발 중 하나는 메모리 셀의 다수의 층을 사용하여, NAND 장치 응용 분야를 위한 적층된 3차원(3D) 구조를 형성한다. 3D NAND 플래시 장치는 전통적인 2D 평면형 NAND 장치보다 전형적으로 더 조밀하고, 더 빠르고, 덜 비싸다.
공지된 3D NAND 장치는 수직 채널 및 수직 게이트 아키텍처를 사용한다. "계단" 패턴 형태의 스텝형 구조를 사용하여 메모리 셀들 및 비트 라인들 또는 워드 라인들 사이에 전기적 접속을 형성한다. 3D NAND 장치를 위한 계단 패턴을 형성함에 있어서, 전형적으로 화학 증착에 의해 규소 기판 상에 교번하는 산화규소(SiO) 층과 질화규소(SiN) 층의 다층 스택을 형성한다. 통상적인 공정에서는, 심자외선(KrF, 248 nm) 노출을 위해 설계된 두꺼운(예컨대, 5 내지 12 미크론) 유기 포토레지스트 층을 SiO/SiN 스택 위에 형성한다. 계단 패턴을 형성하는 데 있어서 다수의 공정 사이클 동안 사용될 수 있으므로 두꺼운 레지스트 층이 요구된다. 통상적인 계단 형성 공정에서, 포토레지스트 층을 활성화 방사선에 패턴식으로(patternwise) 노광시키고, 형성될 계단 패턴의 계단참(바닥)에 상응하는 하부 SiO 층의 영역을 노출시키도록 현상한다. 다음으로, 포토레지스트 층을 에칭 마스크로서 사용하여, 노출된 SiO 층 및 바로 아래의 SiN 층을 순차적으로 에칭한다. 다음으로, 포토레지스트 층을 트림-에칭(trim-etch) 단계로 처리하여, 계단 패턴의 첫 번째 단에 상응하는 바로 아래의 SiO 층의 영역을 노출시킨다. 계단 패턴이 형성될 때까지, 일련의 레지스트 트림-에칭, SiO 에칭, 및 SiN 에칭 단계를 반복한다.
3D-NAND 아키텍처가 64개의 수직 층으로부터 96개의 층, 128개의 층 및 그 이상으로 이동함에 따라, 더 두꺼운 포토레지스트 층이 필요하게 되었다. 그러나, 미크론 스케일의 특징부를 인쇄하기 위한 KrF 리소그래피에서의 두꺼운 레지스트 층의 사용은 고유의 기술적 과제와 관련이 있다. 예를 들어, 허용가능한 패턴 충실도(fidelity)로 두꺼운 레지스트 층을 패턴화하는 것은, 입사 방사선이 층의 하부에 도달할 수 있도록 노광 파장에서 층을 통한 충분한 투과율을 필요로 한다. 따라서, 포토레지스트 층의 최대 사용가능 두께(및 최대 트림 수/에칭 사이클)는 노광 동안의 레지스트 층의 흡수에 의해 제한된다. 레지스트 층을 통과하는 방사선의 양은 층의 깊이를 통과할 때의 흡수 효과로 인해 효과적으로 감소된다. 층의 하부로의 노출 방사선의 충분한 투과가 가능하게 하는 범위 내로 레지스트 층 두께를 유지하는 것이 중요하다. 일단 원래의 포토레지스트 층이 소모되면 추가 포토레지스트 층이 형성되고 이미지화될 수 있지만, 다수의 포토레지스트 층을 사용하는 것은 공정 처리량 감소 및 포토마스크 정렬 오류 가능성으로 인해 바람직하지 않다.
계단 패턴 형성에서 두꺼운 포토레지스트 층을 사용하는 것과 관련된 추가의 과제는 반복 에칭 단계가 필요하기 때문에 발생할 수 있다. 레지스트 층을 다수의 에칭 단계에 노출시키는 것은 구조적 균일성에 악영향을 줄 수 있으며 레지스트 층 내의 공극 및 거친 표면의 형성을 초래할 수 있다. 그러한 문제는 가공 동안 포토레지스트 중합체 상의 산 불안정성(acid-labile) 기의 의도치 않은 절단으로 인해 발생하는 것으로 여겨진다. 이러한 효과는 불량한 패턴 충실도를 초래할 수 있으며 형성된 장치의 전기적 특성에 악영향을 줄 수 있다.
레지스트 층 두께에 영향을 미치는 또 다른 고려 사항은 에칭될 하부 층에 대한 레지스트 층의 에칭 선택성이다. 전형적으로, 계단 패턴을 형성하는 데 사용되는 두꺼운 KrF 유기 포토레지스트는 에칭 선택성이 비교적 불량하므로, SiO/SiN 스택의 주어진 수의 층을 위한 에칭 단계들을 견뎌내기 위해서는 더 큰 레지스트 두께가 필요하다. 그러므로 에칭될 하부 층에 비해 포토레지스트 층이 비교적 낮은 에칭 속도를 갖는 것이 요구될 것이다.
두꺼운 포토레지스트 층을 가공하는 데 있어서 추가의 과제는 임계 치수 균일성(critical dimension uniformity, CDU)과 관련된다. CDU는 레지스트 이미징 공정에 대한 웨이퍼 전체에 걸친 공정 윈도우의 지표이다. 상대적으로 더 우수한 CDU는 더 큰 공정 윈도우를 나타내며, 이는 CD에 악영향을 주지 않고서 노광 조건(예컨대, 노광량 및 초점)의 사양에서 더 큰 편차를 허용할 것이다.
최신 기술과 관련된 하나 이상의 문제를 해결한 반도체 장치의 제조에 유용한 패턴 형성 방법이 당업계에서 필요하다.
본 발명의 제1 양태에 따르면, 패턴 형성 방법이 제공된다. 이 방법은 (a) 기판 상에 하층을 형성하는 단계로서, 하층은 두께가 5 미크론 이상인, 상기 단계; (b) 하층 상에 포토레지스트 층을 형성하는 단계로서, 포토레지스트 층은 규소-함유 중합체, 광산 발생제(photoacid generator), 및 용매를 포함하는 포토레지스트 조성물로부터 형성되고, 규소-함유 중합체는 중합 단위로서 하기 화학식 I의 단량체를 포함하는, 상기 단계:
[화학식 I]
Figure pat00001
(여기서, R1은 독립적으로 H, F, OH, C1-C6 알킬, C1-C6 할로알킬, C1-C6 히드록시-할로알킬, C1-C6 알콕시, 또는 C1-C6 할로알콕시로부터 선택되고; R2는 독립적으로 H 또는 F로부터 선택되고; R3은 독립적으로 H, F, CH3, CF3, CHF2, 또는 CH2F로부터 선택되고; R4는 산 절단성(acid cleavable) 기를 포함하고; m은 0 내지 2의 정수임); (c) 포토레지스트 층을 활성화 방사선에 패턴식으로 노광시키는 단계; (d) 노광된 포토레지스트 층을 현상하여 포토레지스트 패턴을 형성하는 단계; 및 (f) 포토레지스트 패턴을 에칭 마스크로서 사용하여 포토레지스트 패턴의 패턴을 하층으로 전사하는 단계를 포함한다. 본 방법은 반도체 장치의 제조에, 특히, 계단 패턴의 형성에 특히 적용가능하다.
본 발명의 추가의 양태에 따르면, (a) 기판 상에 하층을 형성하는 단계는 (a1) 기판 상에 유기 하층 조성물을 코팅하는 단계; (a2) 코팅된 하층 조성물을 건조시키는 단계; 및 (a3) 추가 1회 이상 (a1) 및 (a2)를 반복하여 하층을 형성하는 단계를 포함한다.
본 발명의 추가의 양태에 따르면, 패턴 형성 방법은, 순서대로, (g) 포토레지스트 패턴의 패턴을 하층으로 전사하는 단계 후에, 하층의 패턴을 기판으로 전사하여 제1 에칭된 기판 영역을 형성하는 단계;(h) 하층을 트리밍하여 제1 에칭된 기판 영역에 인접한 기판의 표면을 노출시키는 단계; 및(i) 제1 에칭된 기판 영역을 추가로 에칭하는 동시에, 트리밍된 하층의 패턴을 기판의 노출된 표면으로 전사하는 단계를 추가로 포함한다.
본 발명의 추가의 양태에 따르면, 이 방법은 기판에 복수의 단을 포함하는 계단 패턴을 형성한다.
본원에 사용되는 용어는 단지 특정 실시 형태를 설명하기 위한 것이며, 본 발명을 제한하고자 의도되지 않는다. 단수 형태는 문맥에서 달리 나타내지 않는 한, 단수 및 복수 형태를 포함하고자 하는 것이다.
용어 "중합체"는 올리고머, 이량체, 삼량체, 사량체 등을 포함하며, 단일중합체 및 공중합체 둘 모두를 지칭한다. 공중합체는 2종, 3종, 4종 이상의 구조적으로 구별되는 반복 단위를 포함한다. 용어 "알킬"은 선형, 분지형, 및 환형 알킬을 지칭한다. 용어 "할로겐" 및 "할로"는 불소, 염소, 브롬, 및 요오드를 포함한다. 따라서, 용어 "할로겐화"는 플루오르화, 염소화, 브롬화, 및 요오드화를 지칭한다. "플루오로알킬"은 부분 플루오르화 및 완전 (퍼플루오르화) 알킬 둘 모두를 지칭한다. 용어 "산 불안정성 기", "산 절단성 기", 및 "이탈기"는 상호 교환가능하게 사용된다.
"치환된"은 하나 이상의 수소 원자가, 예를 들어, 할로겐, 히드록시, C1-C6 알킬, C1-C6 할로알킬, C1-C6 알콕시, C1-C6 할로알콕시, 또는 C5-C15 아릴로부터 선택되는 하나 이상의 치환체로 대체된 기(예컨대, 아릴 또는 알킬)를 지칭한다.
달리 표시되지 않는 한, 모든 양은 중량 퍼센트(중량%)이고 모든 비는 중량 기준이다. 모든 수치 범위는 그러한 수치 범위들의 합이 100%로 제한되는 것이 명백한 경우를 제외하고는 포괄적이며 임의의 순서로 조합될 수 있다.
본원에 사용되는 바와 같은 중량 평균 분자량(Mw), 수 평균 분자량(Mn), 및 다분산 지수(PDI = Mw/Mn)는 폴리스티렌 표준물과 비교하여 GPC에 의해 결정된다.
층, 영역 또는 기판과 같은 요소가 다른 요소 "상에" 있는 것으로 언급되는 경우, 이는 직접적으로 다른 요소 상에 있거나 개재 요소가 존재할 수 있다.
본 발명을 하기 도면을 참조하여 기술할 것이며, 여기서, 유사 참조 번호는 유사 특징부를 나타낸다.
도 1a 내지 1l은 본 발명에 따라 규소-함유 포토레지스트 층 및 하층을 사용하여 계단 패턴을 리소그래피적으로 형성하는 공정 흐름을 도시하고;
도 2는 통상적인 두꺼운 포토레지스트 층을 사용하여 형성된 트렌치(trench) 패턴의 단면을 나타내는 SEM 현미경사진이고;
도 3은 본 발명에 따라 규소-함유 포토레지스트 층 및 하층을 사용하여 형성된 트렌치 패턴의 단면을 나타내는 SEM 현미경사진이다.
본 발명에 따른 패턴 형성 방법에 대한 예시적인 공정 흐름을 도시하는 도 1a 내지 도 1l을 참조하여 본 발명의 패턴 형성 방법을 이제 설명한다. 예시된 공정 흐름은 기판 상에 계단 패턴을 형성하는 패턴화 공정을 설명하지만, 다른 패턴 유형을 형성하는 데 이 방법을 사용할 수 있음이 명백할 것이다.
도 1a는 다양한 층 및 특징부를 포함할 수 있는 기판(1)의 단면을 도시한다. 기판은 규소 반도체 또는 화합물 반도체(예를 들어, III-V 또는 II-VI)와 같은 반도체, 유리, 석영, 세라믹, 구리 등과 같은 재료의 것일 수 있다. 전형적으로, 기판은 직경이, 예를 들어, 150 mm, 200 mm, 300 mm, 또는 반도체 제조에 유용한 다른 치수인 반도체 웨이퍼, 예컨대 단결정 규소 또는 화합물 반도체 웨이퍼이며, 하나 이상의 층 및 그의 표면 상에 형성된 패턴화된 특징부를 가질 수 있다. 패턴화될 하나 이상의 층이 기판 위에 제공될 수 있다. 선택적으로, 예를 들어 기판 재료에 트렌치를 형성하는 것이 바람직할 경우, 하부 베이스 기판 재료 자체가 패턴화될 수 있다. 기판 상의 층은, 예를 들어 알루미늄, 구리, 몰리브덴, 탄탈럼, 티타늄, 텅스텐, 그러한 금속들의 합금, 질화물, 또는 규화물, 도핑된 비정질 실리콘 또는 도핑된 폴리실리콘의 층과 같은 하나 이상의 전도성 층, 산화규소, 질화규소, 산질화규소, 또는 금속 산화물의 층과 같은 하나 이상의 유전체 층, 단결정 규소와 같은 반도체 층, 및 이들의 조합을 포함할 수 있다. 층은 다양한 기술, 예를 들어 화학 기상 증착(CVD), 예컨대 플라즈마 강화 CVD(PECVD), 저압 CVD(LPCVD), 또는 원자층 증착(ALD), 물리 기상 증착(PVD), 예컨대 스퍼터링 또는 증발, 또는 전기도금에 의해 형성될 수 있다. 층의 특정 두께는 층의 재료 및 특정 기능에 따라 달라질 것이다.
예시된 방법에서, 기판(1)은 베이스 층(2) 및 베이스 층 상의 교번하는 산화규소(SiO) 층(4)과 질화규소(SiN) 층(6)의 다층 스택을 포함한다. 산화규소 층 및 질화규소 층은 화학량론적 또는 비-화학량론적 재료일 수 있으며, 전형적으로 PECVD 또는 LPCVD에 의해 형성된다.
다층 스택 위에 하층(10)이 형성된다. 하층은 중합체, 가교결합제, 및 용매를 포함하는 하층 조성물로부터 형성된다. 하층 중합체는 바람직하게는 오버코팅된 규소-함유 포토레지스트 층(12)에 대한 양호한 에칭 선택성, SiO 및 SiN 층 에칭 동안 높은 에칭-저항성, 포토레지스트 노광 파장에서의 낮은 반사도 및 높은 흡수도, 및 오버코팅된 포토레지스트 조성물에 대한 저항성(혼합되지 않거나 최소한으로 혼합됨)의 특성을 갖는 유기 중합체이다. 하층 중합체는 바람직하게는 방향족 중합체이고, 더욱 바람직하게는, 페놀계 중합체, 예를 들어, 폴리(비닐페놀)이다. 하부의 중합체 조성물은 산 발생제, 예를 들어, 열산 발생제(thermal acid generator, TAG) 화합물 및/또는 광산 발생제(PAG) 화합물, 및 바람직하게는 별도의 가교결합제 성분, 예컨대 아민계 재료, 예를 들어, 멜라민 또는 벤조구아나민 수지를 함유하는 가교결합 조성물인 것이 또한 바람직하다. 하층 조성물은 광이미지화 가능하지 않아야 하고 유리산이 없어야 한다.
하층 조성물은 스핀 코팅, 딥핑(dipping), 롤러 코팅, 또는 다른 통상적인 코팅 기술에 의해 기판에 적용될 수 있으며, 스핀-코팅이 전형적이다. 스핀 코팅의 경우, 코팅 용액의 고형물 함량은 사용되는 특정 코팅 장비, 용액의 점도, 코팅 도구의 속도, 및 회전에 허용되는 시간의 양에 기초하여 원하는 막 두께를 제공하도록 조정될 수 있다. 하층의 두께는 5 미크론 이상, 바람직하게는 10 미크론 이상, 또는 15 미크론 이상이다. 다음으로, 용매를 제거하기 위해 그리고 가교결합성 조성물의 경우, 층의 가교결합을 촉진하기 위해 하층 조성물을 열처리에 의해 경화시킨다. 열처리는 핫플레이트 상에서 또는 오븐 내에서 수행될 수 있다. 온도 및 시간은, 예를 들어, 하층의 특정 재료 및 두께에 따라 좌우될 것이다. 전형적인 열처리는 150 내지 250℃의 온도에서, 1 내지 2분의 시간 동안 수행된다. 하층 조성물은 1회 적용으로 적용될 수 있거나 또는 원하는 필름 두께에 따라 다층 코팅으로서 적용될 수 있다. 다층 코팅이 요구되는 경우, 하층 조성물의 제1 층을 기판 상에 적용하고, 이러한 코팅/건조 순서를 추가 1회 이상 반복하여 하층을 형성할 수 있다.
다음으로, 후술되는 바와 같은 규소-함유 포토레지스트 조성물을 하층(10) 위에 코팅하여 포토레지스트 층(12)을 형성한다. 포토레지스트 조성물은 스핀 코팅, 딥핑, 롤러 코팅, 또는 다른 통상적인 코팅 기술에 의해 기판에 적용될 수 있다. 이들 중, 스핀 코팅이 전형적이다. 스핀 코팅의 경우, 코팅 용액의 고형물 함량은 사용되는 특정 코팅 장비, 용액의 점도, 코팅 도구의 속도, 및 회전에 허용되는 시간의 양에 기초하여 원하는 막 두께를 제공하도록 조정될 수 있다. 포토레지스트 층(12)의 전형적인 두께는 0.1 내지 2 미크론이다. 다음으로, 포토레지스트 층(12)을 전형적으로 소프트베이킹하여 층 내의 용매 함량을 최소화함으로써, 무점착성 코팅을 형성하고 기판에 대한 층의 접착력을 개선한다. 소프트베이킹은 핫플레이트 상에서 또는 오븐 내에서 수행될 수 있으며, 핫플레이트가 전형적이다. 소프트베이킹 온도 및 시간은, 예를 들어, 포토레지스트의 특정 재료 및 두께에 따라 좌우될 것이다. 전형적인 소프트베이킹은 약 90 내지 150℃의 온도에서 약 30 내지 90초의 시간 동안 수행된다.
다음으로, 포토마스크를 통해 포토레지스트 층(12)을 활성화 방사선에 노광시켜, 노광된 영역과 노광되지 않은 영역 사이의 용해도 차이를 생성한다. 본원에서 포토레지스트 층을, 층을 활성화시키는 방사선에 노광시키는 것에 대한 언급은 방사선이 포토레지스트 조성물에서 잠상을 형성할 수 있음을 나타낸다. 포토마스크는, 레지스트 층이 활성화 방사선에 의해 노광될 영역 및 노광되지 않을 영역에 각각 상응하는 광학적으로 투명한 영역 및 광학적으로 불투명한 영역을 갖는다. 노광 파장은 바람직하게는 심자외선(248 nm) 또는 EUV 파장(예컨대, 13.5 nm)이지만, 다른 방사선원에 의한 것이 사용될 수 있다. 노광 에너지는, 예를 들어 노광 도구 및 포토레지스트 조성물의 성분에 따라, 전형적으로 약 1 내지 150 mJ/cm2이다. 포토레지스트 층(12)의 노광 후에는, 전형적으로 노광후 베이킹(post-exposure bake, PEB)이 수행된다. PEB는, 예를 들어 핫플레이트 상에서 또는 오븐 내에서 수행될 수 있다. PEB에 대한 조건은, 예를 들어 특정 포토레지스트 조성 및 층 두께에 따라 달라질 것이다. PEB는 전형적으로 약 70 내지 160℃의 온도에서 약 30 내지 90초의 시간 동안 수행된다. 이에 따라, 극성 전환 영역과 극성 비전환 영역(각각 노광된 영역과 노광되지 않은 영역에 상응함) 사이의 경계에 의해 정의되는 잠상이 형성된다.
다음으로, 포토레지스트 층(12)을 현상하여, 도 1b에 도시된 바와 같이, 레지스트 패턴(12')을 형성하는 노광되지 않은 영역을 남기고 층의 노광된 영역을 제거한다. 이는 형성될 계단 패턴의 계단참(바닥)에 상응하는 노출된 영역인 하층의 상부 표면(14)을 노출시킨다. 현상제는 전형적으로 수성 알칼리 현상제, 예를 들어 수성 4차 암모늄 히드록시드 용액, 예를 들어 테트라메틸암모늄 히드록시드(TMAH) 용액과 같은 테트라알킬 암모늄 히드록시드 용액, 전형적으로 0.26 N(2.38 중량%) TMAH이다.
다음으로, 포토레지스트 층을 에칭 마스크로서 사용하여 하층(10)을 건식-에칭하며, 생성되는 구조가 도 1c에 나타나 있다. 이어서, 최상측 SiO 층(4)으로의 패턴 전사를 위해 도 1c의 레지스트 패턴(12') 및 하층(10)을 에칭 마스크로서 사용하며, 생성되는 구조가 도 1d에 나타나 있다. 규소-함유 레지스트(12)의 원래의 두께는 하층 에칭 공정 동안 완전히 소모되도록 선택될 수 있다. 하층을 에칭한 후에 잔류 규소-함유 레지스트가 남아 있는 경우, 별도의 에칭 단계에서 제거할 수 있다. 다음으로, 산화물 에칭 후에 노출된 최상측 SiN 층(6)의 부분을, 하층(10)을 에칭 마스크로서 사용하여 건식-에칭한다(도 1e). 다음으로, 하층을 트림-에칭 단계(도 1f)로 처리하여, 형성될 계단 패턴의 첫 번째 단에 상응하는 바로 아래의 SiO 층의 새로운 표면(16)을 노출시킨다. 계단 패턴이 형성될 때까지 트림-에칭(도 1f, 1I), SiO 에칭(도 1g, 1j), 및 SiN 에칭(도 1h, 1k) 단계의 순서를 반복한다. 이어서, 도 1l에 도시된 바와 같이 에칭 공정에 의해 남아 있는 하층(10)을 제거하여 계단 패턴(18)을 제공한다.
도 1은 제한된 수의 단의 제작을 도시하지만, 기재된 공정은 임의의 수의 단을 함유하는 계단 패턴에 적용될 수 있음이 명백할 것이다. 하층(10)이 완전히 소모되는 경우에, 새로운 하층 및 규소-함유 레지스트를 형성하고 공정을 반복할 수 있다.
포토레지스트 조성물
본 발명의 방법에 유용한 포토레지스트 조성물은 규소-함유 중합체, 광산 발생제, 용매를 포함하며 추가의 선택적인 성분을 포함할 수 있다. 규소-함유 중합체는 하이브리드 유기-무기 재료이며, 단일중합체일 수 있지만, 더욱 전형적으로는 2종, 3종 또는 그 이상의 구조적으로 상이한 단량체로 형성된 공중합체이다. 중합체는 중합 단위로서 하기 화학식 I의 단량체를 포함한다:
[화학식 I]
Figure pat00002
여기서, R1은 독립적으로 H, F, OH, C1-C6 알킬, C1-C6 할로알킬, C1-C6 히드록시-할로알킬, C1-C6 알콕시, 또는 C1-C6 할로알콕시로부터 선택되고; R2는 독립적으로 H 또는 F로부터 선택되고; R3은 독립적으로 H, F, CH3, CF3, CHF2, 또는 CH2F로부터 선택되고; R4는 산 절단성(acid cleavable) 기를 포함하고; m은 0 내지 2의 정수이다. 화학식 I의 중합 단위는 적합하게는 중합체의 총 중합 단위를 기준으로 10 내지 100 몰%의 양으로 중합체에 존재할 수 있다.
화학식 I의 적합한 단량체에는, 예를 들어, 다음이 포함된다:
Figure pat00003
Figure pat00004
Figure pat00005
.
중합체는 하나 이상의 추가적인, 별개의 중합 단위를 추가로 포함할 수 있다. 하기 화학식 II의 단량체의 중합 단위가 특히 적합하다:
[화학식 II]
Figure pat00006
여기서, R8은 독립적으로 H, F, OH, C1-C6 알킬, C1-C6 할로알킬, C1-C6 히드록시-할로알킬, C1-C6 알콕시, 또는 C1-C6 할로알콕시로부터 선택되고; R9는 독립적으로 H 또는 F로부터 선택되고; R10은 독립적으로 H, F, CH3, CF3, CHF2, 또는 CH2F로부터 선택되고; p는 0 내지 2의 정수이다. 화학식 II의 중합 단위는 적합하게는 중합체의 총 중합 단위를 기준으로 0 내지 70 몰%의 양으로 중합체에 존재할 수 있다.
중합체를 위한 더욱 적합한 추가적인 단위는 하기 화학식 III의 단량체의 중합 단위이다:
[화학식 III]
(R11SiO3/2)
여기서, R11은 C1-C12 알킬, C2-C6 알케닐, 비닐(이들 각각은 치환 또는 비치환될 수 있음),
Figure pat00007
, 또는
Figure pat00008
로부터 선택되며,
각각의 R12는 독립적으로 H, F, C1-C6 알킬, C1-C6 알콕시, C1-C6 할로알킬, C1-C6 히드록시-할로알킬, C1-C6 할로알콕시로부터 선택되고; R13은 C1-C5 알킬렌이고; Z는 -S(O2)R14(여기서, R14는 C1-C6 알킬 또는 C6-C15 아릴로부터 선택됨)이고; q는 0 또는 1이고; 물결선은 화학식 III의 Si 원자에 대한 공유 결합이다. 화학식 III의 중합 단위는 전형적으로 중합체의 총 중합 단위를 기준으로 0 내지 20 몰%의 양으로 중합체에 존재한다.
규소-함유 중합체는 바람직하게는 하기 화학식 IV, V, VI, 또는 VII로부터 선택된 하나 이상의 기를 포함한다:
[화학식 IV]
Figure pat00009
[화학식 V]
Figure pat00010
[화학식 VI]
Figure pat00011
[화학식 VII]
Figure pat00012
여기서, Rf는 독립적으로 부분 또는 완전 플루오르화 C1-C20 알킬 또는 부분 또는 완전 플루오르화 C6-C20 아릴이다. 그러한 기는 중합체에, 예를 들어, 중합체 반복 단위 중 하나 이상에 존재할 수 있다.
바람직하게는 중합체의 총 중합 단위의 50 몰% 이상, 80 몰% 이상, 또는 100 몰%가 방향족 기를 함유한다. 중합체에 고함량의 방향족 기를 포함하는 것은 하층에 대한 에칭 선택성을 개선하는 데 기여하는 것으로 여겨진다.
포토레지스트 층을 위해 특히 바람직한 중합체에는, 예를 들어, 다음이 포함된다:
Figure pat00013
규소-함유 중합체는 전형적으로 분자량이 500 내지 200,000 달톤, 바람직하게는 1000 내지 100,000 달톤이다. 중합체는 숙련자에 의해 용이하게 제조될 수 있다. 예를 들어, 미국 특허 출원 공개 제2003/0099899 A1호를 참조한다.
중합체들의 블렌드가 사용될 수 있음이 당업자에게 이해될 것이다. 예를 들어, 하나 초과의 규소-함유 중합체가 포토레지스트 조성물에 사용될 수 있다. 추가적인 규소-함유 중합체는, 예를 들어, 통상적인 규소-함유 중합체 또는 전술된 바와 같은 추가적인 중합체일 수 있다. 중합체 블렌드는 기재된 규소-함유 중합체와 비-규소-함유 중합체의 블렌드를 포함할 수 있다. 이러한 블렌드에서는, 모든 중합체 비가 적합하다. 구체적인 비는 조합되는 특정 중합체 및 원하는 특성(용해 속도, 에칭 저항성, 포토스피드 등)에 따라 좌우될 것이며 당업자의 능력 범위 내에 있다.
포토레지스트 조성물은 하나 이상의 광산 발생제(PAG) 화합물을 포함한다. 적합한 PAG는 화학 증폭형 포토레지스트 분야에 공지되어 있으며, 예를 들어, 비이온성 옥심 및 다양한 오늄 양이온 염을 포함한다. 오늄 양이온은 치환 또는 비치환될 수 있으며, 예를 들어 암모늄, 포스포늄, 아르소늄, 스티보늄, 비스무토늄, 옥소늄, 술포늄, 셀레노늄, 텔루로늄, 플루오로늄, 클로로늄, 브로모늄, 요오도늄, 아미노디아조늄, 히드로시아노늄, 디아제늄(RN=N+R2), 이미늄(R2C=N+R2), 2개의 이중 결합된 치환체를 갖는 4차 암모늄(R=N+=R), 니트로늄(NO2 +), 비스(트리아릴포스핀)이미늄((Ar3P)2N+), 1개의 삼중 결합된 치환체를 갖는 3차 암모늄(R≡NH+), 니트릴륨(RC≡NR+), 디아조늄(N≡N+R), 2개의 부분 이중 결합된 치환체를 갖는 3차 암모늄(R
Figure pat00014
N+H
Figure pat00015
R), 피리디늄, 1개의 삼중 결합된 치환체와 1개의 단일 결합된 치환체를 갖는 4차 암모늄(R≡N+R), 1개의 삼중 결합된 치환체를 갖는 3차 옥소늄(R≡O+), 니트로소늄(N≡O+), 2개의 부분 이중 결합된 치환체를 갖는 3차 옥소늄(R
Figure pat00016
O+
Figure pat00017
R), 피릴륨(C5H5O+), 1개의 삼중 결합된 치환체를 갖는 3차 술포늄(R≡S+), 2개의 부분 이중 결합된 치환체를 갖는 3차 술포늄(R
Figure pat00018
S+
Figure pat00019
R), 및 티오니트로소늄(N≡S+)을 포함한다. 일 실시 형태에서, 오늄 이온은 치환 또는 비치환된 디아릴요오도늄, 또는 치환 또는 비치환된 트리아릴술포늄으로부터 선택된다. 적합한 오늄 염의 예는 미국 특허 제4,442,197호, 제4,603,101호, 및 제4,624,912호에서 확인할 수 있다.
적합한 광산 발생제는 화학 증폭형 포토레지스트 분야에 알려져 있으며, 예를 들어 다음을 포함한다: 오늄염, 예를 들어, 트리페닐술포늄 트리플루오로메탄술포네이트, (p-tert-부톡시페닐)디페닐술포늄 트리플루오로메탄술포네이트, 트리스(p-tert-부톡시페닐)술포늄 트리플루오로메탄술포네이트, 트리페닐술포늄 p-톨루엔술포네이트; 니트로벤질 유도체, 예를 들어, 2-니트로벤질-p-톨루엔술포네이트, 2,6-디니트로벤질-p-톨루엔술포네이트, 및 2,4-디니트로벤질-p-톨루엔술포네이트; 술폰산 에스테르, 예를 들어, 1,2,3-트리스(메탄술포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄술포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔술포닐옥시)벤젠; 디아조메탄 유도체, 예를 들어, 비스(벤젠술포닐)디아조메탄, 비스(p-톨루엔술포닐)디아조메탄; 글리옥심 유도체, 예를 들어, 비스-O-(p-톨루엔술포닐)-α-디메틸글리옥심, 및 비스-O-(n-부탄술포닐)-α-디메틸글리옥심; N-히드록시이미드 화합물의 술폰산 에스테르 유도체, 예를 들어, N-히드록시숙신이미드 메탄술폰산 에스테르, N-히드록시숙신이미드 트리플루오로메탄술폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들어, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진.
광산 발생제는 화학식 G+A-를 가질 수 있으며, 여기서, A-는 유기 음이온이고 G+는 하기 화학식 A를 갖는다:
[화학식 A]
Figure pat00020
화학식 A에서, X는 S 또는 I일 수 있고, 각각의 Rz는 할로겐화되거나 할로겐화되지 않을 수 있으며, 독립적으로 C1-30 알킬 기; 다환식 또는 단환식 C3-30 시클로알킬 기; 다환식 또는 단환식 C4-30 아릴 기이고, X가 S인 경우, Rz 기들 중 하나는 선택적으로 단일 결합에 의해 하나의 인접한 Rz 기에 부착되고, z는 2 또는 3이고, X가 I인 경우, z는 2이거나, 또는 X가 S인 경우, z는 3이다.
예를 들어, 양이온 G+는 하기 화학식 B, C, 또는 D를 가질 수 있다:
[화학식 B]
Figure pat00021
[화학식 C]
Figure pat00022
[화학식 D]
Figure pat00023
여기서, X는 I 또는 S이고, Rh, Ri, Rj, 및 Rk는 비치환 또는 치환되며 각각 독립적으로 히드록시, 니트릴, 할로겐, C1-30 알킬, C1-30 플루오로알킬, C3-30 시클로알킬, C1-30 플루오로시클로알킬, C1-30 알콕시, C3-30 알콕시카르보닐알킬, C3-30 알콕시카르보닐알콕시, C3-30 시클로알콕시, C5-30 시클로알콕시카르보닐알킬, C5-30 시클로알콕시카르보닐알콕시, C1-30 플루오로알콕시, C3-30 플루오로알콕시카르보닐알킬, C3-30 플루오로알콕시카르보닐알콕시, C3-30 플루오로시클로알콕시, C5-30 플루오로시클로알콕시카르보닐알킬, C5-30 플루오로시클로알콕시카르보닐알콕시, C6-30 아릴, C6-30 플루오로아릴, C6-30 아릴옥시, 또는 C6-30 플루오로아릴옥시이고, 이들 각각은 비치환 또는 치환되고; Ar1 및 Ar2는 독립적으로 C10-30 융합 또는 단일 결합된 다환식 아릴 기이고; Rl은 X가 I인 경우 고립 전자쌍이거나, X가 S인 경우 C6-20 아릴 기이고; p는 2 또는 3의 정수이고, X가 I인 경우, p는 2이고, X가 S인 경우, p는 3이고, q 및 r은 각각 독립적으로 0 내지 5의 정수이고, t 및 s는 각각 독립적으로 0 내지 4의 정수이다.
일 실시 형태에서, PAG는 하기 화학식 6으로 표시되는 술포늄 염이다:
[화학식 6]
Figure pat00024
여기서, Rt는 치환 또는 비치환된 C2-20 알케닐, 치환 또는 비치환된 C3-20 시클로알킬, 치환 또는 비치환된 C5-30 아릴, 또는 치환 또는 비치환된 C4-30 헤테로아릴일 수 있다. 다른 실시 형태에서, Rt는 치환 또는 비치환된 C5-30 아릴, 또는 치환 또는 비치환된 C4-30 헤테로아릴일 수 있다. 예를 들어, Rt는 치환된 페닐 기일 수 있다. 일 실시 형태에서, Rt는 하나 이상의 C1-30 알킬 또는 C3-8 시클로알킬, 예를 들어, C1-5 알킬 또는 C3-6 시클로알킬로 치환된 페닐 기일 수 있다. 일 실시 형태에서, Rt는 7.0 미만의 pH에서 가수분해될 수 있는 산-민감성 작용기, 예를 들어, 3차 에스테르, 3차 에테르, 또는 3차 카르보네이트 기를 선택적으로 포함할 수 있다.
화학식 6에서, 각각의 경우의 Rs는 동일하거나 상이할 수 있으며, 각각 독립적으로 수소, 할로겐, 직쇄 또는 분지형 C1-20 알킬, 직쇄 또는 분지형 C1-20 플루오로알킬, 직쇄 또는 분지형 C2-20 알케닐, 직쇄 또는 분지형 C2-20 플루오로알케닐, 단환식 또는 다환식 C3-20 시클로알킬, 단환식 또는 다환식 C3-20 플루오로시클로알킬, 단환식 또는 다환식 C3-20 시클로알케닐, 단환식 또는 다환식 C3-20 플루오로시클로알케닐, 단환식 또는 다환식 C3-20 헤테로시클로알킬, 단환식 또는 다환식 C3-20 헤테로시클로알케닐, 단환식 또는 다환식 C6-20 아릴, 단환식 또는 다환식 C6-20 플루오로아릴, 단환식 또는 다환식 C4-20 헤테로아릴, 또는 단환식 또는 다환식 C4-20 플루오로헤테로아릴일 수 있고, 수소를 제외한 이들 각각은 치환 또는 비치환될 수 있다. 일 실시 형태에서, 각각의 Rs는 수소일 수 있다.
Rs 기들 중 임의의 2개는 선택적으로 Z'를 통해 연결되어 고리를 형성할 수 있으며, Z'는 단일 결합일 수 있거나 -C(=O)-, -S(=O)-, -S(=O)2-, -C(=O)O-, -C(=O)NR'-, -C(=O)-C(=O)-, -O-, -CH(OH)-, -CH2-, -S-, 및 -BR'-로부터 선택된 적어도 하나의 연결기일 수 있고, 여기서, R'은 수소 또는 C1-20 알킬 기일 수 있다.
각각의 Rs는, 다른 Rs 기와는 독립적으로, -OY, -NO2, -CF3, -C(=O)-C(=O)-Y, -CH2OY, -CH2Y, -SY, -B(Y)n, -C(=O)NRY, -NRC(=O)Y, -(C=O)OY, 및 -O(C=O)Y로부터 선택된 적어도 하나로 선택적으로 치환될 수 있으며, 여기서, Y는 직쇄 또는 분지형 C1-20 알킬, 직쇄 또는 분지형 C1-20 플루오로알킬, 직쇄 또는 분지형 C2-20 알케닐, 직쇄 또는 분지형 C2-20 플루오로알케닐, 직쇄 또는 분지형 C2-20 알키닐, 직쇄 또는 분지형 C2-20 플루오로알키닐, C6-20 아릴, C6-20 플루오로아릴, 또는 7.0 미만의 pH에서 가수분해될 수 있는 산-민감성 작용기, 예컨대 3차 에스테르, 3차 에테르, 또는 3차 카르보네이트 기이다.
화학식 6에서, X는 O, S, Se, Te, NR", S=O, S(=O)2, C=O, (C=O)O, O(C=O), (C=O)NR", 또는 NR"(C=O)와 같은 2가 연결기일 수 있으며, 여기서, R"은 수소 또는 C1-20 알킬일 수 있다. n은 0, 1, 2, 3, 4, 및 5의 정수일 수 있다. 일 실시 형태에서, X는 O일 수 있다.
화학식 6에서, RfSO3 -은 플루오르화 술포네이트 음이온이고, Rf는 플루오르화 기이다. 일 실시 형태에서, Rf는 -C(R20)y(R21)z일 수 있으며, 여기서, R20은 독립적으로 F 및 플루오르화 메틸로부터 선택될 수 있고, R21은 독립적으로 수소, C1-5 선형 또는 분지형 또는 시클로알킬 및 C1-5 선형 또는 분지형 또는 환형 플루오르화 알킬로부터 선택될 수 있고, y 및 z는 독립적으로 0 내지 3의 정수일 수 있되, 단, y와 z의 합은 3이고, R20과 R21 중 적어도 하나는 불소를 함유하고, Rf의 총 탄소 원자수는 1 내지 6일 수 있다. 화학식 -C(R20)y(R21)z에서, R20과 R21은 모두 C에 부착되어 있다. 바람직하게는, SO3 - 기에 대해 알파 위치의 탄소 원자에 결합된 적어도 하나의 불소 원자 또는 플루오르화 기가 존재한다. 일 실시 형태에서, y는 2일 수 있고, z는 1일 수 있다. 이들 실시 형태에서, 각각의 R20은 F일 수 있거나, 하나의 R20는 F일 수 있고 다른 R20은 플루오르화 메틸일 수 있다. 플루오르화 메틸은 모노플루오로메틸(-CH2F), 디플루오로메틸(-CHF2), 및 트리플루오로메틸(-CF3)일 수 있다. 다른 실시 형태에서, R21은 독립적으로 C1-5 선형 또는 분지형 플루오르화 알킬로부터 선택될 수 있다. 플루오르화 알킬은 부분 플루오르화 또는 퍼플루오르화 알킬일 수 있다.
하나 이상의 PAG는 전형적으로, 총 고형물을 기준으로 0.1 내지 10 중량%, 바람직하게는 0.1 내지 5 중량%의 양으로 포토레지스트 조성물에 존재한다.
포토레지스트 조성물은 용매를 추가로 포함하는데, 이는 단일 용매를 포함할 수 있거나 상이한 용매들의 혼합물을 포함할 수 있다. 용매는 지방족 탄화수소(예컨대, 헥산, 헵탄 등), 방향족 탄화수소(예컨대, 톨루엔, 자일렌 등), 할로겐화 탄화수소(예컨대, 디클로로메탄, 1,2-디클로로에탄, 1-클로로헥산 등), 알코올(예컨대, 메탄올, 에탄올, 1-프로판올, 이소-프로판올, tert-부탄올, 2-메틸-2-부탄올, 4-메틸-2-펜탄올 등), 물, 에테르(예컨대, 디에틸 에테르, 테트라히드로푸란, 1,4-디옥산, 아니솔 등), 케톤(예컨대, 아세톤, 메틸 에틸 케톤, 메틸 이소-부틸 케톤, 2-헵타논, 시클로헥사논 등), 에스테르(예컨대, 에틸 아세테이트, n-부틸 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 에틸 락테이트, 히드록시이소부티레이트 메틸 에스테르(HBM), 에틸 아세토아세테이트 등), 락톤(예컨대, 감마-부티로락톤(GBL), 엡실론-카프로락톤 등), 니트릴(예컨대, 아세토니트릴, 프로피오니트릴 등), 극성 비양성자성 용매(예컨대, 디메틸 술폭시드, 디메틸 포름아미드 등), 또는 이들의 조합일 수 있다. 용매는 포토레지스트 조성물의 총 중량을 기준으로 40 내지 99 중량%, 바람직하게는 40 내지 70 중량%의 양으로 포토레지스트 조성물에 존재할 수 있다.
포토레지스트 조성물은 하나 이상의 선택적인 첨가제를 추가로 포함할 수 있다. 예를 들어, 선택적인 첨가제는 화학선 염료 및 조영 염료, 줄무늬 방지제(anti-striation agent), 가소제, 속도 향상제, 증감제, 광-파괴성(photo-destroyable) 염기, 염기성 켄처(basic quencher), 계면활성제 등, 또는 이들의 조합을 포함할 수 있다. 선택적인 첨가제는, 존재하는 경우, 총 고형물을 기준으로 전형적으로 0.01 내지 10 중량%의 양으로 포토레지스트 조성물에 존재한다.
예시적인 광-파괴성 염기는, 예를 들어 C1-20 카르복실산과 같은 약산(pKa > 2)의 음이온과 짝을 이루는 광-파괴성 양이온, 바람직하게는 산 발생제 화합물을 제조하는 데에 또한 유용한 것들을 포함한다. 예시적인 카르복실산은 포름산, 아세트산, 프로피온산, 타르타르산, 숙신산, 시클로헥실카르복실산, 벤조산, 살리실산 등을 포함한다.
예시적인 염기성 켄처는, 예를 들어, 다음을 포함한다: 선형 지방족 아민, 예컨대 트리이소프로판올아민, n-tert-부틸디에탄올아민, 트리스(2-아세톡시-에틸) 아민, 2,2',2",2'''-(에탄-1,2-디일비스(아자네트리일))테트라에탄올, 2-(디부틸아미노)에탄올, 및 2,2',2"-니트릴로트리에탄올; 환형 지방족 아민, 예컨대 1-(tert-부톡시카르보닐)-4-히드록시피페리딘, tert-부틸 1-피롤리딘카르복실레이트, tert-부틸 2-에틸-1H-이미다졸-1-카르복실레이트, 디-tert-부틸 피페라진-1,4-디카르복실레이트, 및 N-(2-아세톡시-에틸)모르폴린; 방향족 아민, 예컨대 피리딘, 디-tert-부틸 피리딘, 및 피리디늄; 선형 및 환형 아미드 및 이들의 유도체, 예컨대 N,N-비스(2-히드록시에틸)피발아미드, N,N-디에틸아세트아미드, N1,N1,N3,N3-테트라부틸말론아미드, 1-메틸아제판-2-온, 1-알릴아제판-2-온, 및 tert-부틸 1,3-디히드록시-2-(히드록시메틸)프로판-2-일카르바메이트; 암모늄 염, 예컨대 술포네이트, 술파메이트, 카르복실레이트, 및 포스포네이트의 4차 암모늄 염; 이민, 예컨대 1차 및 2차 알디민 및 케티민; 디아진, 예컨대 선택적으로 치환된 피라진, 피페라진, 및 페나진; 디아졸, 예컨대 선택적으로 치환된 피라졸, 티아디아졸, 및 이미다졸; 및 선택적으로 치환된 피롤리돈, 예컨대 2-피롤리돈 및 시클로헥실 피롤리돈.
예시적인 계면활성제는 플루오르화 계면활성제 및 비플루오르화 계면활성제를 포함하며, 이온성 또는 비이온성일 수 있지만, 비이온성 계면활성제가 바람직하다. 예시적인 플루오르화 비이온성 계면활성제는 퍼플루오로 C4 계면활성제, 예컨대 3M Corporation으로부터 입수 가능한 FC-4430 및 FC-4432 계면활성제; 및 플루오로디올, 예컨대 Omnova로부터의 POLYFOX PF-636, PF-6320, PF-656, 및 PF-6520 플루오로계면활성제를 포함한다. 일 실시 형태에서, 포토레지스트 조성물은 불소-함유 반복 단위를 포함하는 계면활성제 중합체를 추가로 포함한다.
본 발명의 광이미지화 가능한 조성물은 당업자에 의해 용이하게 제조될 수 있다. 예를 들어, 본 발명의 포토레지스트 조성물은 포토레지스트의 성분들, 즉, 중합체 결합제 및 광활성 성분을 적합한 용매에 용해시킴으로써 제조될 수 있다. 그러한 적합한 용매에는 다음이 포함되지만 이로 한정되지 않는다: 에틸 락테이트, 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노메틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 3-에톡시에틸 프로피오네이트, 2-헵타논, γ-부티로락톤, 및 이들의 혼합물.
전형적으로, 포토레지스트 조성물의 고형물 함량은 조성물의 총 중량을 기준으로 약 5 내지 약 35 중량%로 다양하다. 중합체 및 광활성 성분은 필름 코팅 층을 제공하고 양호한 품질의 잠상 및 릴리프 이미지(relief image)를 형성하기에 충분한 양으로 존재하여야 한다.
다음의 비제한적 실시예는 본 발명을 예시하는 것이다.
실시예
중합체-1, 중합체-2 중합체-3, 중합체-4
Figure pat00025
Figure pat00026
중합체-5 중합체-6 (Sigma-Aldrich)
[Mw=11425, Mn=10482, PDI=1.1]
Figure pat00027
Figure pat00028
중합체-7 (Sigma-Aldrich) 중합체-8
[Mw=4187, Mn=3047, PDI=1.4] [Mw=17056, Mn=10032, PDI=1.7]
Figure pat00029
Figure pat00030
중합체-9
[Mw=8532, Mn=5688, PDI=1.5]
Figure pat00031
PAG-1 PAG-2
Figure pat00032
Figure pat00033
PAG-3 PAG-4
Figure pat00034
Figure pat00035
PAG-5
Figure pat00036
켄처-1 켄처-2 켄처-3
Figure pat00037
Figure pat00038
Figure pat00039
포토레지스트 중합체 합성
실시예 1
건조된 3 L 플라스크(반응기)에서 질소 분위기 하에 1000 mL의 건조 아세톤에 폴리(4-히드록시벤질 실세스퀴옥산)(254.7 g)을 용해시켰다. 메탄술포닐 클로라이드(23.8 g)를 첨가하고 반응기를 15℃로 냉각시켰다. 증류된 트리에틸아민(21.9 g)과 아세톤(22 g)의 용액을 20 내지 30분에 걸쳐 서서히 적가하여, 30℃ 미만의 반응 온도를 유지하였다. 3시간 동안 교반을 계속하였고, 이때 용액을 2시간에 걸쳐 32 L의 물에 첨가하여, 중합체를 침전시켰다. 이어서, 흡입 여과에 의해 중합체를 수집하고, 실온에서 18시간 동안 교반하면서 8 L의 물 중에 현탁시켰다. 이어서, 흡입 여과에 의해 고형물을 수집하고, 유출물의 pH가 중성으로 될 때까지 물로 세척하고, 48시간 동안 공기-건조시키고, 이어서 70℃에서 24시간 동안 진공에서 건조시켜, 91 몰% 히드록시벤질실세스퀴옥산/9 몰% 메실화 벤질실세스퀴옥산의 조성을 갖는 회백색(off-white) 중합체를 수득하였다.
수득한 메실화 중합체(163.1 g)를 건조된 2 L 플라스크(반응기)에서 질소 분위기 하에 750 mL의 건조 아세톤에 용해시켰다. 디-t-부틸 디카르보네이트(65.5 g)를 300 mL의 아세톤에 용해시키고 반응기에 첨가한 후에, 2 mL의 아세톤에 용해된 N,N-디메틸아미노피리딘(DMAP, 0.25 g)을 첨가하였고, 생성된 옅은 주황색 용액을 25℃에서 25시간 동안 교반하였다. 중합체의 아세톤 용액을 2시간에 걸쳐 24 L의 물에 첨가하여, 중합체를 침전시켰다. 이어서, 흡입 여과에 의해 중합체를 수집하고, 물로 세척하고, 진공에서 20℃에서 일정한 중량이 될 때까지(약 72시간) 건조시켜 회백색 중합체(중합체-1)를 수득하였다[(x/y/z=66/9/25), Mw=8559, Mn=5038, PDI=1.7].
실시예 2
실시예 1에 기재된 것과 유사한 절차를 사용하여 중합체-2를 제조하였다[(x/y/z=56/9/35), Mw=7637, Mn=4898, PDI=1.6].
실시예 3 및 4
실시예 1에 기재된 것과 유사한 절차를 사용하여 중합체-3 및 중합체-4를 제조하였다: 중합체-3 [(x/z=65/35), Mw=7850, Mn=4925, PDI=1.6]; 중합체-4 [(x/z=55/45), Mw=8001, Mn=4922, PDI=1.6].
실시예 5
폴리(4-히드록시벤질 실세스퀴옥산)(61.2 g)을 750 mL의 1-메톡시-2-프로필 아세테이트(PMA)에 용해시켰다. 용액을 진공 하에서 증류시키고, 잔류하는 물을 0.07 중량% 미만으로 감소시키고, 농도를 PMA 중 30.61 중량% 중합체로 증가시켰다(물질 수지에 의해 계산 시). 이어서, 이러한 증류에 의해 건조된 중합체 용액을 질소 하에서 250 mL 반응 플라스크로 옮겼다. 1 mL의 PMA 중 트리플루오로아세트산(150 mg)을 첨가한 후에, 증류된 에틸 비닐 에테르(15.32 g)를 첨가하고, 생성된 용액을 주위 온도에서 24시간 동안 교반하였다. AmberLite™ IRA-67 이온 교환 수지(25 g, DuPont Water Solutions)를 순차적으로 물, 아세톤, 및 PMA로 세척하고, 이어서 반응 플라스크에 첨가하여 산성 촉매를 중화시켰다. 현탁액을 2시간 동안 교반한 후에, 여과에 의해 이온 교환 수지를 제거하여 중합체-5를 수득하였다[(x/z=67/33), Mw=7425, Mn=4709, PDI=1.6].
Si-함유 포토레지스트 조성물 제조
실시예 6 내지 11
표 1에 나타나 있는 양으로 성분들을 조합 및 혼합하여 규소-함유 포토레지스트 조성물을 제조하였다. 이어서, 혼합물을 0.2 미크론 PTFE 주사기 필터로 여과하여, 규소-함유 포토레지스트 조성물 SiPR-1 내지 SiPR-6을 얻었다.
[표 1]
Figure pat00040
비교용 (두꺼운 KrF) 포토레지스트 조성물 제조
실시예 12 (비교예)
15.787 g의 중합체-8, 3.947 g의 중합체-9, 0.010 g의 SLA-1, 및 0.007 g의 켄처-3을 24.000 g의 용매-1에 용해시켰다. 이 혼합물에, 4.500 g의 용매-3에 용해시킨 0.200 g의 PAG-3 및 0.050 g의 PAG-5를 첨가하였다. 생성된 혼합물에 1.500 g의 용매-4를 첨가하였다. 최종 혼합물을 12시간 동안 롤러 상에 롤링하고, 이어서 1 미크론의 공극 크기를 갖는 테플론(Teflon) 필터를 통해 여과하여 포토레지스트 TPR-1을 수득하였다.
하층 조성물 제조
실시예 13
26.587 g의 중합체-6, 11.349 g의 중합체-7, 및 0.019 g의 SLA-1을 55.845 g의 용매-1과 6.200 g의 용매-2의 혼합물에 용해시켰다. 혼합물을 12시간 동안 롤러 상에 롤링하고, 이어서 1 미크론의 공극 크기를 갖는 테플론 필터를 통해 여과하여 하층 조성물 UL-1을 수득하였다.
리소그래픽 평가
실시예 14 내지 21
TEL MARK-8(Tokyo Electron) 코팅 트랙을 사용하여 200 mm 규소 웨이퍼에 UL-1 하층 조성물을 스핀 코팅하였다. 웨이퍼를 275℃에서 90초 동안 베이킹하여 두께가 5 내지 12 미크론인 필름을 수득하였다. 웨이퍼를 각각의 규소-함유 포토레지스트 조성물로 코팅하고 90℃에서 90초 동안 베이킹하여 두께가 0.5 미크론인 규소 포토레지스트 층을 제공하였다. 5 미크론의 분리된 트렌치 패턴을 갖는 바이너리 마스크와 함께, ASML300 스테퍼(stepper) 및 0.52의 개구수를 사용하여, 포토레지스트-코팅된 웨이퍼를 KrF 방사선(248 nm)에 노광시켰다. 노광된 웨이퍼를 90℃에서 90초 동안 노광후 베이킹하고 CD-26 0.26 N 테트라메틸암모늄 히드록시드 용액(DuPont Electronics & Imaging)으로 45초 동안 현상하여 레지스트 패턴을 형성하였다. Hitachi 9300 CD-SEM를 사용하여 평면도 주사 전자 현미경 (SEM) 이미지를 캡처하였다. 웨이퍼 상에 5 미크론의 분리된 트렌치 패턴을 인쇄하기 위한 노광량으로서 E크기를 결정하였다. 웨이퍼들 중 하나에 대해 CD 측정을 행하고, 웨이퍼 상의 33개 위치에서 취한 CD의 표준 편차로서 CD 균일성(CDU)을 계산하였다. 결과가 표 2에 나타나 있다.
실시예 22 (비교예)
200 mm 규소 웨이퍼를 180℃에서 60초 동안 HMDS로 프라이밍하고, 이어서 TEL MARK-8(Tokyo Electron) 코팅 트랙을 사용하여 실시예 12의 KrF 포토레지스트(TPR-1)로 스핀 코팅하였다. 웨이퍼를 150℃에서 70초 동안 베이킹하여 두께가 13 미크론인 포토레지스트 층을 제공하였다. 5 미크론의 분리된 트렌치 패턴을 갖는 바이너리 마스크와 함께, ASML300 스테퍼(stepper) 및 0.52의 개구수를 사용하여, 포토레지스트-코팅된 웨이퍼를 KrF 방사선(248 nm)에 노광시켰다. 노광된 웨이퍼를 110℃에서 50초 동안 노광후 베이킹하고 CD-26 0.26 N 테트라메틸암모늄 히드록시드 용액(DuPont Electronics & Imaging)으로 45초 동안 현상하여 레지스트 패턴을 형성하였다. 평면도 주사 전자 현미경 (SEM) 이미지를 캡처하였고 전술한 바와 같이 E크기 및 CDU를 결정하였다. 결과가 표 2에 나타나 있다. AMRAY-3304 SEM을 사용하여 단면 SEM 이미지를 캡처하였으며, 각각의 이미지가 도 2에 나타나 있다.
[표 2]
Figure pat00041
E크기 결과는 실시예 14 내지 21의 규소-함유 포토레지스트가 실시예 22의 비교용 포토레지스트보다 현저히 더 빠른 포토스피드(더 낮은 E크기 값)를 제공하였음을 나타낸다. 또한, 실시예 21의 규소-함유 포토레지스트는, 실시예 22의 비교용 포토레지스트와 비교할 때, CDU를 현저히 개선한다.
패턴 전사 평가
실시예 23
실시예 21에서 형성된 규소-함유 레지스트 패턴을 갖는 하층-코팅된 규소 웨이퍼를 Plasma-Therm 790 에칭 시스템의 에칭 챔버 내에 로딩하였다. 하기 조건 하에서 웨이퍼에 대해 O2 플라즈마 에칭을 수행하였다: 압력 = 15 mTorr; 출력 = 180 W; O2 가스 유동 = 90 sccm; 및 에칭 시간 = 80분. AMRAY-3304 SEM을 사용하여 에칭후 트렌치 패턴의 단면 SEM 이미지를 캡처하였으며, 각각의 이미지가 도 3에 나타나 있다.
도 2와 도 3의 SEM 이미지를 비교하면, 실시예 22의 광이미지화된 두꺼운 포토레지스트 층 패턴(도 2)보다 실시예 23에서 형성된 규소-함유 포토레지스트/유기 하층 구조(도 3)에 대해 훨씬 더 직선적인 수직 프로파일이 생성되었음을 알 수 있다.

Claims (11)

  1. (a) 기판 상에 하층을 형성하는 단계로서, 상기 하층은 두께가 5 미크론 이상인, 상기 단계;
    (b) 상기 하층 상에 포토레지스트 층을 형성하는 단계로서, 상기 포토레지스트 층은 규소-함유 중합체, 광산 발생제(photoacid generator), 및 용매를 포함하는 포토레지스트 조성물로부터 형성되고, 상기 규소-함유 중합체는 중합 단위로서 하기 화학식 I의 단량체를 포함하는, 상기 단계:
    [화학식 I]
    Figure pat00042

    (여기서, R1은 독립적으로 H, F, OH, C1-C6 알킬, C1-C6 할로알킬, C1-C6 히드록시-할로알킬, C1-C6 알콕시, 또는 C1-C6 할로알콕시로부터 선택되고; R2는 독립적으로 H 또는 F로부터 선택되고; R3은 독립적으로 H, F, CH3, CF3, CHF2, 또는 CH2F로부터 선택되고; R4는 산 절단성(acid cleavable) 기를 포함하고; m은 0 내지 2의 정수임);
    (c) 상기 포토레지스트 층을 활성화 방사선에 패턴식으로(patternwise) 노광시키는 단계;
    (d) 상기 노광된 포토레지스트 층을 현상하여 포토레지스트 패턴을 형성하는 단계; 및
    (f) 상기 포토레지스트 패턴을 에칭 마스크로서 사용하여 상기 포토레지스트 패턴의 패턴을 상기 하층으로 전사하는 단계
    를 포함하는, 패턴 형성 방법.
  2. 제1항에 있어서, R4는 독립적으로 -C(O)OC(R5)3 또는 -C(R6)2OR7로부터 선택되며, 여기서, R5는 독립적으로 H, C1-C20 알킬, C3-C20 헤테로알킬, C6-C20 아릴, C4-C20 헤테로아릴, 또는 C7-C20 아릴옥시알킬로부터 선택되며, 수소를 제외한 이들 각각은 치환 또는 비치환되고, 2개 이상의 R5가 함께 선택적으로 고리를 형성하고; R6은 독립적으로 H, C1-C12 알킬, C3-C12 헤테로알킬, C6-C14 아릴, 또는 C4-C14 헤테로아릴로부터 선택되며, 수소를 제외한 이들 각각은 치환 또는 비치환되고, 2개의 R6이 함께 선택적으로 고리를 형성하고; R7은 H, C1-C20 알킬, C3-C20 헤테로알킬, C6-C20 아릴, C4-C20 헤테로아릴, 또는 C7-C20 아릴옥시알킬로부터 선택되며, 수소를 제외한 이들 각각은 치환 또는 비치환되는, 패턴 형성 방법.
  3. 제1항 또는 제2항에 있어서, 상기 규소-함유 중합체는 중합 단위로서 하기 화학식 II의 하나 이상의 단량체를 추가로 포함하는, 패턴 형성 방법:
    [화학식 II]
    Figure pat00043

    (여기서, R8은 독립적으로 H, F, OH, C1-C6 알킬, C1-C6 할로알킬, C1-C6 히드록시-할로알킬, C1-C6 알콕시, 또는 C1-C6 할로알콕시로부터 선택되고; R9는 독립적으로 H 또는 F로부터 선택되고; R10은 독립적으로 H, F, CH3, CF3, CHF2, 또는 CH2F로부터 선택되고; p는 0 내지 2의 정수임).
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 규소-함유 중합체는 중합 단위로서 하기 화학식 III의 단량체를 추가로 포함하는, 패턴 형성 방법:
    [화학식 III]
    (R11SiO3/2)
    (여기서, R11은 C1-C12 알킬, C2-C6 알케닐, 비닐(이들 각각은 치환 또는 비치환될 수 있음),
    Figure pat00044
    , 또는
    Figure pat00045
    로부터 선택되며,
    각각의 R12는 독립적으로 H, F, C1-C6 알킬, C1-C6 알콕시, C1-C6 할로알킬, C1-C6 히드록시-할로알킬, C1-C6 할로알콕시로부터 선택되고; R13은 C1-C5 알킬렌이고; Z는 -S(O2)R14(여기서, R14는 C1-C6 알킬 또는 C6-C15 아릴로부터 선택됨)이고; q는 0 또는 1이고; 물결선은 화학식 III의 Si 원자에 대한 공유 결합임).
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 규소-함유 중합체는 하기 화학식 IV, V, VI, 또는 VII로부터 선택되는 하나 이상의 기를 포함하는, 패턴 형성 방법:
    [화학식 IV]
    Figure pat00046

    [화학식 V]
    Figure pat00047

    [화학식 VI]
    Figure pat00048

    [화학식 VII]
    Figure pat00049

    (여기서, Rf는 독립적으로 부분 또는 완전 플루오르화 C1-C20 알킬 또는 부분 또는 완전 플루오르화 C6-C20 아릴임).
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 하층은 유기 중합체, 가교결합제, 및 용매를 포함하는 하층 조성물로부터 형성되는, 패턴 형성 방법.
  7. 제6항에 있어서, 상기 유기 중합체는 페놀계 중합체인, 패턴 형성 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 하층은 두께가 10 미크론 이상인, 패턴 형성 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, (a) 상기 기판 상에 상기 하층을 형성하는 단계는
    (a1) 상기 기판 상에 유기 하층 조성물을 코팅하는 단계;
    (a2) 상기 코팅된 하층 조성물을 건조시키는 단계; 및
    (a3) 추가 1회 이상 (a1) 및 (a2)를 반복하여 상기 하층을 형성하는 단계
    를 포함하는, 패턴 형성 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 순서대로,
    (g) 상기 포토레지스트 패턴의 패턴을 상기 하층으로 전사하는 단계 후에, 상기 하층의 패턴을 상기 기판으로 전사하여 제1 에칭된 기판 영역을 형성하는 단계;
    (h) 상기 하층을 트리밍하여 상기 제1 에칭된 기판 영역에 인접한 상기 기판의 표면을 노출시키는 단계; 및
    (i) 상기 제1 에칭된 기판 영역을 추가로 에칭하는 동시에, 상기 트리밍된 하층의 패턴을 상기 기판의 노출된 표면으로 전사하는 단계
    를 추가로 포함하는, 패턴 형성 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 방법은 상기 기판에 복수의 단을 포함하는 계단(staircase) 패턴을 형성하는, 패턴 형성 방법.
KR1020200180069A 2019-12-31 2020-12-21 패턴 형성 방법 KR20210086957A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962956200P 2019-12-31 2019-12-31
US62/956,200 2019-12-31

Publications (1)

Publication Number Publication Date
KR20210086957A true KR20210086957A (ko) 2021-07-09

Family

ID=76547183

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200180069A KR20210086957A (ko) 2019-12-31 2020-12-21 패턴 형성 방법

Country Status (3)

Country Link
US (1) US20210200081A1 (ko)
KR (1) KR20210086957A (ko)
CN (1) CN113126439A (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230161257A1 (en) * 2021-09-30 2023-05-25 Rohm And Haas Electronic Materials Llc Photoresist compositions and pattern formation methods

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2657740B2 (ja) * 1992-10-08 1997-09-24 日本電信電話株式会社 ポジ型レジスト材料
JP5370158B2 (ja) * 2007-12-07 2013-12-18 三菱瓦斯化学株式会社 リソグラフィー用下層膜形成組成物及び多層レジストパターン形成方法
JP6013150B2 (ja) * 2012-11-22 2016-10-25 メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 ポジ型感光性シロキサン組成物の製造方法
TWI603145B (zh) * 2014-12-31 2017-10-21 羅門哈斯電子材料有限公司 光微影方法
US9653311B1 (en) * 2016-05-13 2017-05-16 Applied Materials, Inc. 3D NAND staircase CD fabrication utilizing ruthenium material
US11003074B2 (en) * 2017-05-01 2021-05-11 Rohm And Haas Electronic Materials Llc Pattern formation methods and photoresist pattern overcoat compositions
JP6874584B2 (ja) * 2017-08-09 2021-05-19 信越化学工業株式会社 感光性樹脂組成物、感光性樹脂皮膜、感光性ドライフィルム、積層体、及びパターン形成方法

Also Published As

Publication number Publication date
CN113126439A (zh) 2021-07-16
US20210200081A1 (en) 2021-07-01

Similar Documents

Publication Publication Date Title
KR101821156B1 (ko) 포토애시드-발생 공중합체 및 관련 포토레지스트 조성물, 코팅된 기판, 및 전자 디바이스의 형성 방법
KR101704477B1 (ko) 포토애시드-발생 공중합체 및 관련 포토레지스트 조성물, 코팅된 기판, 및 전자 디바이스의 형성 방법
US10241407B2 (en) Thermal acid generators and photoresist pattern trimming compositions and methods
US11947258B2 (en) Photoacid-generating monomer, polymer derived therefrom, photoresist composition including the polymer, and method of forming a photoresist relief image using the photoresist composition
KR102590750B1 (ko) 중합체 및 포토레지스트 조성물
US10007179B2 (en) Thermal acid generators and photoresist pattern trimming compositions and methods
JP6472427B2 (ja) 酸に不安定な超分岐コポリマー、及び関連するフォトレジスト組成物、及び電子デバイスを形成する方法
KR20210086957A (ko) 패턴 형성 방법
US20200356001A1 (en) Photoresist compositions and methods of forming resist patterns with such compositions
KR102389492B1 (ko) 레지스트 조성물, 이의 제조 방법 및 이를 함유하는 물품
KR102666655B1 (ko) 중합체 및 포토레지스트 조성물
CN114253071A (zh) 光致抗蚀剂组合物及图案形成方法
CN118255930A (en) Polymer, photoresist composition comprising the same, and pattern forming method
US20230213862A1 (en) Photoresist compositions and pattern formation methods
CN115903381A (zh) 光致抗蚀剂组合物及图案形成方法
CN113946097A (zh) 光致抗蚀剂组合物及图案形成方法
CN115903382A (zh) 光致抗蚀剂组合物及图案形成方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
WITB Written withdrawal of application