KR20210034038A - 이미지 품질 메트릭을 사용하는 계측 데이터 보정 - Google Patents

이미지 품질 메트릭을 사용하는 계측 데이터 보정 Download PDF

Info

Publication number
KR20210034038A
KR20210034038A KR1020217004720A KR20217004720A KR20210034038A KR 20210034038 A KR20210034038 A KR 20210034038A KR 1020217004720 A KR1020217004720 A KR 1020217004720A KR 20217004720 A KR20217004720 A KR 20217004720A KR 20210034038 A KR20210034038 A KR 20210034038A
Authority
KR
South Korea
Prior art keywords
substrate
metrology
image
data
radiation
Prior art date
Application number
KR1020217004720A
Other languages
English (en)
Inventor
푸밍 왕
스테판 훈스체
웨이 팡
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020247004405A priority Critical patent/KR20240024314A/ko
Publication of KR20210034038A publication Critical patent/KR20210034038A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/70Determining position or orientation of objects or cameras
    • G06T7/73Determining position or orientation of objects or cameras using feature-based methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30168Image quality inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Data Mining & Analysis (AREA)
  • Quality & Reliability (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Artificial Intelligence (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Evolutionary Biology (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • User Interface Of Digital Computer (AREA)
  • Magnetic Heads (AREA)
  • Paper (AREA)

Abstract

본 명세서에서는 패터닝 프로세스의 계측 데이터를 보정하기 위한 방법이 설명된다. 방법은 (i) 패터닝 프로세스를 겪은 기판의 계측 데이터(901) 및 (ii) 기판의 계측 데이터의 품질을 정량화하는 품질 메트릭(902, 예컨대 포커스 인덱스)을 획득하는 단계(P92); 품질 메트릭과 계측 데이터 사이의 상관관계를 확립하는 단계(P94); 및 품질 메트릭과 계측 데이터 사이의 상관관계에 기초하여 계측 데이터에 대한 보정을 결정하는 단계(P96)를 포함한다.

Description

이미지 품질 메트릭을 사용하는 계측 데이터 보정
관련 출원들에 대한 상호 참조
본 출원은, 2018년 8월 17일자로 출원되고 그 전체가 본 명세서에 참고로 통합되는 미국 출원 제62/719,378호의 우선권을 주장한다.
기술 분야
본 명세서의 설명은 일반적으로 패터닝 프로세스 및 계측 장치에 관한 것으로서, 구체적으로는 계측 데이터에 대한 보정을 결정하기 위한 장치 또는 방법에 관한 것이다.
리소그래피 장치는 기판의 타겟 부분 상에 원하는 패턴을 적용하는 기계이다. 리소그래피 장치는 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그러한 상황에서, 대안적으로 마스크 또는 레티클로 지칭되는 패터닝 디바이스가 IC의 개별 층에 대응하는 회로 패턴을 생성하는 데 사용될 수 있고, 이 패턴은 복사선 민감 재료(레지스트)의 층을 갖는 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟 부분(예를 들어, 하나 또는 여러 개의 다이의 일부를 포함함) 상에 이미징될 수 있다. 일반적으로, 단일 기판은 연속적으로 노광되는 인접한 타겟 부분들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 전체 패턴을 한꺼번에 타겟 부분 상에 노광함으로써 각각의 타겟 부분을 조사하는 소위 스테퍼들, 및 빔을 통해 패턴을 주어진 방향("스캐닝" 방향)으로 스캐닝하는 동시에 이 방향에 평행하거나 역평행하게 기판을 스캐닝함으로써 각각의 타겟 부분을 조사하는 소위 스캐너들을 포함한다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 겪을 수 있다. 노광 후에, 기판은 포스트-노광 베이크(post-exposure bake: PEB), 현상, 하드 베이크, 및 전사된 회로 패턴의 측정/검사와 같은 다른 절차들을 겪을 수 있다. 이러한 절차들의 어레이는 디바이스, 예를 들어 IC의 개별 층을 만들기 위한 기초로서 사용된다. 이어서, 기판은 에칭, 이온 주입(도핑), 배선(metallization), 산화, 화학적 기계적 연마 등과 같은 다양한 프로세스들을 겪을 수 있으며, 이러한 프로세스들 모두는 디바이스의 개별 층을 마무리하도록 의도된다. 디바이스에서 여러 개의 층이 요구되는 경우, 각각의 층에 대해 전체 절차 또는 그 변형이 반복된다. 결국, 기판 상의 각각의 타겟 부분에 디바이스가 존재할 것이다. 그 다음, 이러한 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 따라서 개별 디바이스들은 캐리어 상에 장착되고, 핀들에 연결되고, 기타 등등일 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처(feature)들 및 다수의 층을 형성하기 위해 다수의 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 포함한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어, 퇴적, 리소그래피, 에칭, 화학적 기계적 연마 및 이온 주입을 사용하여 제조되고 처리된다. 다수의 디바이스가 기판 상의 복수의 다이 상에 제조된 다음에 개별 디바이스들로 분리될 수 있다. 이러한 디바이스 제조 프로세스는 패터닝 프로세스로 간주될 수 있다. 패터닝 프로세스는 패터닝 디바이스 상의 패턴을 기판에 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 사용하는 광학 또는 나노임프린트 리소그래피(nanoimprint lithography)와 같은 패터닝 단계를 포함하며, 통상적으로 그러나 선택적으로, 현상 장치에 의한 레지스트 현상 단계, 베이크 도구를 사용하는 기판의 베이킹 단계, 에칭 장치를 사용하여 패턴을 사용하여 에칭하는 단계 등과 같은 하나 이상의 관련된 패턴 처리 단계를 포함한다.
요약
일 실시예에 따르면, 패터닝 프로세스의 계측 데이터를 보정하기 위한 방법이 제공된다. 방법은 (i) 패터닝 프로세스를 겪는 기판의 계측 데이터 및 (ii) 기판의 계측 데이터의 품질을 정량화하는 품질 메트릭을 획득하는 단계; 컴퓨팅 시스템을 통해, 품질 메트릭과 계측 데이터 사이의 상관관계를 확립하는 단계; 및 컴퓨팅 시스템을 통해, 품질 메트릭과 계측 데이터 사이의 상관관계에 기초하여 계측 데이터에 대한 보정을 결정하는 단계를 포함한다.
일 실시예에서, 보정을 결정하는 단계는 보정 모델에 기초하여 계측 데이터의 보정된 값을 결정하는 단계를 포함하고, 계측 데이터는 계측 도구를 통해 획득된다.
일 실시예에서, 보정된 값은 품질 메트릭과 계측 데이터 사이의 상관관계의 기울기, 및 기판에 걸친 품질 메트릭의 최대 값과 기판 상의 관심 지점에서의 품질 메트릭의 값 사이의 차이에 기초하여 결정된다.
일 실시예에서, 품질 메트릭은 계측 도구를 통해 캡처된 기판의 이미지의 포커스 인덱스이다.
일 실시예에서, 포커스 인덱스는 스케일-공간에서의 이웃하는 웨이블릿 계수들 사이의, 기판 상의 피처 위치의 부근에서의 위상 관계를 나타내는 국지적 위상 코히어런스 맵에 기초하여 결정된다.
일 실시예에서, 포커스 인덱스는 이미지 상의 다른 위치들에 비해 상대적으로 더 높은 기울기를 갖는 이미지로부터 선택된 샘플에 기초하여 결정된다.
일 실시예에서, 샘플은 이미지의 나머지 영역들에 비해 상대적으로 높은 기울기 값들을 갖는 이미지의 영역이다.
일 실시예에서, 품질 메트릭은 패터닝 프로세스에서 사용되는 도즈(dose)의 변동들과 무관하다.
일 실시예에서, 계측 데이터는 인쇄된 기판의 이미지, 또는 패터닝 프로세스의 파라미터를 포함한다.
일 실시예에서, 패터닝 프로세스의 파라미터는 임계 치수, 에지 배치 에러, 또는 오버레이이다.
일 실시예에서, 방법은 계측 데이터에 적용된 보정에 기초하여 패터닝 프로세스의 파라미터의 맵을 생성하는 단계를 더 포함한다.
일 실시예에서, 맵은 도즈 맵, 포커스 맵, 임계 치수(CD) 맵, 오버레이 맵, 또는 에지 배치 에러 맵이다.
일 실시예에서, 계측 데이터는 주사 전자 현미경 이미지, 또는 e-빔 이미지이다.
일 실시예에서, 방법은 품질 메트릭과 계측 데이터 사이의 상관관계에 기초하여 보정 모델을 트레이닝하는 단계를 더 포함하고, 보정 모델은 패터닝 프로세스 동안 수집된 계측 데이터에 대한 실시간 보정들을 결정하도록 구성된다.
일 실시예에서, 보정 모델은 계측 도구에 포함된다.
또한, 일 실시예에 따르면, 패터닝 프로세스의 계측 데이터의 실시간 보정을 수행하기 위한 방법이 제공된다. 방법은 (i) 패터닝 프로세스를 겪은 기판의 계측 데이터 및 (ii) 특정 패턴에 대한 계측 데이터와 품질 메트릭 사이의 상관관계를 구현하는 보정 모델을 획득하는 단계; 및 컴퓨팅 시스템을 통해, 보정 모델에 기초하여 특정 패턴의 계측 데이터에 대한 보정을 결정하는 단계를 포함한다.
일 실시예에서, 보정을 결정하는 단계는 보정 모델에 기초하여 계측 도구를 통해 획득된 계측 데이터의 보정된 값을 결정하는 단계를 포함하고, 계측 데이터는 계측 도구를 통해 획득된다.
일 실시예에서, 보정된 값은 품질 메트릭과 계측 데이터 사이의 상관관계의 기울기, 및 기판에 걸친 품질 메트릭의 최대 값과 품질 메트릭 사이의 차이에 기초하여 결정된다.
일 실시예에서, 품질 메트릭은 계측 도구를 통해 캡처된 기판의 이미지의 포커스 인덱스이다.
일 실시예에서, 계측 데이터는 인쇄된 기판의 이미지, 또는 패터닝 프로세스의 파라미터를 포함한다. 일 실시예에서, 계측 데이터는 인쇄된 기판의 이미지를 포함하거나, 패터닝 프로세스의 파라미터는 인쇄된 기판의 이미지 및 패터닝 프로세스의 파라미터를 포함하는 계측 데이터를 포함한다.
일 실시예에서, 방법은 특정 패턴의 피처 특성들에 기초하여 특정 패턴들 중 하나 이상을 패턴 카테고리로 그룹화하는 단계; 및 패턴 카테고리에 속하는 패턴의 계측 데이터에 대한 보정을 결정하는 단계를 더 포함한다.
또한, 명령어들이 기록된 비일시적인 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품이 제공되며, 명령어들은 컴퓨터에 의해 실행될 때 전술한 방법들을 구현한다.
위의 양태들 및 다른 양태들 및 특징들은 첨부 도면들과 함께 특정 실시예들에 대한 이하의 설명을 검토할 때 이 분야의 통상의 기술자들에게 명백해질 것이다. 도면들에서:
도 1은 일 실시예에 따른 리소그래피 장치를 개략적으로 도시한다.
도 2는 일 실시예에 따른 리소그래피 셀 또는 클러스터의 일 실시예를 개략적으로 도시한다.
도 3은 일 실시예에 따른 예시적인 검사 장치 및 계측 기술을 개략적으로 도시한다.
도 4는 일 실시예에 따른 예시적인 검사 장치를 개략적으로 도시한다.
도 5는 일 실시예에 따른 검사 장치의 조명 스팟과 계측 타겟 사이의 관계를 도시한다.
도 6은 일 실시예에 따른 측정 데이터에 기초하여 복수의 관심 변수를 도출하는 프로세스를 개략적으로 도시한다.
도 7은 일 실시예에 따른 처리 변수들의 예시적인 카테고리들을 도시한다.
도 8은 일 실시예에 따른 계측 데이터의 예시적인 기판 맵을 도시한다.
도 9는 일 실시예에 따른 계측 데이터에 대한 보정을 결정하기 위한 방법의 흐름도이다.
도 10은 일 실시예에 따른 포커스 인덱스와 이미지 품질 사이의 관계를 도시하는 그래프이다.
도 11은 일 실시예에 따른 포커스 인덱스를 계산하기 위한 예를 도시한다.
도 12는 일 실시예에 따른 포커스 인덱스와 계측 데이터 사이의 상관관계의 예이다.
도 13a는 일 실시예에 따른 패터닝 장치의 포커스와 제1 패턴에 대한 포커스 인덱스 사이의 관계를 도시한다.
도 13b는 일 실시예에 따른 패터닝 장치의 도즈와 제1 패턴에 대한 포커스 인덱스 사이의 관계를 도시한다.
도 14a는 일 실시예에 따른 패터닝 장치의 포커스와 제2 패턴에 대한 포커스 인덱스 사이의 관계를 도시한다.
도 14b는 일 실시예에 따른 패터닝 장치의 도즈와 제2 패턴에 대한 포커스 인덱스 사이의 관계를 도시한다.
도 15는 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록도이다.
도 16은 일 실시예에 따른 다른 리소그래피 투영 장치의 개략도이다.
도 17은 일 실시예에 따른 도 16의 장치의 더 상세한 도면이다.
도 18은 일 실시예에 따른 도 16 및 도 17의 장치의 소스 수집기 모듈의 더 상세한 도면이다.
이하, 이 분야의 기술자들이 실시예들을 실시할 수 있도록 예시적인 예들로서 제공되는 도면들을 참조하여 실시예들이 상세히 설명될 것이다. 특히, 도면들 및 아래의 예들은 범위를 단일 실시예로 제한하려는 것이 아니라, 설명되거나 도시된 요소들의 일부 또는 전부의 교환에 의해 다른 실시예들이 가능하다. 편리할 경우, 동일하거나 유사한 부분들을 지칭하기 위해 도면들 전반에 걸쳐 동일한 참조 번호들이 사용될 것이다. 이러한 실시예들의 특정 요소들이 공지된 컴포넌트들을 사용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 실시예들의 이해를 위해 필요한 그러한 공지된 컴포넌트들의 부분들만이 설명될 것이고, 그러한 공지된 컴포넌트들의 다른 부분들에 대한 상세한 설명들은 실시예들의 설명을 모호하게 하지 않도록 생략될 것이다. 본 명세서에서, 단일 컴포넌트를 나타내는 실시예는 제한하는 것으로 간주되어서는 안 되고; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않는 한, 그 범위는 복수의 동일한 컴포넌트를 포함하는 다른 실시예들을 포함하는 것으로 의도되며, 그 반대도 마찬가지이다. 더욱이, 명시적으로 그와 같이 제시되지 않는 한, 출원인은 명세서 또는 청구항들에서의 임의의 용어에 일반적이지 않은 또는 특별한 의미를 부여하는 것을 의도하지 않는다. 또한, 그 범위는 본 명세서에서 예시로서 참조된 컴포넌트들에 대한 현재 및 미래의 공지된 균등물들을 포함한다.
실시예들을 상세히 설명하기 전에, 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)의 일 실시예를 개략적으로 도시한다. 장치는:
- 복사선 빔(B)(예를 들어, UV(ultraviolet) 복사선 또는 DUV(deep ultraviolet) 복사선)을 조절하도록 구성된 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결된 지지 구조(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT)(예를 들어, WTa, WTb 또는 둘 다); 및
- 기판(W)의 타겟 부분(C)(예를 들어, 하나 이상의 다이를 포함하고, 종종 필드들로 지칭됨) 상에 디바이스(MA)를 패터닝함으로써 복사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS) - 투영 시스템은 기준 프레임(RF) 상에 지지됨 -
을 포함한다.
본 명세서에 도시된 바와 같이, 장치는 (예를 들어, 투과 마스크를 이용하는) 투과 유형이다. 대안적으로, 장치는 (예를 들어, 위에서 언급된 바와 같은 유형의 프로그래밍 가능 미러 어레이를 이용하거나 반사성 마스크를 이용하는) 반사 유형일 수 있다.
조명기(IL)는 복사선 소스(SO)로부터 복사선 빔을 수신한다. 소스 및 리소그래피 장치는, 예를 들어, 소스가 엑시머 레이저일 때 별개의 엔티티들일 수 있다. 그러한 경우들에서, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 복사선 빔은 예를 들어 적절한 지향 미러들 또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우들에서, 소스는, 예를 들어, 소스가 수은 램프일 때, 장치의 일체로 된 부분일 수 있다. 소스(SO) 및 조명기(IL)는, 필요한 경우에 빔 전달 시스템(BD)과 함께, 복사선 시스템(radiation system)이라고 지칭될 수 있다.
조명기(IL)는 빔의 강도 분포를 변경할 수 있다. 조명기는 강도 분포가 조명기(IL)의 동공 평면 내의 환형 영역 내에서 0이 아니도록 복사선 빔의 방사상 범위를 제한하도록 배열될 수 있다. 추가적으로 또는 대안적으로, 조명기(IL)는 강도 분포가 동공 평면 내의 복수의 동일하게 이격된 섹터에서 0이 아니도록 동공 평면 내의 빔의 분포를 제한하도록 동작가능할 수 있다. 조명기(IL)의 동공 평면 내의 복사선 빔의 강도 분포는 조명 모드라고 지칭될 수 있다.
따라서, 조명기(IL)는 빔의(각도/공간) 강도 분포를 조정하도록 구성된 조정기(AM)를 포함할 수 있다. 일반적으로, 조명기의 동공 평면 내의 강도 분포의 적어도 외측 또는 내측 방사상 범위(일반적으로 각각σ-외측 및σ-내측이라고 지칭됨)가 조정될 수 있다. 조명기(IL)는 빔의 각도 분포를 변경하도록 동작가능할 수 있다. 예를 들어, 조명기는 강도 분포가 0이 아닌 동공 평면 내의 섹터들의 수 및 각도 범위를 변경하도록 동작 가능할 수 있다. 조명기의 동공 평면 내의 빔의 강도 분포를 조정함으로써, 상이한 조명 모드들이 달성될 수 있다. 예를 들어, 조명기(IL)의 동공 평면 내의 강도 분포의 반사상 및 각도 범위를 제한함으로써, 강도 분포는, 예를 들어, 쌍극자, 사극자 또는 육극자 분포와 같은 다극자 분포를 가질 수 있다. 원하는 조명 모드는, 예를 들어, 그러한 조명 모드를 제공하는 광학계를 조명기(IL) 내에 삽입하거나 공간 광 변조기를 사용함으로써 획득될 수 있다.
조명기(IL)는 빔의 편광을 변경하도록 동작 가능할 수 있고, 조정기(AM)를 사용하여 편광을 조정하도록 동작 가능할 수 있다. 조명기(IL)의 동공 평면에 걸친 복사선 빔의 편광 상태는 편광 모드라고 지칭될 수 있다. 상이한 편광 모드들의 사용은 기판(W) 상에 형성된 이미지에서 더 큰 콘트라스트가 달성되는 것을 가능하게 할 수 있다. 복사선 빔은 편광되지 않을 수 있다. 대안적으로, 조명기는 복사선 빔을 선형 편광시키도록 배열될 수 있다. 복사선 빔의 편광 방향은 조명기(IL)의 동공 평면을 가로질러 변할 수 있다. 복사선의 편광 방향은 조명기(IL)의 동공 평면 내의 상이한 영역들에서 상이할 수 있다. 복사선의 편광 상태는 조명 모드에 따라 선택될 수 있다. 다극 조명 모드들의 경우, 복사선 빔의 각각의 극의 편광은 일반적으로 조명기(IL)의 동공 평면 내의 그 극의 위치 벡터에 수직일 수 있다. 예를 들어, 쌍극자 조명 모드의 경우, 복사선은 쌍극자의 2개의 대향하는 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 복사선 빔은 X 편광 및 Y 편광 상태들이라고 지칭될 수 있는 2개의 상이한 직교 방향 중 한 방향으로 편광될 수 있다. 사극자 조명 모드의 경우, 각각의 극의 섹터 내의 복사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 XY 편광으로 지칭될 수 있다. 유사하게, 6극 조명 모드의 경우, 각각의 극의 섹터 내의 복사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 TE 편광으로 지칭될 수 있다.
또한, 조명기(IL)는 일반적으로 적분기(IN) 및 콘덴서(CO)와 같은 다양한 다른 컴포넌트들을 포함한다. 조명 시스템은 복사선의 지향, 성형 또는 제어를 위한 굴절, 반사, 자기, 전자기, 정전기 또는 다른 유형의 광학 컴포넌트들, 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 컴포넌트들을 포함할 수 있다.
따라서, 조명기는 그것의 단면에서 원하는 균일성 및 강도 분포를 갖는 조절된 복사선 빔(B)을 제공한다.
지지 구조(MT)는 패터닝 디바이스의 배향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 지지한다. 지지 구조는 패터닝 디바이스를 유지하기 위해 기계, 진공, 정전기 또는 다른 클램핑 기술들을 사용할 수 있다. 지지 구조는, 예를 들어, 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조는 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있는 것을 보장할 수 있다. 본 명세서에서 용어 "레티클" 또는 "마스크"의 임의의 사용은 더 일반적인 용어 "패터닝 디바이스"와 동의어인 것으로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟 부분에 패턴을 부여하는 데 사용될 수 있는 임의의 디바이스를 지칭하는 것으로서 넓게 해석되어야 한다. 일 실시예에서, 패터닝 디바이스는 기판의 타겟 부분에 패턴을 생성하기 위해 자신의 단면에 패턴을 갖는 복사선 빔을 부여하는 데 사용될 수 있는 임의의 디바이스이다. 예를 들어 패턴이 위상 시프팅 피처들 또는 소위 보조 피처들을 포함하는 경우, 복사선 빔에 부여되는 패턴은 기판의 타겟 부분에서의 원하는 패턴에 정확히 대응하지는 않을 수 있다는 점에 유의해야 한다. 일반적으로, 복사선 빔에 부여되는 패턴은 집적 회로와 같은, 타겟 부분에 생성되는 디바이스 내의 특정 기능 층에 대응할 것이다.
패터닝 디바이스는 투과성 또는 반사성일 수 있다. 패터닝 디바이스들의 예들은 마스크들, 프로그래밍 가능 미러 어레이들, 및 프로그래밍 가능 LCD(liquid-crystal display) 패널들을 포함한다. 마스크들은 리소그래피에서 잘 알려져 있고, 이진, 교대 위상-시프트, 및 감쇠된 위상-시프트와 같은 마스크 유형들은 물론, 다양한 하이브리드 마스크 유형들도 포함한다. 프로그래밍 가능 미러 어레이의 예는 각각이 입사 복사선 빔을 상이한 방향들로 반사하도록 개별적으로 경사질 수 있는 작은 미러들의 행렬 배열을 이용한다. 경사진 미러들은 미러 행렬에 의해 반사되는 복사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는 사용되는 노광 복사선에 대해 또는 액침 액체(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 인자들에 대해 적절한 굴절, 반사, 반사굴절, 자기, 전자기 및 정전기 광학 시스템들, 또는 이들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 임의의 사용은 더 일반적인 용어 "투영 시스템"과 동의어인 것으로 간주될 수 있다.
투영 시스템(PS)은 불균일할 수 있는 광학 전달 함수를 가지며, 이는 기판(W) 상에 이미징되는 패턴에 영향을 줄 수 있다. 편광되지 않은 복사선의 경우, 그러한 효과들은 투영 시스템(PS)을 빠져나가는 복사선의 투과(아포다이제이션) 및 상대 위상(수차)을 그의 동공 평면 내의 위치의 함수로서 설명하는 2개의 스칼라 맵에 의해 상당히 잘 설명될 수 있다. 투과 맵 및 상대 위상 맵으로 지칭될 수 있는 이러한 스칼라 맵들은 기저 함수들의 완전한 세트의 선형 조합으로서 표현될 수 있다. 특히 편리한 세트는 단위 원 상에 정의되는 직교 다항식들의 세트를 형성하는 제르니케 다항식들이다. 각각의 스칼라 맵의 결정은 그러한 전개에서 계수들을 결정하는 것을 포함할 수 있다. 제르니케 다항식들은 단위 원 상에서 직교하므로, 제르니케 계수들은 측정된 스칼라 맵과 각각의 제르니케 다항식의 내적을 차례로 계산하고 이를 해당 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수 있다.
투과 맵 및 상대 위상 맵은 필드 및 시스템 의존적이다. 즉, 일반적으로, 각각의 투영 시스템(PS)은 각각의 필드 지점에 대해(즉, 그의 이미지 평면 내의 각각의 공간 위치에 대해) 상이한 제르니케 전개를 가질 것이다. 투영 시스템(PS)의 그의 동공 평면에서의 상대 위상은 예를 들어 투영 시스템(PS)의 물체 평면(즉, 패터닝 디바이스(MA)의 평면) 내의 점 같은 소스(point-like source)로부터 투영 시스템(PS)을 통해 복사선을 투영하고 전단 간섭계(shearing interferometer)를 사용하여 파면(즉, 동일한 위상을 갖는 지점들의 궤적)을 측정함으로써 결정될 수 있다. 전단 간섭계는 공통 경로 간섭계이고, 따라서 유리하게, 어떠한 2차 기준 빔도 파면을 측정하는 데 필요하지 않다. 전단 간섭계는 투영 시스템의 이미지 평면(즉, 기판 테이블(WT)) 내의 회절 격자, 예를 들어 2차원 그리드, 및 투영 시스템(PS)의 동공 평면과 켤레인 평면에서 간섭 패턴을 검출하도록 배열된 검출기를 포함할 수 있다. 간섭 패턴은 전단 방향에서의 동공 평면 내의 좌표에 대한 복사선의 위상의 미분과 관련된다. 검출기는 예를 들어 CCD(charge coupled device)와 같은 감지 요소들의 어레이를 포함할 수 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적인 프린지(fringe)들을 생성하지 않을 수 있고, 따라서 파면의 결정의 정확도는 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑 기술들을 사용하여 향상될 수 있다. 스테핑(stepping)은 회절 격자의 평면에서 그리고 측정의 스캐닝 방향에 수직인 방향으로 수행될 수 있다. 스테핑 범위는 하나의 격자 주기일 수 있고, 적어도 3개의 (균일하게 분포된) 위상 스텝이 사용될 수 있다. 따라서, 예를 들어, 3개의 스캐닝 측정이 y-방향에서 수행될 수 있고, 각각의 스캐닝 측정은 x-방향에서의 상이한 위치에 대해 수행될 수 있다. 회절 격자의 이러한 스테핑은 위상 변화들을 강도 변화들로 효과적으로 변환하여, 위상 정보가 결정될 수 있게 한다. 격자는 검출기를 교정하기 위해 회절 격자에 수직인 방향(z 방향)으로 스테핑될 수 있다.
회절 격자는 투영 시스템(PS)의 좌표계의 축들(x 및 y)과 일치할 수 있거나 이러한 축들에 대해 45도와 같은 각도로 있을 수 있는 2개의 수직 방향으로 순차적으로 스캐닝될 수 있다. 스캐닝은 정수 개의 격자 주기, 예를 들어 하나의 격자 주기에 걸쳐 수행될 수 있다. 스캐닝은 한 방향에서의 위상 변화를 평균하여, 다른 방향에서의 위상 변화가 재구성될 수 있게 한다. 이는 파면이 양 방향의 함수로서 결정되는 것을 가능하게 한다.
투영 시스템(PS)의 그의 동공 평면에서의 투과(아포다이제이션)는 예를 들어 투영 시스템(PS)을 통해 투영 시스템(PS)의 물체 평면(즉, 패터닝 디바이스(MA)의 평면) 내의 점 같은 소스로부터 복사선을 투영하고 검출기를 사용하여 투영 시스템(PS)의 동공 평면과 켤레인 평면에서 복사선의 강도를 측정함으로써 결정될 수 있다. 수차들을 결정하기 위해 파면을 측정하는 데 사용되는 것과 동일한 검출기가 사용될 수 있다.
투영 시스템(PS)은 복수의 광학(예를 들어, 렌즈) 요소를 포함할 수 있고, 수차들(필드 전반에서 동공 평면에 걸친 위상 변화들)을 보정하기 위해 광학 요소들 중 하나 이상을 조정하도록 구성되는 조정 메커니즘(AM)을 더 포함할 수 있다. 이를 달성하기 위해, 조정 메커니즘은 하나 이상의 상이한 방식으로 투영 시스템(PS) 내의 하나 이상의 광학(예를 들어, 렌즈) 요소를 조작하도록 동작 가능할 수 있다. 투영 시스템은 그의 광학 축이 z 방향으로 연장되는 좌표계를 가질 수 있다. 조정 메커니즘은 하나 이상의 광학 요소를 변위시키는 것; 하나 이상의 광학 요소를 경사지게 하는 것; 또는 하나 이상의 광학 요소를 변형시키는 것의 임의의 조합을 행하도록 동작가능할 수 있다. 광학 요소의 변위는 임의의 방향(x, y, z 또는 이들의 조합)일 수 있다. 광학 요소의 경사는 x 방향 또는 y 방향으로 축을 중심으로 회전시킴으로써 통상적으로 광학 축에 수직인 평면을 벗어나지만, z축을 중심으로 한 회전이 비회전 대칭 비구면 광학 요소에 대해 사용될 수 있다. 광학 요소의 변형은 저주파수 형상(예를 들어, 비점 수차) 또는 고주파수 형상(예를 들어, 자유 형태 비구면들)을 포함할 수 있다. 광학 요소의 변형은 예를 들어 광학 요소의 하나 이상의 면 상에 힘을 가하기 위해 하나 이상의 액추에이터를 사용함으로써 또는 광학 요소의 하나 이상의 선택된 영역을 가열하기 위해 하나 이상의 가열 요소를 사용함으로써 수행될 수 있다. 일반적으로, 아포다이제이션(동공 평면에 걸친 투과 변화)을 보정하기 위해 투영 시스템(PS)을 조정하는 것이 가능하지 않을 수 있다. 리소그래피 장치(LA)에 대한 패터닝 디바이스(예를 들어, 마스크) MA를 설계할 때 투영 시스템(PS)의 투과 맵이 사용될 수 있다. 계산 리소그래피 기술을 사용하여, 패터닝 디바이스(MA)는 아포다이제이션을 적어도 부분적으로 보정하도록 설계될 수 있다.
리소그래피 장치는 2개(이중 스테이지) 이상의 테이블(예를 들어, 2개 이상의 기판 테이블(WTa, WTb), 2개 이상의 패터닝 디바이스 테이블, 기판 테이블(WTa), 및 예를 들어 측정을 용이하게 하는 것 또는 세정 등에 전용화되는 기판이 없는 투영 시스템 아래의 테이블(WTb))을 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기계들에서, 추가적인 테이블들이 병렬로 사용될 수 있거나, 하나 이상의 테이블 상에서 준비 단계들이 수행되는 동안 하나 이상의 다른 테이블이 노광을 위해 사용되고 있을 수 있다. 예를 들어, 정렬 센서(AS)를 사용하는 정렬 측정들 또는 레벨 센서(LS)를 사용하는 레벨(높이, 틸트 등) 측정들이 이루어질 수 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있다. 액침 액체가 또한 리소그래피 장치 내의 다른 공간들, 예를 들어 패터닝 디바이스와 투영 시스템 사이에 도포될 수 있다. 투영 시스템들의 개구수를 증가시키기 위한 액침 기술들이 이 분야에 공지되어 있다. 본 명세서에서 사용되는 바와 같은 "액침"이라는 용어는 기판과 같은 구조가 액체에 침지되어야 함을 의미하는 것이 아니라, 단지 액체가 노광 동안 투영 시스템과 기판 사이에 위치함을 의미한다.
따라서, 리소그래피 장치의 동작시에, 복사선 빔이 조명 시스템(IL)에 의해 조절되어 제공된다. 복사선 빔(B)은 지지 구조(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 가로지른 복사선 빔(B)은 투영 시스템(PS)을 통과하고, 투영 시스템(PS)은 빔을 기판(W)의 타겟 부분(C) 상에 포커싱한다. 제2 포지셔너(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 선형 인코더, 2D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어, 복사선 빔(B)의 경로에 상이한 타겟 부분들(C)을 위치시키도록 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 위치 센서(도 1에 명시적으로 도시되지 않음)는 예를 들어, 마스크 라이브러리로부터의 기계적 검색 후에 또는 스캔 동안에, 복사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 일반적으로, 지지 구조(MT)의 이동은 제1 포지셔너(PM)의 일부를 형성하는 긴 스트로크 모듈(대략적 포지셔닝) 및 짧은 스트로크 모듈(정밀 포지셔닝)의 도움으로 실현될 수 있다. 유사하게, 기판 테이블(WT)의 이동은 제2 포지셔너(PW)의 일부를 형성하는 긴 스트로크 모듈 및 짧은 스트로크 모듈을 사용하여 실현될 수 있다. (스캐너와 대조적인) 스테퍼의 경우, 지지 구조(MT)는 단지 짧은 스트로크 액추에이터에 연결될 수 있거나, 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 사용하여 정렬될 수 있다. 도시된 바와 같은 기판 정렬 마크들은 전용 타겟 부분들을 점유하지만, 타겟 부분들 사이의 공간들에 위치할 수 있다(이들은 스크라이브-레인 정렬 마크들로서 알려짐). 유사하게, 패터닝 디바이스(MA) 상에 하나보다 많은 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치할 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다.
1. 스텝 모드에서, 지지 구조(MT) 및 기판 테이블(WT)이 본질적으로 정적으로 유지되는 동안, 복사선 빔에 부여된 전체 패턴이 한꺼번에 타겟 부분(C) 상에 투영된다(즉, 단일 정적 노광). 다음으로, 기판 테이블(WT)은 상이한 타겟 부분(C)이 노광될 수 있도록 X 또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광에서 이미징되는 타겟 부분(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조(MT) 및 기판 테이블(WT)이 동기적으로 스캐닝되는 동안, 복사선 빔에 부여된 패턴이 타겟 부분(C) 상에 투영된다(즉, 단일 동적 노광). 지지 구조(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광에서의 타겟 부분의 (비스캐닝 방향으로의) 폭을 제한하는 반면, 스캐닝 모션의 길이는 타겟 부분의 (스캐닝 방향으로의) 높이를 결정한다.
3. 다른 모드에서, 지지 구조(MT)는 본질적으로 정적으로 유지되어 프로그래밍 가능 패터닝 디바이스를 유지하며, 기판 테이블(WT)은 복사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로, 펄스형 복사선 소스가 이용되며, 프로그래밍 가능 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안의 연속적인 복사선 펄스들 사이에 필요에 따라 업데이트된다. 이 동작 모드는 앞서 언급된 바와 같은 유형의 프로그래밍 가능 미러 어레이와 같은 프로그래밍 가능 패터닝 디바이스를 이용하는 마스크리스 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
위에서 설명된 사용 모드들 또는 완전히 상이한 사용 모드들에 대한 조합들 또는 변경들이 또한 이용될 수 있다.
본 텍스트에서는 IC들의 제조에서의 리소그래피 장치의 사용에 대해 특정 참조가 이루어질 수 있지만, 본 명세서에 설명된 리소그래피 장치는 통합 광학 시스템들, 자기 도메인 메모리들에 대한 안내 및 검출 패턴들, 액정 디스플레이들(LCD들), 박막 자기 헤드들 등의 제조와 같은 다른 응용들을 가질 수 있다는 것을 이해해야 한다. 기술자는 이러한 대안적인 응용들과 관련하여 본 명세서에서의 용어 "웨이퍼" 또는 "다이"의 임의의 사용이 각각 더 일반적인 용어 "기판" 또는 "타겟 부분"과 동의어인 것으로 간주될 수 있다는 것을 알 것이다. 본원에서 지칭되는 기판은 노광 전 또는 후에, 예를 들어 트랙(통상적으로 기판에 레지스트의 층을 도포하고 노광된 레지스트를 현상하는 도구) 또는 계측 또는 검사 도구에서 처리될 수 있다. 적용 가능한 경우, 본 명세서에서의 개시는 그러한 그리고 다른 기판 처리 도구들에 적용될 수 있다. 또한, 기판은 예를 들어 다층 IC를 생성하기 위해 한 번보다 많이 처리될 수 있으며, 따라서 본 명세서에서 사용되는 기판이라는 용어는 이미 다수의 처리된 층을 포함하는 기판을 지칭할 수도 있다.
본 명세서에서 사용되는 "복사선" 및 "빔"이라는 용어들은 자외선(UV) 복사선(예를 들어, 365, 248, 193, 157 또는 126nm의 파장을 가짐) 및 극자외선(EUV) 복사선(예를 들어, 5-20nm 범위의 파장을 가짐)뿐만 아니라 이온 빔들 또는 전자 빔들과 같은 입자 빔들을 포함하는 모든 유형의 전자기 복사선을 포함한다.
패터닝 디바이스 상의 또는 패터닝 디바이스에 의해 제공되는 다양한 패턴들은 상이한 프로세스 윈도우들, 즉 패턴이 사양 내에서 생성될 처리 변수들의 공간을 가질 수 있다. 잠재적인 체계적 결함들에 관한 패턴 사양들의 예들은 네킹(necking), 라인 풀백(line pull back), 라인 씨닝(line thinning), 임계 치수(CD), 에지 배치, 중첩, 레지스트 상부 손실, 레지스트 언더컷 또는 브리징에 대한 체크들을 포함한다. 패터닝 디바이스 또는 그의 영역 상의 모든 패턴들의 프로세스 윈도우는 각각의 개별 패턴의 프로세스 윈도우들을 병합(예를 들어, 중첩)함으로써 획득될 수 있다. 모든 패턴들의 프로세스 윈도우의 경계는 개별 패턴들 중 일부의 프로세스 윈도우들의 경계들을 포함한다. 즉, 이러한 개별 패턴들은 모든 패턴들의 프로세스 윈도우를 제한한다. 이러한 패턴들은 "핫스팟들" 또는 "프로세스 윈도우 제한 패턴들(PWLP들)"로서 지칭될 수 있으며, 이들은 본 명세서에서 교환 가능하게 사용된다. 패터닝 프로세스의 일부를 제어할 때, 핫스팟들에 집중하는 것이 가능하고 경제적이다. 핫스팟들에 결함이 없을 때, 모든 패턴들에 결함이 없을 가능성이 가장 크다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 기판에 대한 프리-노광 및 포스트-노광 프로세스들을 수행하기 위한 장치들을 또한 포함하는, 때때로 리소셀 또는 클러스터라고도 하는 리소그래피 셀(LC)의 일부를 형성할 수 있다. 통상적으로, 이들은 하나 이상의 레지스트 층을 퇴적하기 위한 하나 이상의 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 하나 이상의 현상기(DE), 하나 이상의 냉각 플레이트(CH) 또는 하나 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 하나 이상의 기판을 입출력 포트(I/O1, I/O2)로부터 픽업하고, 이들을 상이한 프로세스 장치들 사이에서 이동시키고, 이들을 리소그래피 장치의 로딩 베이(loading bay: LB)에 전달한다. 종종 트랙으로 총칭되는 이러한 장치들은 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 또한 제어하는 관리 제어 시스템(SCS)에 의해 자체적으로 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있다. 따라서, 상이한 장치들은 처리량 및 처리 효율을 최대화하도록 동작될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일관되게 노광되기 위해 또는 적어도 하나의 패턴 전사 단계(예를 들어, 광학 리소그래피 단계)를 포함하는 패터닝 프로세스(예를 들어, 디바이스 제조 프로세스)의 일부를 모니터링하기 위해, 기판 또는 다른 물체를 검사하여 정렬, 오버레이(예를 들어, 위에 있는 층들 내의 구조들 사이에 또는 예를 들어 이중 패터닝 프로세스에 의해 층에 개별적으로 제공된 동일한 층 내의 구조들 사이에 있을 수 있음), 라인 두께, 임계 치수(CD), 포커스 오프셋, 재료 특성 등과 같은 하나 이상의 특성을 측정하거나 결정하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치하는 제조 설비는 또한 통상적으로 리소셀 또는 리소셀 내의 다른 물체들에서 처리된 기판들(W)의 일부 또는 전부를 측정하는 계측 시스템(MET)을 포함한다. 계측 시스템(MET)은 리소셀(LC)의 일부일 수 있는데, 예를 들어 (정렬 센서(AS)와 같은) 리소그래피 장치(LA)의 일부일 수 있다.
하나 이상의 측정된 파라미터는 예를 들어 패터닝된 기판 내에 또는 상에 형성된 연속적인 층들 사이의 오버레이, 예를 들어 패터닝된 기판 내에 또는 상에 형성된 피처들의 임계 치수(CD)(예를 들어, 임계 선폭), 광학 리소그래피 단계의 포커스 또는 포커스 에러, 광학 리소그래피 단계의 도즈(dose) 또는 도즈 에러, 광학 리소그래피 단계의 광학 수차들 등을 포함할 수 있다. 이러한 측정은 제품 기판 자체의 타겟 또는 기판 상에 제공된 전용 계측 타겟에 대해 수행될 수 있다. 측정은 레지스트의 현상 후에 그러나 에칭 전에 수행될 수 있거나, 에칭 후에 수행될 수 있다.
주사 전자 현미경, 이미지 기반 측정 도구 또는 다양한 특수 도구들의 사용을 포함하여, 패터닝 프로세스에서 형성된 구조들의 측정들을 행하기 위한 다양한 기술들이 존재한다. 위에서 논의된 바와 같이, 빠르고 비침습적인 형태의 특수 계측 도구는 복사선 빔을 기판의 표면 상의 타겟 상으로 지향시키고 산란된(회절된/반사된) 빔의 특성들을 측정하는 도구이다. 기판에 의해 산란된 복사선의 하나 이상의 특성을 평가함으로써, 기판의 하나 이상의 특성이 결정될 수 있다. 이것은 회절 기반 계측으로 지칭될 수 있다. 이러한 회절 기반 계측의 하나의 그러한 응용은 타겟 내의 피처 비대칭의 측정이다. 이것은 예를 들어 오버레이의 척도로서 사용될 수 있지만, 다른 응용들도 알려져 있다. 예를 들어, 회절 스펙트럼의 대향하는 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼에서의 -1차와 +1차를 비교함으로써) 비대칭이 측정될 수 있다. 이것은 전술한 바와 같이 그리고 예를 들어 본 명세서에 그 전체가 참고로 포함되는 미국 특허 출원 공개 US 2006-066855에서 설명된 바와 같이 행해질 수 있다. 회절 기반 계측의 다른 응용은 타겟 내의 피처 폭(CD)의 측정이다. 이러한 기술들은 이하에서 설명되는 장치들 및 방법들을 사용할 수 있다.
따라서, 디바이스 제조 프로세스(예를 들어, 패터닝 프로세스 또는 리소그래피 프로세스)에서, 기판 또는 다른 물체들은 프로세스 동안 또는 프로세스 후에 다양한 유형의 측정을 받을 수 있다. 측정은 특정 기판에 결함이 있는지를 결정할 수 있거나, 프로세스 및 프로세스에서 사용되는 장치들에 대한 조정들을 확립할 수 있거나(예를 들어, 기판 상의 2개의 층을 정렬하거나 패터닝 디바이스를 기판에 정렬함), 프로세스 및 장치들의 성능을 측정할 수 있거나, 다른 목적들을 위한 것일 수 있다. 측정의 예들은 광학 이미징(예를 들어, 광학 현미경), 비-이미징 광학 측정(예를 들어, ASML YieldStar 계측 도구, ASML SMASH 계측 시스템과 같은 회절 기반 측정), 기계적 측정(예를 들어, 스타일러스, 원자 힘 현미경(AFM)을 사용하는 프로파일링) 또는 비-광학 이미징(예를 들어, 주사 전자 현미경(SEM))을 포함한다. 본 명세서에 그 전체가 참고로 포함되는 미국 특허 제6,961,116호에서 설명된 바와 같은 SMASH(SMart Alignment Sensor Hybrid) 시스템은 정렬 마커의 2개의 중첩되고 상대적으로 회전된 이미지를 생성하는 자기-참조 간섭계를 이용하고, 이미지들의 푸리에 변환들이 간섭하게 되는 동공 평면에서의 강도들을 검출하고, 간섭된 차수들에서의 강도 변화들로서 나타나는 2개의 이미지의 회절 차수들 사이의 위상차로부터 위치 정보를 추출한다.
계측 결과들은 직접적으로 또는 간접적으로 관리 제어 시스템(SCS)에 제공될 수 있다. 에러가 검출되는 경우, 후속 기판의 노광(특히, 검사가 배치(batch)의 하나 이상의 다른 기판이 아직도 노광될 만큼 충분히 곧 그리고 빨리 수행될 수 있는 경우) 또는 노광된 기판의 후속 노광에 대해 조정이 이루어질 수 있다. 또한, 이미 노광된 기판은 수율을 개선하기 위해 박리되고 재작업되거나, 폐기될 수 있고, 따라서 결함이 있는 것으로 알려진 기판에 대해 추가 처리를 수행하는 것을 피할 수 있다. 기판의 일부 타겟 부분들에만 결함이 있는 경우, 양호한 타겟 부분들에 대해서만 추가적인 노광들이 수행될 수 있다.
계측 시스템(MET) 내에서, 기판의 하나 이상의 특성, 특히 어떻게 상이한 기판들의 하나 이상의 특성이 달라지는지 또는 동일한 기판의 상이한 층들이 층마다 달라지는지를 결정하기 위해 계측 장치가 사용된다. 위에서 언급된 바와 같이, 계측 장치는 리소그래피 장치(LA) 또는 리소셀(LC) 내에 통합될 수 있거나, 독립형 디바이스일 수 있다.
계측을 가능하게 하기 위해, 하나 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특별히 설계되고, 주기적인 구조를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 일부, 예를 들어 디바이스 패턴의 주기적 구조이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조(예를 들어, 바이폴라 트랜지스터(BPT), 비트 라인 콘택(BLC) 등의 구조)이다.
일 실시예에서, 기판 상의 타겟은 하나 이상의 1D 주기적 구조(예를 들어, 격자)를 포함할 수 있고, 이들은 현상 후에 주기적 구조적 피처들이 고체 레지스트 라인들로 형성되도록 인쇄된다. 일 실시예에서, 타겟은 하나 이상의 2D 주기적 구조(예를 들어, 격자)를 포함할 수 있고, 이들은 현상 후에 하나 이상의 주기적 구조가 레지스트 내의 고체 레지스트 필라(pillar)들 또는 비아(via)들로 형성되도록 인쇄된다. 바(bar)들, 필라들 또는 비아들은 대안적으로 기판 내로(예를 들어, 기판 상의 하나 이상의 층 내로) 에칭될 수 있다.
일 실시예에서, 패터닝 프로세스의 관심 파라미터들 중 하나는 오버레이이다. 오버레이는 (경면 반사에 대응하는) 0차 회절이 차단되고 더 높은 차수들만이 처리되는 암시야 산란측정을 사용하여 측정될 수 있다. 암시야 계측의 예들은 PCT 특허 출원 공개 번호 WO 2009/078708 및 WO 2009/106279에서 발견될 수 있으며, 이에 따라 이들은 그 전체가 본 명세서에 참고로 통합된다. 기술의 추가적인 개발들은 미국 특허 출원 공개 US2011-0027704, US2011-0043791 및 US2012-0242970에 설명되어 있으며, 이에 따라 이들은 그 전체가 참고로 통합된다. 회절 차수들의 암시야 검출을 사용하는 회절 기반 오버레이는 더 작은 타겟들에 대한 오버레이 측정들을 가능하게 한다. 이러한 타겟들은 조명 스팟보다 작을 수 있고, 기판 상의 디바이스 제품 구조들에 의해 둘러싸일 수 있다. 일 실시예에서, 하나의 복사선 캡처에서 다수의 타겟이 측정될 수 있다.
도 3은 예시적인 검사 장치(예를 들어, 산란계)를 도시한다. 이것은 기판(W) 상에 복사선을 투영하는 광대역(백색광) 복사선 투영기(2)를 포함한다. 재지향된 복사선은 분광계 검출기(4)에 전달되며, 분광계 검출기(4)는 예를 들어 좌하의 그래프에 도시된 바와 같이 경면 반사의 복사선의 스펙트럼(10)(파장의 함수로서의 강도)을 측정한다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조 또는 프로파일이 프로세서(PU)에 의해, 예를 들어 정밀 결합파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀에 의해 또는 도 3의 우하에 도시된 바와 같은 시뮬레이션된 스펙트럼들의 라이브러리와의 비교에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해, 구조의 일반 형태가 알려지고, 구조를 형성한 프로세스의 지식으로부터 일부 변수들이 가정되고, 구조의 몇몇 변수들만이 측정된 데이터로부터 결정되게 된다. 이러한 검사 장치는 수직 입사 검사 장치 또는 경사 입사 검사 장치로서 구성될 수 있다.
사용될 수 있는 다른 검사 장치가 도 4에 도시되어 있다. 이 디바이스에서, 복사선 소스(2)에 의해 방출되는 복사선은 렌즈 시스템(12)을 사용하여 시준되고, 간섭 필터(13) 및 편광기(17)를 통해 전달되고, 부분 반사 표면(16)에 의해 반사되고, 높은 개구수(NA), 바람직하게는 적어도 0.9 또는 적어도 0.95를 갖는 대물 렌즈(15)를 통해 기판(W) 상의 스팟(S)에 포커싱된다. (물과 같은 비교적 높은 굴절률의 유체를 사용하는) 액침 검사 장치는 심지어 1을 넘는 개구수를 가질 수 있다.
리소그래피 장치(LA)에서와 같이, 측정 동작들 동안 기판(W)을 유지하기 위해 하나 이상의 기판 테이블이 제공될 수 있다. 기판 테이블들은 도 1의 기판 테이블(WT)과 형태가 유사하거나 동일할 수 있다. 검사 장치가 리소그래피 장치와 통합되는 예에서, 그들은 동일한 기판 테이블일 수도 있다. 대략 포지셔너 및 정밀 포지셔너가 측정 광학 시스템에 관하여 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 제공될 수 있다. 다양한 센서들 및 액추에이터들이 예를 들어 관심 타겟의 위치를 획득하고, 그것을 대물 렌즈(15) 아래의 위치로 가져오기 위해 제공된다. 통상적으로, 기판(W)에 걸친 상이한 위치들에 있는 타겟들에 대해 많은 측정들이 이루어질 것이다. 기판 지지체는 상이한 타겟들을 획득하기 위해 X 및 Y 방향들로 그리고 광학 시스템의 포커스에 대한 타겟의 원하는 위치를 획득하기 위해 Z 방향으로 이동될 수 있다. 예를 들어 실제로 광학 시스템이 (통상적으로 X 및 Y 방향들로, 그러나 아마도 또한 Z 방향으로) 실질적으로 정지된 채로 있고, 기판만이 이동할 때, 대물 렌즈가 기판에 대해 상이한 위치들로 이동되고 있는 것처럼 생각하고 동작들을 설명하는 것이 편리하다. 기판과 광학 시스템의 상대적 위치가 정확한 경우, 그들 중 어느 것이 실세계에서 움직이고 있는지, 또는 둘 다가 움직이고 있는지, 또는 광학 시스템의 일부가 (예를 들어, Z 또는 경사 방향으로) 움직이고 있고, 광학 시스템의 나머지가 정지되어 있고, 기판이 (예를 들어, X 및 Y 방향들로, 그러나 또한 선택적으로 Z 또는 경사 방향으로) 움직이고 있는 것의 조합인지는 원칙적으로 문제가 되지 않는다.
이어서, 스펙트럼을 검출하기 위해, 기판(W)에 의해 재지향된 복사선은 부분 반사 표면(16)을 통하여 검출기(18)로 전달된다. 검출기(18)는 후방 투영 포커스 평면(11)에(즉, 렌즈 시스템(15)의 포커스 거리에) 위치될 수 있거나, 평면(11)은 보조 광학계(도시되지 않음)를 이용하여 검출기(18) 상에 다시 이미징될 수 있다. 검출기는 2차원 검출기일 수 있으며, 따라서 기판 타겟(30)의 2차원 각도 산란 스펙트럼이 측정될 수 있다. 검출기(18)는 예를 들어 CCD 또는 CMOS(complementary metal-oxide-semiconductor) 센서들의 어레이일 수 있고, 예를 들어 프레임당 40밀리초의 적분 시간을 사용할 수 있다.
기준 빔이 예를 들어 입사 복사선의 강도를 측정하기 위해 사용될 수 있다. 이를 위해, 복사선 빔이 부분 반사 표면(16) 상에 입사될 때, 그 일부는 부분 반사 표면(16)을 통해 기준 미러(14)를 향해 기준 빔으로서 전달된다. 이어서, 기준 빔은 동일한 검출기(18)의 상이한 부분 상에 또는 대안적으로 상이한 검출기(도시되지 않음) 상에 투영된다.
하나 이상의 간섭 필터(13)가 예를 들어 405-790 nm 또는 200-300 nm와 같은 훨씬 더 낮은 범위의 관심 파장을 선택하기 위해 이용 가능하다. 간섭 필터는 상이한 필터들의 세트를 포함하는 것이 아니라 튜닝 가능할 수 있다. 간섭 필터 대신에 격자가 사용될 수 있다. 타겟 상의 복사선의 입사각의 범위를 제어하기 위해 조명 경로에 개구 조리개 또는 공간 광 변조기(도시되지 않음)가 제공될 수 있다.
검출기(18)는 단일 파장(또는 좁은 파장 범위)에서의 재지향된 복사선의 강도, 다수의 파장들에서의 별개의 강도 또는 파장 범위에 걸쳐 통합된 강도를 측정할 수 있다. 또한, 검출기는 횡방향 자기 및 횡방향 전기 편광 복사선의 강도 또는 횡방향 자기 편광 복사선과 횡방향 전기 편광 복사선 사이의 위상차를 별개로 측정할 수 있다.
기판(W) 상의 타겟(30)은 현상 후에 바들이 고체 레지스트 라인들로 형성되도록 인쇄되는 1D 격자일 수 있다. 타겟(30)은 현상 후에 격자가 레지스트 내의 고체 레지스트 필라들 또는 비아들로 형성되도록 인쇄되는 2D 격자일 수 있다. 바들, 필라들 또는 비아들은 기판 내로 또는 기판 상에(예를 들어, 기판 상의 하나 이상의 층 내로) 에칭될 수 있다. (예를 들어, 바들, 필라들 또는 비아들의) 패턴은 패터닝 프로세스에서의 처리의 변화(예를 들어, 리소그래피 투영 장치(특히, 투영 시스템(PS))에서의 광학 수차, 포커스 변화, 도즈 변화 등)에 민감하고, 인쇄된 격자의 변화에서 나타날 것이다. 따라서, 인쇄된 격자의 측정된 데이터는 격자를 재구성하는 데 사용된다. 라인 폭 또는 형상과 같은 1D 격자의 하나 이상의 파라미터, 또는 필라 또는 비아 폭 또는 길이 또는 형상과 같은 2D 격자의 하나 이상의 파라미터는 인쇄 단계 또는 다른 검사 프로세스들의 지식으로부터 프로세서(PU)에 의해 수행되는 재구성 프로세스에 입력될 수 있다.
재구성에 의한 파라미터의 측정에 더하여, 각도 분해된 산란측정은 제품 또는 레지스트 패턴들에서의 피처들의 비대칭의 측정에 유용하다. 비대칭 측정의 특정 응용은 오버레이의 측정을 위한 것이고, 여기서 타겟(30)은 다른 것 상에 중첩된 주기적 피처들의 하나의 세트를 포함한다. 도 3 또는 도 4의 기구를 사용하는 비대칭 측정의 개념들은 예를 들어 미국 특허 출원 공보 US2006-066855에 설명되어 있고, 이 공보는 그 전체가 본 명세서에 통합된다. 간단히 말하면, 타겟의 회절 스펙트럼에서의 회절 차수들의 위치들은 타겟의 주기성에 의해서만 결정되지만, 회절 스펙트럼에서의 비대칭은 타겟을 구성하는 개별 피처들에서의 비대칭을 나타낸다. 검출기(18)가 이미지 센서일 수 있는 도 4의 기구에서, 회절 차수들에서의 그러한 비대칭은 검출기(18)에 의해 기록된 동공 이미지에서의 비대칭으로서 직접 나타난다. 이러한 비대칭은 유닛(PU)에서의 디지털 이미지 처리에 의해 측정될 수 있고, 알려진 오버레이 값들에 대해 교정될 수 있다.
도 5는 통상적인 타겟(30)의 평면도, 및 도 4의 장치에서의 조명 스팟(S)의 범위를 도시한다. 주변 구조들로부터의 간섭에서 자유로운 회절 스펙트럼을 획득하기 위해, 타겟(30)은 실시예에서 조명 스팟(S)의 폭(예를 들어, 직경)보다 큰 주기적인 구조(예를 들어, 격자)이다. 스팟(S)의 폭은 타겟의 폭 및 길이보다 작을 수 있다. 다시 말해서, 타겟은 조명에 의해 '언더필되고(underfilled)', 회절 신호는 본질적으로 타겟 자체 외부의 제품 피처들 등으로부터의 임의의 신호들로부터 자유롭다. 조명 배열(2, 12, 13, 17)은 대물렌즈(15)의 후방 포커스 평면에 걸쳐 균일한 강도의 조명을 제공하도록 구성될 수 있다. 대안적으로, 예를 들어 조명 경로에 개구를 포함함으로써, 조명은 축상 또는 축외 방향들로 제한될 수 있다.
도 6은 계측을 사용하여 획득된 측정 데이터에 기초하여 타겟 패턴(30')의 하나 이상의 관심 변수의 값을 결정하는 예시적인 프로세스를 개략적으로 도시한다. 검출기(18)에 의해 검출된 복사선은 타겟(30')에 대한 측정된 복사선 분포(108)를 제공한다.
주어진 타겟(30')에 대해, 예를 들어 수치 맥스웰 솔버(numerical Maxwell solver)(210)를 사용하여, 파라미터화된 모델(206)로부터 복사선 분포(208)가 계산/시뮬레이션될 수 있다. 파라미터화된 모델(206)은 타겟을 구성하고 타겟과 연관된 다양한 재료들의 예시적인 층들을 도시한다. 파라미터화된 모델(206)은 변화되고 도출될 수 있는, 고려 중인 타겟의 부분의 피처들 및 층들에 대한 변수들 중 하나 이상을 포함할 수 있다. 도 6에 도시된 바와 같이, 변수들 중 하나 이상은 하나 이상의 층의 두께 t, 하나 이상의 피처의 폭 w(예를 들어, CD), 하나 이상의 피처의 높이 h, 또는 하나 이상의 피처의 측벽 각도α를 포함할 수 있다. 도시되지는 않았지만, 변수들 중 하나 이상은 층들 중 하나 이상 층의 굴절률(예를 들어, 실수 또는 복소수 굴절률, 굴절률 텐서 등), 하나 이상의 층의 소광 계수, 하나 이상의 층의 흡수, 현상 동안의 레지스트 손실, 하나 이상의 피처의 풋팅(footing), 또는 하나 이상의 피처의 라인 에지 거칠기를 더 포함할 수 있지만, 이에 제한되지 않는다. 변수들의 초기 값들은 측정되는 타겟에 대해 예상되는 것들일 수 있다. 그 다음, 212에서, 측정된 복사선 분포(108)를 계산된 복사선 분포(208)와 비교하여, 둘 사이의 차이를 결정한다. 차이가 있으면, 파라미터화된 모델(206)의 변수들 중 하나 이상의 변수의 값들이 변경될 수 있고, 측정된 복사선 분포(108)와 계산된 복사선 분포(208) 사이에 충분한 매치가 있을 때까지, 새로운 계산된 복사선 분포(208)가 계산되고 측정된 복사선 분포(108)와 비교된다. 그 시점에서, 파라미터화된 모델(206)의 변수들의 값들은 실제 타겟(30')의 기하구조의 양호한 또는 최상의 매치를 제공한다. 일 실시예에서, 측정된 복사선 분포(108)와 계산된 복사선 분포(208) 사이의 차이가 허용한계 임계치 내에 있을 때 충분한 매치가 있다.
패터닝 프로세스의 변수들은 "처리 변수들"이라고 한다. 패터닝 프로세스는 리소그래피 장치에서 패턴의 실제 전사에 대해 상류 및 하류에 있는 프로세스들을 포함할 수 있다. 도 7은 처리 변수들(370)의 예시적인 카테고리들을 도시한다. 제1 카테고리는 리소그래피 프로세스에서 사용되는 리소그래피 장치 또는 임의의 다른 장치들의 변수들(310)일 수 있다. 이 카테고리의 예들은 리소그래피 장치의 조명, 투영 시스템, 기판 스테이지 등의 변수들을 포함한다. 제2 카테고리는 패터닝 프로세스에서 수행되는 하나 이상의 절차의 변수들(320)일 수 있다. 이 카테고리의 예들은 포커스 제어 또는 포커스 측정, 도즈 제어 또는 도즈 측정, 대역폭, 노광 지속기간, 현상 온도, 개발에 사용되는 화학적 조성 등을 포함한다. 제3 카테고리는 패터닝 디바이스 내의 또는 패터닝 디바이스를 사용하는 설계 레이아웃 및 그의 구현의 변수들(330)일 수 있다. 이 카테고리의 예들은 보조 피처들의 형상들 또는 위치들, 해상도 향상 기술(RET)에 의해 적용되는 조정들, 마스크 피처들의 CD 등을 포함할 수 있다. 제4 카테고리는 기판의 변수들(340)일 수 있다. 예들은 레지스트 층 아래의 구조들의 특성들, 레지스트 층의 화학적 조성 또는 물리적 치수 등을 포함한다. 제5 카테고리는 패터닝 프로세스의 하나 이상의 변수의 시간적 변화의 특성들(350)일 수 있다. 이 카테고리의 예들은 고주파수 스테이지 이동(예를 들어, 주파수, 진폭 등), 고주파수 레이저 대역폭 변화(예를 들어, 주파수, 진폭 등) 또는 고주파수 레이저 파장 변화의 특성을 포함한다. 이러한 고주파수 변화들 또는 이동들은 기본 변수들(예를 들어, 스테이지 위치, 레이저 강도)을 조정하기 위한 메커니즘들의 응답 시간 위의 것들이다. 제6 카테고리는 스핀 코팅, 포스트-노광 베이크(PEB), 현상, 에칭, 퇴적, 도핑 또는 패키징과 같은, 리소그래피 장치에서의 패턴 전사에 대해 상류 또는 하류에 있는 프로세스들의 특성들(360)일 수 있다.
인식되는 바와 같이, 이러한 변수들의 전부는 아니더라도 다수는 패터닝 프로세스의 파라미터 및 종종 관심 파라미터에 영향을 미칠 것이다. 패터닝 프로세스의 파라미터들의 비제한적인 예들은 임계 치수(CD), 임계 치수 균일성(CDU), 포커스, 오버레이, 에지 위치 또는 배치, 측벽 각도, 패턴 시프트 등을 포함할 수 있다. 종종, 이러한 파라미터들은 명목 값(예를 들어, 설계 값, 평균 값 등)으로부터의 에러를 나타낸다. 파라미터 값들은 개별 패턴들의 특성의 값들 또는 패턴들의 그룹의 특성의 통계(예를 들어, 평균, 분산 등)일 수 있다.
처리 변수들의 일부 또는 전부의 값들, 또는 그에 관련된 파라미터는 적절한 방법에 의해 결정될 수 있다. 예를 들어, 값들은 다양한 계측 도구들(예를 들어, 기판 계측 도구)로 획득된 데이터로부터 결정될 수 있다. 값들은 패터닝 프로세스에서 장치의 다양한 센서들 또는 시스템들(예컨대, 리소그래피 장치의, 레벨링 센서 또는 정렬 센서와 같은 센서, 리소그래피 장치의 제어 시스템(예컨대, 기판 또는 패터닝 디바이스 테이블 제어 시스템), 트랙 도구 내의 센서 등)로부터 획득될 수 있다. 값들은 패터닝 프로세스의 오퍼레이터로부터의 값들일 수 있다.
점점 더, 계측은 특히 소요되는 시간 면에서 부담이 되었다. 이것은 예를 들어 반도체 산업에서의 다수의 패터닝 기술의 출현시에 특히 그러하다. 리소-에치-리소-에치(LELE) 또는 측벽 지원 이중 패터닝(SADP)과 같은 다수의 패터닝 기술과 함께, 처리 단계들의 수가 상당히 증가하였고, 따라서 제어 및 수율 모니터링에 사용되는 계측 단계들의 양도 증가하였다. 더욱이, 다수의 패터닝 단계에서 더 많은 층들이 사용되고, 층마다 더 많은 패터닝 단계들이 사용됨에 따라 (예를 들어, 피처 크기의 감소로 인해) 각각의 노드에 대해 계측의 양이 증가한다.
추가적으로 또는 대안적으로, 결함 결정 또는 안내 결함 검사에 대한 요구가 증가된다. 이것은 이전보다 실질적으로 더 조밀한 포커스, 오버레이, 또는 CD 계측을 수반한다.
추가적으로 또는 대안적으로, 온-디바이스 CD, 오버레이, 또는 포커스 성능에 대한 엄격한 사양들도 존재한다. 이것은 예를 들어 노드마다 노광 도구들 및 처리 도구들(예를 들어, 퇴적, 에칭, 트랙, 화학 기계적 연마(CMP) 등)의 제조 장비 사양들을 유도한다. 따라서, 이것은 성능의 더 엄격한 제어 및 모니터링을 유도하고, 이는 또한 제어 및 모니터링을 위한 계측의 계속 증가하는 양들에 대한 요구를 유도한다.
추가적으로 또는 대안적으로, 온-디바이스 CD, 포커스, 또는 오버레이 성능에 대한 사양들의 엄격함에 따라, 더 높은 차수의 보정들이 필요할 수 있다. 더 높은 차수의 보정은 본질적으로 기판의 전부 또는 일부에 걸쳐 작은 공간 스케일에서 선택적인 보정 액션(예를 들어, 높은 공간 해상도의 보정)이다. 더 높은 차수의 보정은 계측을 위한 기판마다의 더 조밀한 샘플링을 수반하며, 따라서 계측 부담을 아마도 계측 장비 생산성의 실제적인 한계를 넘도록 증가시킨다.
추가적으로 또는 대안적으로, 기판간 변동은 원하는 CD, 포커스, 또는 오버레이 성능을 달성하기 위해 (예를 들어, 로트 레벨 제어(lot level control)에 비하여) 더 개별적인 기판 레벨 제어 및 연관된 모니터링을 요구할 수 있다. 이것은 로트당 더 많은 기판들을 측정하는 것으로 이어질 수 있고, 따라서, 아마도 계측 장비 생산성의 실제적인 한계들을 넘는, 사용되는 측정들의 양의 증가를 유도할 수 있다.
그러나, 증가된 데이터 요구들을 충족시키기 위해, 계측 장비를 추가하거나 계측 장비 생산성을 증가시키는 것만으로는 충분하지 않을 수 있다. 더욱이, 이것은 기판에 걸친 조밀한 CD, 포커스 또는 오버레이 프로파일들을 적시에 획득하는 것과 같은 모든 문제들을 해결하지 못할 수 있다.
따라서, 계측 효율이 바람직하다. 이것은 예를 들어 기판당 더 높은 밀도의 데이터 및 로트당 더 많은 수의 기판들에 대한 데이터를 획득하는 것이다.
따라서, 일 실시예에서, 기판에 걸친 복수의 위치 각각에 대한 하나 이상의 패터닝 프로세스 파라미터(예컨대, CD, 포커스, 오버레이, 에지 배치 등)의 정확한 추정 값들을 도출하기 위해, 다수의 소스로부터의 계측 데이터가 결합되고 조작된다. 계측 데이터는 주사 전자 현미경에 의해 획득된 이미지들을 포함할 수 있다. 일 실시예에서, 이어서, 예컨대, 패터닝 프로세스에서 처리된 기판들 전부 및 예컨대 패터닝 프로세스에서 그러한 기판들 상에서 처리된 층들 전부에 대한 (예컨대, 각각의 제곱 mm에 대한) 조밀한 계측 데이터가 제공된다.
일 실시예에서, 다양한 소스들로부터의 계측 데이터 및 다른 데이터가 결합될 수 있다. 예를 들어, 관심 있는 패터닝 프로세스 파라미터의 측정들과, 리소그래피 장치의 하나 이상의 센서로부터의 데이터와 같은, 패터닝 프로세스에서의 하나 이상의 디바이스로부터의 데이터의 결합. 이어서, 이러한 데이터의 결합은 예를 들어 CD, 오버레이, 포커스, 패턴 시프트, 에지 배치(예를 들어, 에지 배치 에러) 등과 같은 패터닝 프로세스 파라미터 또는 수율, 결함(예를 들어, 결함의 위험, 결함 카운트 등) 등과 같은 그로부터 도출된 파라미터의 형태로 온-제품 성능(on-product performance)을 예측하는 데 사용될 수 있다. 따라서, 온-제품 성능, 패터닝 프로세스에 대한 보정 또는 조정 등이 정확하게 결정될 수 있도록 양호한 품질의 계측 데이터를 갖는 것이 중요하다.
일 실시예에서, 패터닝 프로세스의 성능은 인쇄된 기판들 상의 패터닝 결함들을 예측 및 검출하는 것을 목표로 하는 예를 들어 패턴 충실도 매칭(PFM) 기술에 기초하는 척도 또는 메트릭일 수 있다. PFM은 (1) 핫스팟들이라고도 알려진 마스크 레이아웃 내의 임계 패턴들을 식별하는 단계, (2) 스캐너 포커스, 오버레이, 에치 지문 등과 같은 다양한 프로세스 변동들에 대한 이러한 핫스팟들의 감도들을 특성화하는 단계, (3) 처리되는 기판들에 대한 프로세스 변동들을 추정하고, 그러한 프로세스 변동들의 결과로서 핫스팟이 결함들로 될 가장 높은 확률들을 갖는 기판 상의 위치들을 예측하는 단계를 포함하는 여러 단계들을 포함한다. 또한, (4) 검사 도구(예를 들어, e-빔 장치)가 결함들의 실제 발생 또는 결함 크기들의 정확한 결정을 검증하기 위해 그러한 예측된 핫스팟 위치로 안내될 수 있다.
일 실시예에서, 제1 단계 (1)을 참조하면, 마스크 레이아웃 내의 임계 패턴들은 계산적 계측, 패터닝 프로세스 동안의 기판 검사, 또는 이들의 조합에 의해 식별될 수 있다. 그러나, 단계 2-4는 결함들을 비-결함들과 구별하기 위해 핫스팟들의 검사 도구(예를 들어, e-빔/SEM) 기반 계측을 요구한다. 또한, 예를 들어 일반 테스트 패턴들의 CD 계측을 사용하여, 예를 들어 에치 프로세스 유도 또는 트랙 유도 체계적 패터닝 변동들의 기판에 걸친 프로세스 변동들(예컨대, 프로세스 맵들로서 표현됨)을 특성화할 수 있다. 따라서, 정확한 PFM 시스템 성능을 위해 정확하고 강건한 계측이 요구된다.
계측은 일반적으로 스테이지를 의도된 측정 위치로 이동시키고, 데이터(예를 들어, SEM 이미지들)를 획득한 다음, 획득된 데이터(예를 들어, SEM 이미지들)의 분석에 의해 측정 값들을 생성하기 위해 이동-획득-측정(MAM) 액션들의 시퀀스의 실행을 포함한다.
일 실시예에서, 양호한 이미지 품질(또는 일반적으로 양호한 계측 데이터)은 계측 도구가 기판의 표면 상에 적절하게 포커싱되는 것에 의존한다. 계측 도구의 포커싱은 오토포커싱, 즉 "이미지 선명도"를 관찰하는 동안의 포커스를 통한 스캔에 의해 달성될 수 있다. 그러나, 기판에 대한 잠재적인 손상을 방지하기 위해, 포커스 스캔들은 실제 계측 위치에서 수행되지 않을 수 있다. 또한, 포커스 스캔은 높은 처리량을 달성하기 위해 모든 위치에 대해 수행되지는 않을 수 있다. 게다가, 동작 시에, 계측 도구 포커싱은 또한 계측 도구, 패터닝 프로세스, 측정 프로세스 자체, 또는 이들의 조합들 내에서의 드리프트들 또는 편차들을 겪을 수 있다. 따라서, 계측 도구를 통해 획득된 데이터는 포커스 변동들, 예를 들어 SEM 이미지들의 세트 내에서의 포커스 변동들을 포함한다.
포커스를 벗어난 이미지들은 부정확하고 강건하지 않은 계측 데이터(CD, EPE 등)로 이어진다. 예를 들어, 획득된 이미지에서의 가변적인 흐림(varying blurriness)은 피처 에지들의 추정 위치들의 변동들로 이어질 것이다. 따라서, 양호한 이미지들을 불량 이미지들과 구별하기 위해, 이미지 품질의 정량화가 요구된다. 그러나, 이미지들의 특징들(또는 특성들)(예를 들어, 픽셀 강도)에만 기초하는 정량화는 계측 도구 자체로 인한 변동들을 고려하지 못할 수 있다.
일 실시예에서, 그러한 정량화는 계측 도구 자체를 통해 획득된 이미지뿐만 아니라 계측 도구(또는 연관된 프로세스) 유도 가변성도 분석한다. 일 실시예에서, 본 개시는 획득된 이미지들로부터 생성되는 계측 데이터(CD, EPE 등)의 그러한 가변성을 감소시키는 것(일 실시예에서, 최소화하는 것)을 목표로 한다.
도 8은 일 실시예에 따른 계측 데이터의 예시적인 기판 맵(801)을 도시한다. 예를 들어, 계측 데이터는 인쇄된 기판 상의 패턴 크기들의 측정들이다. 계측 데이터는 예를 들어 에치 프로세스에 의해 유도되는 기판에 걸친 패턴 크기 변동들, 즉, 특성화될 신호를 포함한다. 일 실시예에서, 특히 이미지들을 참조할 때, 계측 데이터는 특성화될 신호로 지칭된다. 기판 맵(801)은 또한 패턴 크기 변동들의 비교적 낮은 값들을 갖는 다수의 위치(805)를 나타낸다. 그러나, 측정들은 그러한 위치들(예를 들어, 805)에서의 포커싱 문제들에 의해 영향을 받을 수 있다. 포커싱 문제는 위치들(805)에서 획득된 흐려진 이미지들(811)로부터 명백할 수 있다. 그러한 영향을 받는 측정들은 결함들의 검증 또는 패터닝 프로세스의 파라미터(예컨대, CD, 오버레이)에 대응하는 기판 맵의 생성에 영향을 미칠 것이다. 예시의 목적을 위해, 도 8에 도시된 예는 기판 맵으로부터 식별하기가 비교적 용이한 체계적 편차를 야기하는 소정의 일시적인 도구 문제를 암시하지만, 더 현실적인 경우들에서 그러한 문제들은 인식하기에 상당히 더 어려울 것이다.
도 9는 일 실시예에 따른 계측 데이터에 대한 보정을 결정하기 위한 방법의 흐름도이다. 컴퓨팅 시스템(예를 들어, 도 15의 컴퓨팅 시스템(100))을 통해, 계측 도구(예를 들어, 산란계, SEM 또는 e-빔)을 통해 수집된 계측 데이터에 대한 보정을 결정하기 위한 방법은 품질 메트릭의 사용을 수반한다. 본 명세서에서 이미지 품질 메트릭으로 지칭되는 품질 메트릭은 계측 도구로부터 획득된 이미지의 품질을 정량화하는 메트릭이다. 품질 메트릭의 예는 계측 도구(예를 들어, HMI)과 연관된 포커스 인덱스(FI) 값이다. 또한, 품질 메트릭은 계측 도구 또는 관련 프로세스들에서의 변동들을 고려하기 위해 계측 데이터와 상관된다. 또한, 품질 메트릭과 계측 데이터 사이의 상관관계를 사용하여 계측 데이터에 대한 보정을 결정하는 보정 모델이 정의된다. 보정된 계측 데이터는 패터닝 프로세스의 상이한 파라미터들 또는 패터닝 프로세스의 성능에 대응하는 상이한 기판 맵을 생성하기 위해 추가로 사용될 수 있다. 보정된 계측 데이터는 또한, 기판 상의 결함 위치들 또는 마스크 상의 핫 스팟들을 결정/검증하는 데 사용될 수 있다. 일 실시예에서, 식별된 결함 위치들에 기초하여, 계측 도구는 기판 상의 결함들이 감소되도록 패터닝 프로세스에 대한 개선들/조정들을 가능하게 하기 위해 추가적인 측정들을 취하기 위해 결함 위치들 또는 핫 스팟들로 안내될 수 있다.
도 9를 참조하면, 방법은, 프로세스 P92에서, (i) 패터닝 프로세스를 겪은 기판의 계측 데이터(901) 및 (ii) 기판의 계측 데이터(901)의 품질을 정량화하는 품질 메트릭(902)을 획득하는 단계를 포함한다. 일 실시예에서, 계측 데이터(901)는 산란계 또는 SEM과 같은 계측 도구로부터의 측정들일 수 있다. 일 실시예에서, 계측 데이터(901)는 기판의 이미지, 예를 들어 SEM 이미지, 즉 주사 전자 현미경(SEM)으로부터 획득된 이미지일 수 있다. 이미지는 픽셀화된 이미지일 수 있으며, 각각의 픽셀은 특정 강도를 갖는다. 이미지는 각각의 픽셀의 강도들의 벡터로서 표현될 수 있다. 또한, 픽셀 또는 픽셀들의 그룹은 기판의 특정 위치를 지칭한다.
일 실시예에서, 이미지 품질은 이미지에서의 기판의 하나 이상의 피처(예를 들어, 바, 비아, 콘택 홀 등)의 흐림 또는 선명함의 양을 지칭할 수 있다. 일 실시예에서, 이미지 품질은 계측 도구의 포커스와 같은 계측 도구의 특성과 연관된 변동들로 인해 영향을 받는다. 일 실시예에서, 품질 메트릭(예를 들어, FI)은 기판의 이미지에서 계통적 변동들을 유도하는 계측 도구의 특성(예를 들어, 포커스)에 기초하여 정의될 수 있다. 일 실시예에서, 품질 메트릭은 도 13a-13b 및 도 14a-14b에 도시된 바와 같이 패터닝 프로세스에서 사용되는 도즈의 변동들과 무관하다.
일 실시예에서, 품질 메트릭은 계측 도구에 의해 캡처된 기판의 이미지의 포커스 인덱스이다. 포커스 인덱스는 이미지의 선명도에 관련되고, 선명도 인덱스로서 대안적으로 지칭될 수 있다. 포커스 인덱스는 불량 이미지와 양호한 이미지를 구별하는 것을 돕는다. 일 실시예에서, 포커스 인덱스는 0 내지 1의 값일 수 있고, 0은 최악의 이미지 품질을 나타내고, 1은 최상의 이미지 품질을 나타낸다. 예를 들어, 도 10의 플롯(plot)은 일 실시예에 따른 포커스 인덱스와 이미지 품질 사이의 관계를 나타낸다.
도 10은 포커스 인덱스가 감소함에 따라 이미지 품질이 감소하는 것을 도시한다. 예를 들어, 포커스 인덱스가 0.9로부터 0.65로 감소함에 따라, 이미지가 더 흐려질 뿐만 아니라, 선명도 및 콘트라스트가 감소된다. 예를 들어, 포커스 인덱스가 감소함에 따라 이미지들(1001, 1002, 1003, 1004, 1005)이 어떻게 점차 덜 흐려지고 덜 선명해지는지를 참고한다. 0.65의 포커스 인덱스 값을 넘으면, 이미지 품질은 이미지가 단순히 기판의 피처들이 없는 회색 픽셀화된 이미지(1010)인 지점까지 기하급수적으로 감소한다.
일 실시예에서, 포커스 인덱스는 스케일-공간에서의 이웃하는 웨이블릿 계수들 사이의 기판 상의 피처 위치의 부근에서의 위상 관계를 나타내는 국지적 위상 코히어런스 맵(LPC)에 기초하여 결정된다. 위상 정보는 다양한 유형들의 신호들에서 중요한 구조적 특징들(예컨대, 기판의 특징들)을 보존한다. 일 실시예에서, LPC 맵은 다중 스케일로 국지화된 크기 및 위상 정보를 제공하는 복잡한 웨이블릿 분석 도구에 기초하여 구축된다. 예시적인 LPC 계산은 논문 No-reference image sharpness assessment based on local phase coherence measurement, R. Hassen, Z. Wang and M. Salama, 2010 IEEE International Conference on Acoustics, Speech and Signal Processing, Dallas, TX, 2010, pp. 2434-2437에서 논의되며, 이에 따라 이 논문은 그 전체가 참고로 통합된다.
일 실시예에서, 포커스 인덱스는 이미지 상의 다른 위치들에 비해 상대적으로 더 높은 기울기를 갖는 계측 이미지로부터 선택된 샘플에 대해 결정된다. 일 실시예에서, 샘플은 최대 기울기를 갖는 이미지의 영역으로부터 선택된다. 일 실시예에서, 포커스 인덱스는 계측 이미지의 일부분의 평균 값일 수 있다. 예를 들어, 도 11은 일 실시예에 따른 포커스 인덱스를 계산하는 예를 도시한다.
도 11에서, 기판의 풀 이미지(1101)가 계측 도구, 예를 들어 SEM으로부터 획득될 수 있다. 예를 들어, 이미지(1101)는 4096x4096 픽셀화된 이미지일 수 있다. 그 다음, 풀 이미지(1101)의 일부(1110)가 선택될 수 있다. 예를 들어, 일부(1110)는 512x512 픽셀 영역일 수 있다. 선택된 일부(1110)는 그리드(1102)(예를 들어, 4x4 그리드)로 더 분할될 수 있다. 포커스 인덱스(FI)가 각각의 그리드 요소(1102)에 대해 결정될 수 있고, 이어서 포커스 인덱스의 평균값이 개별 포커스 인덱스들을 합산하고 그리드 요소들의 총 수(예를 들어, 4x4 그리드에서 16)로 나눔으로써 계산될 수 있다.
포커스 인덱스는 품질 메트릭의 일례라는 것을 이해해야 한다. 그러나, 본 방법은 포커스 인덱스로 제한되지 않으며, 계측 도구 변동들에 대하여 이미지 품질을 정량화하는 신호 대 잡음비와 같은 임의의 다른 품질 메트릭이 개발될 수 있고, 본 개시의 범위 내에서 사용될 수 있다.
또한, 프로세스 P94에서, 방법은 컴퓨팅 시스템(예를 들어, 도 15의 컴퓨팅 시스템(100))을 통해, 품질 메트릭과 계측 데이터 사이의 상관관계를 확립하는 단계를 포함한다. 일 실시예에서, 상관관계는 측정들의 통계적 분석 또는 산란 플롯 및 품질 메트릭에 기초하여 확립된 선형 관계일 수 있다. 일 실시예에서, 상관관계는 선형 방정식, 비선형 방정식, 또는 데이터베이스 테이블의 형태일 수 있다. 일 실시예에서, 측정되는 패턴에 따라 하나 이상의 상관관계가 개발될 수 있다. 예를 들어, 제1 패턴(예를 들어, 콘택 홀들)에 관련된 측정들에 대해 제1 상관관계가 확립될 수 있다. 유사하게, 제2 패턴(예를 들어, 바들)에 관련된 측정들에 대해 제2 상관관계가 확립될 수 있다. 일 실시예에서, 상관관계는 기판의 나머지 위치들에 대한 특정 위치에서의 피처들의 상대적 밀도에 기초할 수 있다. 예를 들어, 기판 상의 다른 위치들에 비해 더 높은 밀도의 피처들을 갖는 위치들(예를 들어, 핫 스팟들)은 위치에 특정적인 별개의 상관관계를 가질 수 있다. 도 12는 일 실시예에 따른 포커스 인덱스와 계측 데이터 사이의 상관관계(1205)의 예이다.
도 12에서, 상관관계(1205)는 전체 기판에 걸쳐 수집된 측정들(1201)에 대한 포커스 인덱스와 CD 측정치들(CDx) 사이에 확립된다. 전체 기판이 측정됨에 따라, CD 측정들은 모든 패터닝 프로세스 가변성을 포함할 수 있다. 따라서, 이러한 상관관계는 모든 패터닝 프로세스 가변성을 갖는 계측 데이터를 보정하는 데 사용될 수 있다. 도 12의 예시적인 플롯에서, 상관관계(1205)는 상관관계(1205)를 나타내는 라인(예를 들어, 1205)의 기울기에 의해 특성화되는 선형 관계이다. 상관관계(1205)는 예를 들어 본 개시에서 나중에 논의되는 보정 모델을 사용하여 CD 측정들을 보정하는 데 추가로 사용될 수 있다.
일 실시예에서, 상관관계를 확립하기 위한 더 정확하고 효율적인 방법은 예를 들어 CD(또는 피처 영역, EPE 등) 변동, 또는 패터닝 프로세스의 다른 파라미터들의 변동들의 다른 소스들을 최소화하는 샘플링 스킴을 이용하는 제어된 e-빔 포커스 변동에 의한 계측 레시피 셋업 동안의 상관관계의 특성화를 포함한다.
확립된 상관관계는 프로세스 P96에서 계측 데이터에 대한 보정을 결정하는 데 추가로 사용될 수 있다. 프로세스 P96은 품질 메트릭과 계측 데이터 사이의 상관관계에 기초하여 계측 데이터에 대한 보정을 결정하는 단계를 포함한다. 일 실시예에서, 보정을 결정하는 단계는 보정 모델에 기초하여 계측 도구에 의해 측정된 파라미터의 보정된 값을 결정하는 단계를 포함한다. 보정은, 통상적으로 임계값이 흐린 이미지를 식별하고 폐기하도록 정의되는 다른 이미지 처리 접근법들과는 대조적으로, 불량 이미지를 양호한 이미지와 구별하기 위한 FI 임계값을 설정하지 않고 적용될 수 있다. 예를 들어, 상관관계가 선형 관계일 때, 보정된 값은 품질 메트릭과 계측 데이터 사이의 상관관계의 기울기, 및 기판에 걸친 품질 메트릭의 최대 값과 기판 상의 관심 지점에서의 품질 메트릭의 값 사이의 차이에 기초하여 결정된다. 일 실시예에서, 측정은 예를 들어 프로세스 시뮬레이션들(예를 들어, 광학계, 레지스트, 에치 등)의 결과들에 대한 흐린 이미지들의 영향을 감소시키도록 보정된다.
예시적인 선형 보정 모델은 다음과 같이 정의될 수 있다:
Figure pct00001
위의 수학식에서, newVal은 계측 데이터의 보정된 값을 나타내고, slope는 상관관계를 나타내는 라인의 기울기(예를 들어, 도 12의 라인(1205)의 기울기)이고, max(FI)는 기판 전체에 걸친 FI의 최대 값이고, FI는 특정 위치(즉, 핫 스팟과 같은 관심 위치)에서의 포커스 인덱스이고, oldVal은 계측 도구를 통해 수집된 계측 데이터를 나타낸다. 연산자 *는 곱셈 연산자이다.
일 실시예에서, 방법은 품질 메트릭과 계측 데이터 사이의 상관관계에 기초하여 기계 학습 모델과 같은 보정 모델을 트레이닝하는 단계를 더 포함할 수 있다. 일 실시예에서, 보정 모델은 패터닝 프로세스 동안 수집된 계측 데이터에 대한 실시간 보정들을 결정하도록 구성될 수 있다. 일 실시예에서, 예를 들어 앞서 논의된 바와 같이 상이한 패턴들에 대해 개발된 하나 이상의 상관관계 및 하나 이상의 대응하는 보정 모델이 데이터베이스들에 저장될 수 있다. 예를 들어, 특정 패턴(예를 들어, 핫 스팟 패턴)에 대응하는 보정 모델, 및 다른 특정 패턴(예를 들어, 비-핫 스팟 패턴)에 대응하는 다른 보정 모델. 따라서, 일 실시예에서, 계측 데이터에 대한 실시간 보정이 수행될 수 있는데, 예를 들어 데이터가 계측 도구에 의해 수집되자마자 계측 데이터를 보정하기 위해, 위치, 패턴 등에 따라 적절한 상관관계 및 보정 모델이 선택될 수 있다. 일 실시예에서, 그러한 보정 모델들의 데이터베이스는 계측 도구 자체에 저장될 수 있거나, 계측 도구는 그러한 보정 모델들 및 상관관계들을 저장하는 외부 데이터베이스와 통신하도록 구성될 수 있다.
일 실시예에서, 이미지 품질 메트릭들과 CD 측정들 사이의 상관관계는 예를 들어 계측 레시피 셋업 및 검증 동안 보정 모델을 트레이닝한 다음에 각각의 계측 레시피 내에서 후속 실시간 보정들에 대해 트레이닝된 보정 모델을 적용함으로써 확립될 수 있다.
일 실시예에서, 전술한 방법은 다이-대-데이터베이스 응용(die-to-database application)을 위해 추가로 확장될 수 있다. 다이-대-데이터베이스 응용에서, 타겟 설계와 같은 패턴 설계 정보가 데이터베이스에 저장될 수 있다. 이러한 타겟 설계 정보는 이미지 품질 메트릭들 및 보정의 유효성을 향상시키도록 상관관계 내에 타겟 피처 크기들, 패턴 밀도 등과 같은 패턴 정보를 통합하기 위해 데이터베이스로부터 추출될 수 있다. 예를 들어, 상관관계 모델은 인쇄된 기판 내의 특정 다이의 측정들에 기초하여 트레이닝될 수 있는 상이한 패턴들 및 대응하는 계수들에 관련된 상이한 항들을 포함할 수 있다. 예를 들어, CD와 포커스 인덱스 사이의 상관관계는 CD = a1*FI + a2*FI*pattern1+ a3*FI*pattern2로서 정의될 수 있다. 수학식에서, a1, a2 a3은 계수들이고, pattern1pattern2는 제1 패턴 및 제2 패턴에 대응하는 카테고리 변수들을 나타낸다.
일 실시예에서, 패턴 카테고리를 생성하기 위해 피처들(예컨대, 콘택 홀들)의 크기 및 유형과 같은 피처 특성에 기초하여 다수의 패턴이 그룹화될 수 있다. 패턴 카테고리는 유사한 피처 특성들을 갖는 상이한 패턴들을 포함한다. 또한, 상관관계 및 대응하는 보정 모델이 패턴의 각각의 카테고리에 대해 (앞서 논의된 것과 유사한 방식으로) 개발될 수 있고, 따라서 계측 데이터에 대한 카테고리 특정 보정을 가능하게 한다. 따라서, 방법은 패턴의 그룹에 속하는 패턴의 계측 데이터에 대한 보정을 결정하는 것으로 확장될 수 있다.
일 실시예에서, 방법은 또한 포커스와 관련없는 이미지 콘트라스트 변동들 - 예컨대, 필름 스택 변동들 -을 완화하는 데 효과적일 수 있다. 예를 들어, 두께, 재료 등을 포함하는 필름 스택 변동들에 기초하는 품질 메트릭이 정의될 수 있다. 이러한 품질 메트릭은 필름 스택에 대응하는 계측 데이터와 추가로 상관될 수 있고, 상관관계는 계측 데이터를 보정하는 데 사용된다. 일 실시예에서, 계측 데이터는 인쇄된 기판의 이미지, 또는 패터닝 프로세스의 파라미터를 포함한다. 예를 들어, 패터닝 프로세스의 파라미터는 임계 치수, 에지 배치 에러, 또는 오버레이이다.
일 실시예에서, 프로세스 P98에서, 방법은 계측 데이터에 적용된 보정에 기초하여 패터닝 프로세스의 파라미터의 맵을 생성하기 위한 단계들을 더 포함할 수 있다. 예를 들어, 보정된 데이터는 기판의 도즈 맵, 포커스 맵, CD 맵, 오버레이 맵, 에지 배치 에러 맵 등을 생성하는 데 사용될 수 있다. 일 실시예에서, 패터닝된 기판 오버레이 계측 데이터가 하나 이상의 기판에 대해 획득되고, 도 9의 방법에 따라 보정된다. 이어서, 적어도 2개의 패턴 전사 각각에 대한 하나 이상의 유형의 리소그래피 장치 처리 변수들의 기여들이 보정된 오버레이 계측 데이터로부터 제거된다. 제거될 수 있는 하나의 유형의 기여는 예를 들어 리소그래피 장치의 레벨링 센서로부터 획득되는 기판 높이 맵의 오버레이 기여이다. 2개의 패턴 전사에 대한 기판 높이 맵들에 대해 차이가 발견될 수 있고, 이어서 차이는 오버레이 값, 따라서 오버레이 기여로 변환될 수 있다. 예를 들어, 높이 차이를 기판의 뒤틀림(warpage) 또는 휨(bend)으로서 간주하고 제1 원리들을 사용하여 X 또는 Y 변위들을 계산함으로써 Z 높이 차이가 X 또는 Y 변위들로 변환될 수 있다(예를 들어, 변위는 예를 들어 기판의 클램핑된 영역 내의 기판의 두께의 절반의 X배 또는 Y배의 변동에 대한 Z의 변동일 수 있거나, 변위는 예를 들어 기판의 클램핑되지 않은 영역에서 키르히호프-러브 플레이트 이론(Kirchhoff-Love plate theory)을 사용하여 계산될 수 있다). 일 실시예에서, 높이의 오버레이 기여로의 변환은 시뮬레이션, 수학적 모델링 또는 실험을 통해 결정될 수 있다. 따라서, 패턴 전사마다 그러한 기판 높이 정보를 사용함으로써, 계측 도구(예를 들어, SEM)의 포커스로 인한 오버레이 영향이 관찰되고 고려될 수 있다.
일 실시예에서, 프로세스 P100에서, 방법은 계측 도구를 계측 데이터에 기초하여 결정된 관심 위치들, 예를 들어 계측 도구의 포커싱 문제들로 인해 높은 포커스 영향을 갖는 위치들로 안내하기 위한 단계들을 더 포함할 수 있다. 일 실시예에서, 관심 위치들은 핫스팟들이다. 일 실시예에서, 안내는 기판(또는 패터닝 디바이스)에 대응하는 프로세스 윈도우 제한 패턴 위치들(예를 들어, 핫스팟들)에서의 측정들을 가능하게 하기 위해 기판(또는 패터닝 디바이스)이 정지 상태로 유지되는 동안에 기판(또는 패터닝 디바이스)에 대해 계측 도구 또는 검사 장치를 이동시키기 위한 신호를 생성하는 것을 포함한다.
전술한 바와 같은 도 9의 방법은 몇 가지 이점을 갖는다. 예를 들어, 프로세스 모델들을 교정하기 위해 계측 데이터를 사용하는 시뮬레이션들에 대한 흐려진 이미지들의 영향이 감소된다. 보정 모델은 (예를 들어, 프로세스 변동들에 관계없이) 측정들을 더 정확하고 강건하게 한다. 측정들이 품질 메트릭에 기초하여 보정됨에 따라, 재검사 시간이 감소되거나 절약되며, 재검사는 통상적으로 기판을 실제로 재측정함으로써 포커스 에러들을 완화하기 위해 수행된다. 방법은 비파괴적 접근법인데, 즉 전자 빔들에 대한 기판의 다수의 노출을 방지한다.
앞서 언급된 바와 같이, 다른 이점은 도 13a-13b 및 도 14a-14b에 도시된 바와 같이 상관관계에서 사용되고 보정 모델에서 추가로 사용되는 품질 메트릭이 파라미터(예를 들어, 도즈/포커스)와 무관하다는 것일 수 있다. 즉, 예를 들어 도즈/포커스의 변동들은 보정 모델에 영향을 미치지 않을 수 있다.
도 13a는 패터닝 장치의 포커스와 제1 패턴(예를 들어, SRAM 패턴들)에 대한 포커스 인덱스 사이의 관계를 도시한다. 산란 플롯에 의해 도시된 바와 같이, 포커스 인덱스는 패터닝 장치의 상이한 포커스 값들에 대해 대략 0.86 내지 0.74로 유지되고, 특정 트렌드가 관찰되지 않는다. 유사하게, 도 13b는 제1 패턴에 대한 포커스 인덱스가 패터닝 장치의 도즈와 무관하다는 것을 나타낸다.
패터닝 장치의 포커스 및 도즈에 대한 품질 메트릭(예를 들어, 포커스 인덱스)의 독립성은 도 14a 및 도 14b에서 상이한 패턴(예를 들어, 로직 비아들)에 대해 더 확인된다. 산란 플롯들에 의해 도시된 바와 같이, 포커스 인덱스는 패터닝 장치의 상이한 포커스 및 도즈 값들에 대해 약 0.86 내지 0.74로 유지되고, 특정 트렌드가 관찰되지 않는다.
도 15는 본 명세서에 개시된 최적화 방법들 및 흐름들을 구현하는 것을 도울 수 있는 컴퓨팅 시스템(100)을 도시하는 블록도이다. 컴퓨팅 시스템(100)은 컴퓨터 시스템(101)과 같은 하나 이상의 개별 컴퓨터 시스템을 포함할 수 있다. 또한, 컴퓨팅 시스템(100)은 예를 들어 계측 도구 또는 계측 도구의 일부를 포함할 수 있다. 컴퓨터 시스템(101)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 버스(102)와 결합되어 정보를 처리하기 위한 프로세서(104)(또는 다수의 프로세서(104, 105))를 포함한다. 컴퓨터 시스템(101)은 또한 버스(102)에 결합되어 프로세서(104)에 의해 실행될 명령어들 및 정보를 저장하는 랜덤 액세스 메모리(RAM) 또는 기타 동적 저장 디바이스와 같은 메인 메모리(106)를 포함한다. 메인 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령어들의 실행 동안 임시 변수들 또는 다른 중간 정보를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(101)은 버스(102)에 결합되어 프로세서(104)에 대한 명령어들 및 정적 정보를 저장하는 판독 전용 메모리(ROM)(108) 또는 기타 정적 저장 디바이스를 더 포함한다. 정보 및 명령어들을 저장하기 위해, 자기 디스크 또는 광 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 결합된다.
컴퓨터 시스템(101)은 컴퓨터 사용자에게 정보를 디스플레이하기 위해 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 버스(102)를 통해 결합될 수 있다. 영숫자 및 다른 키들을 포함하는 입력 디바이스(114)가 정보 및 커맨드 선택들을 프로세서(104)에 통신하기 위해 버스(102)에 결합된다. 다른 유형의 사용자 입력 디바이스는 방향 정보 및 커맨드 선택들을 프로세서(104)에 통신하고 디스플레이(112) 상에서의 커서 이동을 제어하기 위한 마우스, 트랙볼 또는 커서 방향 키들과 같은 커서 제어(116)이다. 이 입력 디바이스는 전형적으로 디바이스가 평면 내의 위치들을 지정할 수 있게 하는 2개의 축, 즉 제1 축(예를 들어, x) 및 제2 축(예를 들어, y)에서의 2개의 자유도를 갖는다. 터치 패널(스크린) 디스플레이도 입력 디바이스로서 사용될 수 있다.
일 실시예에 따르면, 본 명세서에 설명된 프로세스의 부분들은 프로세서(104)가 메인 메모리(106)에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 것에 응답하여 컴퓨터 시스템(101)에 의해 수행될 수 있다. 그러한 명령어들은 저장 디바이스(110)와 같은 다른 컴퓨터 판독 가능 매체로부터 메인 메모리(106) 내로 판독될 수 있다. 메인 메모리(106)에 포함된 명령어들의 시퀀스들의 실행은 프로세서(104)로 하여금 본 명세서에 설명된 프로세스 단계들을 수행하게 한다. 다중 처리 배열의 하나 이상의 프로세서는 또한 메인 메모리(106)에 포함된 명령어들의 시퀀스들을 실행하기 위해 이용될 수 있다. 대안적인 실시예들에서, 하드-와이어드 회로가 소프트웨어 명령어들 대신에 또는 그와 조합하여 사용될 수 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
본 명세서에서 사용되는 바와 같은 "컴퓨터 판독가능 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어들을 제공하는 데 참여하는 임의의 매체를 지칭한다. 그러한 매체는 비휘발성 매체, 휘발성 매체, 전송 매체를 포함하지만 이에 한정되지 않는 많은 형태를 취할 수 있다. 비휘발성 매체는 예를 들어 저장 디바이스(110)와 같은 광 또는 자기 디스크들을 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하는 동축 케이블들, 구리 와이어 및 광섬유를 포함한다. 전송 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 동안 생성되는 것들과 같은 음향파 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독가능 매체의 일반적인 형태들은 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍들의 패턴들을 갖는 임의의 다른 물리적 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지, 이하 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체가 하나 이상의 명령어의 하나 이상의 시퀀스를 실행을 위해 프로세서(104)로 운반하는 데 관련될 수 있다. 예를 들어, 명령어들은 처음에 원격 컴퓨터의 자기 디스크 상에 놓일 수 있다. 원격 컴퓨터는 명령어들을 그의 동적 메모리로 로딩하고, 모뎀을 사용하여 전화선을 통해 명령어들을 전송할 수 있다. 컴퓨터 시스템(101)에 국지적인 모뎀은 전화선 상에서 데이터를 수신하고 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스(102)에 결합된 적외선 검출기는 적외선 신호로 운반된 데이터를 수신하고 데이터를 버스(102)에 배치할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 운반하고, 메인 메모리로부터 프로세서(104)는 명령어들을 검색하고 실행한다. 메인 메모리(106)에 의해 수신된 명령어들은 선택적으로, 프로세서(104)에 의한 실행 이전에 또는 이후에 저장 디바이스(110)에 저장될 수 있다.
컴퓨터 시스템(101)은 또한 버스(102)에 결합된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결된 네트워크 링크(120)에 대한 양방향 데이터 통신 결합을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 유형의 전화선에 대한 데이터 통신 연결을 제공하는 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 LAN에 대한 데이터 통신 연결을 제공하는 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크들도 구현될 수 있다. 임의의 이러한 구현에서, 통신 인터페이스(118)는 다양한 유형의 정보를 나타내는 디지털 데이터 스트림들을 운반하는 전기, 전자기 또는 광 신호들을 송신하고 수신한다.
네트워크 링크(120)는 전형적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스들로의 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124)로의 또는 인터넷 서비스 제공자(ISP)(126)에 의해 운영되는 데이터 장비로의 연결을 제공할 수 있다. 이어서, ISP(126)는 현재 흔히 "인터넷"(128)이라고 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스들을 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 모두 디지털 데이터 스트림들을 운반하는 전기, 전자기 또는 광 신호들을 사용한다. 컴퓨터 시스템(101)으로 그리고 컴퓨터 시스템(101)으로부터 디지털 데이터를 운반하는 다양한 네트워크들을 통한 신호들 및 네트워크 링크(120) 상의 그리고 통신 인터페이스(118)를 통한 신호들은 정보를 전송하는 반송파들의 예시적인 형태들이다. 컴퓨팅 시스템(100)을 포함하는 하나 이상의 컴퓨터 시스템(101s) 사이의 통신은 다양한 매체들 중 임의의 것을 통해 발생할 수 있다. 예를 들어, 하나 이상의 컴퓨터 시스템(101s) 사이의 통신은 로컬 네트워크(122), 인터넷(128), 와이파이 네트워크, 셀룰러 네트워크, 또는 임의의 다른 사용 가능한 통신 매체를 통해 발생할 수 있다.
컴퓨터 시스템(101)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해 메시지들을 송신하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122), 및 통신 인터페이스(118)를 통해 애플리케이션 프로그램에 대한 요청된 코드를 송신할 수 있다. 하나 이상의 실시예에 따르면, 하나의 이러한 다운로드된 애플리케이션은 예를 들어 실시예의 조명 최적화를 제공한다. 수신된 코드는 수신됨에 따라 프로세서(104)에 의해 실행되거나, 나중의 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(101)은 반송파의 형태로 애플리케이션 코드를 획득할 수 있다.
도 16은 다음을 포함하는 다른 예시적인 리소그래피 투영 장치(LA)를 개략적으로 도시한다:
- 복사선을 제공하는 소스 수집기 모듈(SO);
- 소스 수집기 모듈(SO)로부터의 복사선 빔(B)(예를 들어, EUV 복사선)을 조절하도록 구성된 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 접속된 지지 구조(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 접속된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 타겟 부분(C)(예를 들어, 하나 이상의 다이를 포함함) 상에 패터닝 디바이스(MA)에 의해 복사선 빔(B)에 부여되는 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS).
여기에 도시된 바와 같이, 장치(LA)는 (예를 들어, 반사 마스크를 사용하는) 반사 유형이다. 대부분의 재료들은 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴과 실리콘의 다층 스택을 포함하는 다층 반사기들을 가질 수 있다는 점에 유의해야 한다. 일례에서, 다중 스택 반사기는 몰리브덴 및 실리콘의 40층 쌍을 가지며, 여기서 각각의 층의 두께는 1/4 파장이다. 훨씬 더 작은 파장들이 X선 리소그래피로 생성될 수 있다. 대부분의 재료는 EUV 및 x선 파장들에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수 재료(예컨대, 다층 반사기의 상부의 TaN 흡수기)의 얇은 조각이 피처들이 인쇄되는 곳(포지티브 레지스트) 또는 인쇄되지 않는 곳(네거티브 레지스트)을 정의한다.
도 16을 참조하면, 조명기(IL)는 소스 수집기 모듈(SO)로부터 극자외선 복사선 빔을 수신한다. EUV 복사선을 생성하기 위한 방법들은 EUV 범위의 하나 이상의 방출 라인을 갖는 적어도 하나의 원소, 예를 들어 제논, 리튬 또는 주석을 갖는 플라즈마 상태로 물질을 변환하는 것을 포함하지만, 이에 반드시 제한되지는 않는다. 종종 레이저 생성 플라즈마(LPP)라고 지칭되는 하나의 그러한 방법에서, 플라즈마는 레이저 빔으로 라인 방출 원소를 갖는 물질의 액적, 스트림 또는 클러스터와 같은 연료를 조사하는 것에 의해 생성될 수 있다. 소스 수집기 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위해 도 16에 도시되지 않은 레이저를 포함하는 EUV 복사선 시스템의 일부일 수 있다. 결과적인 플라즈마는 소스 수집기 모듈에 배치된 복사선 수집기를 사용하여 수집되는 출력 복사선, 예를 들어 EUV 복사선을 방출한다. 레이저 및 소스 수집기 모듈은 예를 들어 연료 여기를 위한 레이저 빔을 제공하기 위해 CO2 레이저가 사용될 때 별개의 엔티티들일 수 있다.
그러한 경우들에서, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 복사선 빔은 예를 들어 적당한 지향 미러들 또는 빔 확장기를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 수집기 모듈로 전달된다. 다른 경우들에서, 복사선 소스는 예를 들어 복사선 소스가 DPP 복사선 소스라고 종종 지칭되는 방전 생성 플라즈마 EUV 생성기일 때 소스 수집기 모듈의 일체로 된 부분일 수 있다.
조명기(IL)는 복사선 빔의 각도 강도 분포를 조정하기 위한 조정기를 포함할 수 있다. 일반적으로, 조명기의 동공 평면 내의 강도 분포의 적어도 외측 또는 내측 방사상 범위(흔히 각각 σ-외측 및 σ-내측이라고 지칭됨)가 조정될 수 있다. 또한, 조명기(IL)는 패싯형 필드(facetted field) 및 동공 미러 디바이스들과 같은 다양한 다른 컴포넌트들을 포함할 수 있다. 조명기는 그의 단면에서 원하는 균일성 및 강도 분포를 갖도록 복사선 빔을 조절하는 데 사용될 수 있다.
복사선 빔(B)은 지지 구조(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후에, 복사선 빔(B)은 투영 시스템(PS)을 통과하고, 투영 시스템(PS)은 빔을 기판(W)의 타겟 부분(C) 상에 포커싱한다. 제2 포지셔너(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 복사선 빔(B)의 경로에 상이한 타겟 부분들(C)을 위치시키기 위해 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 위치 센서(PS1)는 복사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 사용하여 정렬될 수 있다.
도시된 장치(LA)는 다음 모드들 중 적어도 하나에서 사용될 수 있다.
1. 스텝 모드에서, 지지 구조(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)이 본질적으로 정적으로 유지되는 동안, 복사선 빔에 부여된 전체 패턴이 한꺼번에 타겟 부분(C) 상에 투영된다(즉, 단일 정적 노광). 다음으로, 기판 테이블(WT)은 상이한 타겟 부분(C)이 노광될 수 있도록 X 또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)이 동기적으로 스캐닝되는 동안, 복사선 빔에 부여된 패턴이 타겟 부분(C) 상에 투영된다(즉, 단일 동적 노광). 지지 구조(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다.
3. 다른 모드에서, 지지 구조(예를 들어, 마스크 테이블)(MT)는 본질적으로 정적으로 유지되어 프로그래밍 가능 패터닝 디바이스를 유지하며, 기판 테이블(WT)은 복사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로, 펄스형 복사선 소스가 이용되며, 프로그래밍 가능 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안의 연속적인 복사선 펄스들 사이에 필요에 따라 업데이트된다. 이 동작 모드는 앞서 언급된 바와 같은 유형의 프로그래밍 가능 미러 어레이와 같은 프로그래밍 가능 패터닝 디바이스를 이용하는 마스크리스 리소그래피에 용이하게 적용될 수 있다.
도 17은 소스 수집기 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하는 장치(LA)를 더 상세히 도시한다. 소스 수집기 모듈(SO)은 소스 수집기 모듈(SO)의 인클로징 구조(220) 내에 진공 환경이 유지될 수 있도록 구성되고 배열된다. EUV 복사선 방출 플라즈마(210)가 방전 생성 플라즈마 복사선 소스에 의해 형성될 수 있다. EUV 복사선은 전자기 스펙트럼의 EUV 범위의 복사선을 방출하기 위해 매우 뜨거운 플라즈마(210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 매우 뜨거운 플라즈마(210)는 예를 들어 적어도 부분적으로 이온화된 플라즈마를 유발하는 전기 방전에 의해 생성된다. 예를 들어, Xe, Li, Sn 증기 또는 임의의 다른 적합한 가스 또는 증기의 10 Pa의 분압들이 복사선의 효율적인 생성을 위해 요구될 수 있다. 일 실시예에서, EUV 복사선을 생성하기 위해, 여기된 주석(Sn)의 플라즈마가 제공된다.
뜨거운 플라즈마(210)에 의해 방출되는 복사선은 소스 챔버(211) 내의 개구 내에 또는 그 뒤에 위치되는 선택적인 가스 장벽 또는 오염물 트랩(230)(일부 경우들에서는 오염물 장벽 또는 포일 트랩으로도 지칭됨)을 통해 소스 챔버(211)로부터 수집기 챔버(212) 내로 전달된다. 오염물 트랩(230)은 채널 구조를 포함할 수 있다. 오염물 트랩(230)은 또한 가스 장벽 또는 가스 장벽과 채널 구조의 조합을 포함할 수 있다. 본 명세서에서 더 지시되는 오염물 트랩 또는 오염물 장벽(230)은 적어도 이 분야에 공지된 채널 구조를 포함한다.
수집기 챔버(211)는 소위 그레이징 입사 수집기(grazing incidence collector)일 수 있는 복사선 수집기(CO)를 포함할 수 있다. 복사선 수집기(CO)는 업스트림 복사선 수집기 측(251) 및 다운스트림 복사선 수집기 측(252)을 갖는다. 수집기(CO)를 가로지르는 복사선은 격자 스펙트럼 필터(240)로부터 반사되어 쇄선 "O"로 표시된 광학 축을 따라 가상 소스 지점(IF)에 포커싱될 수 있다. 가상 소스 지점(IF)은 일반적으로 중간 포커스로 지칭되고, 소스 수집기 모듈은 중간 포커스(IF)이 인클로징 구조(220)의 개구(221)에 또는 그 근처에 위치되도록 배열된다. 가상 소스 지점(IF)은 복사선 방출 플라즈마(210)의 이미지이다.
후속하여, 복사선은 패터닝 디바이스(MA)에서의 복사선 강도의 원하는 균일성뿐만 아니라, 패터닝 디바이스(MA)에서의 복사선 빔(21)의 원하는 각도 분포를 제공하도록 배열되는 패싯형 필드 미러 디바이스(22) 및 패싯형 동공 미러 디바이스(24)를 포함할 수 있는 조명 시스템(IL)을 가로지른다. 지지 구조(MT)에 의해 유지되는 패터닝 디바이스(MA)에서의 복사선 빔(21)의 반사 시에, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의해 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되는 기판(W) 상에 이미징된다.
도시된 것보다 많은 요소가 일반적으로 조명 광학계 유닛(IL) 및 투영 시스템(PS)에 존재할 수 있다. 격자 스펙트럼 필터(240)는 선택적으로 리소그래피 장치의 유형에 따라 존재할 수 있다. 또한, 도면들에 도시된 것들보다 더 많은 미러가 존재할 수 있으며, 예를 들어 도 22에 도시된 것보다 1 내지 6개 더 많은 반사 요소가 투영 시스템(PS)에 존재할 수 있다.
수집기 광학계(CO)는 도 17에 도시된 바와 같이 단지 수집기(또는 수집기 미러)의 예로서 그레이징 입사 반사기들(253, 254 및 255)을 갖는 내포형 수집기(nested collector)로서 도시된다. 그레이징 입사 반사기들(253, 254 및 255)은 광학 축(O) 주위에 축 대칭으로 배치되고, 이러한 유형의 수집기 광학계(CO)는 방전 생성 플라즈마 소스와 조합하여 바람직하게 사용된다.
대안적으로, 소스 수집기 모듈(SO)은 도 18에 도시된 바와 같이 LPP 복사선 시스템의 일부일 수 있다. 레이저(LAS)는 제논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료 내에 레이저 에너지를 축적하여, 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(210)를 생성하도록 배열된다. 이러한 이온들의 여기 해제 및 재결합 동안 생성되는 고에너지 복사선은 플라즈마로부터 방출되고, 거의 수직 입사 수집기 광학계(CO)에 의해 수집되고, 인클로징 구조(220) 내의 개구(221) 상에 포커싱된다.
실시예들은 이하의 조항들을 사용하여 추가로 설명될 수 있다.
1. 패터닝 프로세스의 계측 데이터를 보정하기 위한 방법으로서,
(i) 상기 패터닝 프로세스를 겪은 기판의 계측 데이터 및 (ii) 상기 기판의 상기 계측 데이터의 품질을 정량화하는 품질 메트릭을 획득하는 단계;
컴퓨팅 시스템을 통해, 상기 품질 메트릭과 상기 계측 데이터 사이의 상관관계를 확립하는 단계; 및
상기 컴퓨팅 시스템을 통해, 상기 품질 메트릭과 상기 계측 데이터 사이의 상기 상관관계에 기초하여 상기 계측 데이터에 대한 보정을 결정하는 단계를 포함하는, 방법.
2. 조항 1에 있어서, 상기 보정을 결정하는 단계는 보정 모델에 기초하여 상기 계측 데이터의 보정된 값을 결정하는 단계를 포함하고, 상기 계측 데이터는 계측 도구를 통해 획득되는, 방법.
3. 조항 2에 있어서, 상기 보정된 값은 상기 품질 메트릭과 상기 계측 데이터 사이의 상기 상관관계의 기울기, 및 상기 기판에 걸친 상기 품질 메트릭의 최대 값과 상기 기판 상의 관심 지점에서의 상기 품질 메트릭의 값 사이의 차이에 기초하여 결정되는, 방법.
4. 조항 1 내지 조항 3 중 어느 하나에 있어서, 상기 품질 메트릭은 상기 계측 도구를 통해 캡처된 상기 기판의 이미지의 포커스 인덱스인, 방법.
5. 조항 4에 있어서, 상기 포커스 인덱스는 스케일-공간에서의 이웃하는 웨이블릿 계수들 사이의, 상기 기판 상의 피처 위치 부근에서의 위상 관계를 나타내는 국지적 위상 코히어런스 맵에 기초하여 결정되는, 방법.
6. 조항 4 또는 조항 5에 있어서, 상기 포커스 인덱스는 상기 이미지 상의 다른 위치들에 비해 상대적으로 더 높은 기울기를 갖는 상기 이미지로부터 선택된 샘플에 기초하여 결정되는, 방법.
7. 조항 6에 있어서, 상기 샘플은 상기 이미지의 나머지 영역들에 비해 상대적으로 높은 기울기를 갖는 상기 이미지의 영역인, 방법.
8. 조항 1 내지 조항 7 중 어느 하나에 있어서, 상기 품질 메트릭은 상기 패터닝 프로세스에서 사용되는 도즈의 변동들과 무관한, 방법.
9. 조항 1 내지 조항 8 중 어느 하나에 있어서, 상기 계측 데이터는 인쇄된 기판의 이미지 또는 상기 패터닝 프로세스의 파라미터를 포함하는, 방법.
10. 조항 1 내지 조항 9 중 어느 하나에 있어서, 상기 패터닝 프로세스의 상기 파라미터는 임계 치수, 에지 배치 에러 또는 오버레이인, 방법.
11. 조항 1 내지 조항 10 중 어느 하나에 있어서, 상기 계측 데이터에 적용된 상기 보정에 기초하여 상기 패터닝 프로세스의 파라미터의 맵을 생성하는 단계를 더 포함하는, 방법.
12. 조항 11에 있어서, 상기 맵은 도즈 맵, 포커스 맵, 임계 치수(CD) 맵, 오버레이 맵 또는 에지 배치 에러 맵인, 방법.
13. 조항 1 내지 조항 12 중 어느 하나에 있어서, 상기 계측 데이터는 주사 전자 현미경 이미지 또는 e-빔 이미지인, 방법.
14. 조항 1 내지 조항 13 중 어느 하나에 있어서, 상기 품질 메트릭과 상기 계측 데이터 사이의 상기 상관관계에 기초하여 보정 모델을 트레이닝하는 단계를 더 포함하고, 상기 보정 모델은 상기 패터닝 프로세스 동안 수집된 계측 데이터에 대한 실시간 보정들을 결정하도록 구성되는, 방법.
15. 조항 1 내지 조항 14 중 어느 하나에 있어서, 상기 보정 모델은 계측 도구에 포함되는, 방법.
16. 패터닝 프로세스의 계측 데이터의 실시간 보정을 수행하기 위한 방법으로서,
(i) 상기 패터닝 프로세스를 겪은 기판의 계측 데이터 및 (ii) 특정 패턴에 대한 상기 계측 데이터와 품질 메트릭 사이의 상관관계를 구현하는 보정 모델을 획득하는 단계; 및
컴퓨팅 시스템을 통해, 상기 보정 모델에 기초하여 상기 특정 패턴의 상기 계측 데이터에 대한 보정을 결정하는 단계를 포함하는, 방법.
17. 조항 16에 있어서, 상기 보정을 결정하는 단계는 상기 보정 모델에 기초하여 상기 계측 데이터의 보정된 값을 결정하는 단계를 포함하고, 상기 계측 데이터는 계측 도구를 통해 획득되는, 방법.
18. 조항 17에 있어서, 상기 보정된 값은 상기 품질 메트릭과 상기 계측 데이터 사이의 상기 상관관계의 기울기, 및 상기 기판에 걸친 상기 품질 메트릭의 최대 값과 상기 품질 메트릭 사이의 차이에 기초하여 결정되는, 방법.
19. 조항 16 내지 조항 18 중 어느 하나에 있어서, 상기 품질 메트릭은 상기 계측 도구를 통해 캡처된 상기 기판의 이미지의 포커스 인덱스인, 방법.
20. 조항 16 내지 조항 19 중 어느 하나에 있어서, 상기 계측 데이터는 인쇄된 기판의 이미지 또는 상기 패터닝 프로세스의 파라미터를 포함하는, 방법.
21. 조항 20에 있어서, 상기 인쇄된 기판의 상기 이미지 또는 상기 패터닝 프로세스의 상기 파라미터를 포함하는 상기 계측 데이터는 상기 인쇄된 기판의 상기 이미지 및 상기 패터닝 프로세스의 상기 파라미터를 포함하는 상기 계측 데이터를 포함하는, 방법.
22. 조항 16 내지 조항 21 중 어느 하나에 있어서,
상기 특정 패턴의 피처 특성들에 기초하여 상기 특정 패턴들 중 하나 이상을 패턴 카테고리로 그룹화하는 단계; 및
상기 패턴 카테고리에 속하는 상기 패턴의 상기 계측 데이터에 대한 상기 보정을 결정하는 단계를 더 포함하는, 방법.
23. 명령어들이 기록되어 있는 비일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품으로서, 상기 명령어들은 컴퓨터에 의해 실행될 때 조항 1 내지 조항 22 중 어느 하나의 방법을 구현하는, 컴퓨터 프로그램 제품.
본 명세서에 개시된 개념들은 하위 파장 피처들을 이미징하기 위한 임의의 범용 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있고, 점점 더 작은 크기의 파장들을 생성할 수 있는 신흥 이미징 기술들에서 특히 유용할 수 있다. 이미 사용 중인 신흥 기술들은 ArF 레이저를 사용하여 193nm 파장을 그리고 심지어 불소 레이저를 사용하여 157nm 파장을 생성할 수 있는 EUV(극자외선) 리소그래피를 포함한다. 더욱이, EUV 리소그래피는 싱크로트론을 사용함으로써 또는 고에너지 전자들로 물질(고체 또는 플라즈마)을 타격함으로써 20-5nm 범위 내의 파장들을 생성하여, 이 범위 내의 광자들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상의 이미징을 위해 사용될 수 있지만, 개시된 개념들은 임의의 유형의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상의 이미징을 위해 사용되는 것들과 함께 사용될 수 있다는 것이 이해될 것이다.
본 명세서에서는 IC들의 제조에서의 실시예들의 사용에 대해 특정 참조가 이루어질 수 있지만, 본 명세서의 실시예들은 많은 다른 가능한 응용들을 가질 수 있다는 것을 이해해야 한다. 예를 들어, 그것은 통합 광학 시스템들, 자기 도메인 메모리들, 액정 디스플레이들(LCD들), 박막 자기 헤드들, 마이크로기계 시스템들(MEM들)을 위한 안내 및 검출 패턴들 등의 제조에서 사용될 수 있다. 기술자는 그러한 대안적인 응용들과 관련하여 본 명세서에서의 용어 "레티클", "웨이퍼" 또는 "다이"의 임의의 사용이 각각 더 일반적인 용어 "패터닝 디바이스", "기판" 또는 "타겟 부분"과 동일하거나 교환가능한 것으로 간주될 수 있다는 것을 이해할 것이다. 본 명세서에서 지칭되는 기판은 노광 전 또는 후에 예를 들어 트랙(기판에 레지스트의 층을 통상적으로 도포하고, 노광된 레지스트를 현상하는 도구) 또는 계측 또는 검사 도구에서 처리될 수 있다. 적용 가능한 경우, 본 명세서에서의 개시는 그러한 그리고 다른 기판 처리 도구들에 적용될 수 있다. 또한, 기판은 예를 들어 다층 IC를 생성하기 위해 2회 이상 처리될 수 있으며, 따라서 본 명세서에서 사용되는 기판이라는 용어는 이미 다수의 처리된 층들을 포함하는 기판을 지칭할 수도 있다.
본 명세서에서 사용되는 바와 같은 "복사선" 및 "빔"이라는 용어들은 자외선 복사선(예를 들어, 약 365, 약 248, 약 193, 약 157 또는 약 126 nm의 파장을 가짐) 및 극자외선(EUV) 복사선(예를 들어, 5-20 nm 범위의 파장을 가짐)뿐만 아니라 이온 빔들 또는 전자 빔들과 같은 입자 빔들을 포함하는 모든 유형의 전자기 복사선을 포함한다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어들은 패터닝 장치(예를 들어, 리소그래피 장치), 패터닝 프로세스 등을 조정하여, 결과들 또는 프로세스들이 기판 상의 설계 패턴의 투영의 더 높은 정확도, 더 큰 프로세스 윈도우 등과 같은 더 바람직한 특성들을 갖게 하는 것을 지칭하거나 의미한다. 따라서, 본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 하나 이상의 파라미터에 대한 하나 이상의 값의 초기 세트에 비해, 적어도 하나의 관련 메트릭에서 개선, 예컨대 국지적 최적을 제공하는 그러한 하나 이상의 파라미터에 대한 하나 이상의 값을 식별하는 프로세스를 지칭하거나 의미한다. "최적" 및 다른 관련 용어들은 그에 따라 해석되어야 한다. 일 실시예에서, 최적화 단계들은 하나 이상의 메트릭에서 추가적인 개선들을 제공하기 위해 반복적으로 적용될 수 있다.
본 발명의 양태들은 임의의 편리한 형태로 구현될 수 있다. 예를 들어, 일 실시예는 유형의 캐리어 매체(예를 들어, 디스크) 또는 무형의 캐리어 매체(예를 들어, 통신 신호)일 수 있은 적절한 캐리어 매체 상에서 운반될 수 있는 하나 이상의 적절한 컴퓨터 프로그램에 의해 구현될 수 있다. 본 발명의 실시예들은 본 명세서에 설명된 바와 같은 방법을 구현하도록 배열된 컴퓨터 프로그램을 실행하는 프로그래밍 가능한 컴퓨터의 형태를 구체적으로 취할 수 있는 적절한 장치를 사용하여 구현될 수 있다. 따라서, 본 개시의 실시예들은 하드웨어, 펌웨어, 소프트웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 본 개시의 실시예들은 또한 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는, 기계 판독가능 매체 상에 저장된 명령어들로서 구현될 수 있다. 기계 판독가능 매체는 기계(예컨대, 컴퓨팅 디바이스)에 의해 판독 가능한 형태로 정보를 저장 또는 송신하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독가능 매체는 ROM(read only memory); RAM(random access memory); 자기 디스크 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스들; 전기, 광학, 음향 또는 다른 형태의 전파 신호들(예를 들어, 반송파들, 적외선 신호들, 디지털 신호들 등) 등을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴들, 명령어들은 본 명세서에서 특정한 액션들을 수행하는 것으로서 설명될 수 있다. 그러나, 그러한 설명들은 단지 편의를 위한 것이며, 그러한 액션들은 사실상 펌웨어, 소프트웨어, 루틴들, 명령어들 등을 실행하는 컴퓨팅 디바이스들, 프로세서들, 제어기들, 또는 다른 디바이스들로부터 비롯된다는 것을 알아야 한다.
블록도들에서, 도시된 컴포넌트들은 개별 기능 블록들로서 도시되지만, 실시예들은 본 명세서에 설명된 기능이 도시된 바와 같이 구성되는 시스템들로 제한되지 않는다. 컴포넌트들 각각에 의해 제공되는 기능은 현재 도시된 것과는 상이하게 구성되는 소프트웨어 또는 하드웨어 모듈들에 의해 제공될 수 있는데, 예를 들어 그러한 소프트웨어 또는 하드웨어는 혼합되거나, 결합되거나, 복제되거나, 분해되거나, (예를 들어, 데이터 센터 내에서 또는 지리적으로) 분산되거나, 다른 방식으로 상이하게 구성될 수 있다. 본 명세서에 설명된 기능은 유형의 비일시적 기계 판독가능 매체 상에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우들에서, 제3자 콘텐츠 전달 네트워크들은 네트워크들을 통해 전달되는 정보의 일부 또는 전부를 호스팅할 수 있으며, 이 경우에 정보(예컨대, 콘텐츠)가 공급되거나 다른 방식으로 제공되는 것으로 언급되는 정도까지, 정보는 콘텐츠 전달 네트워크로부터 그러한 정보를 검색하기 위한 명령어들을 전송함으로써 제공될 수 있다.
달리 구체적으로 언급되지 않는 한, 논의로부터 명백한 바와 같이, 본 명세서 전체에 걸쳐 "처리", "컴퓨팅", "계산", "결정" 등과 같은 용어들을 사용하는 논의들은 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 처리/컴퓨팅 디바이스와 같은 특정 장치의 액션들 또는 프로세스들을 지칭한다는 것이 이해된다.
독자는 본 출원이 여러 발명을 설명한다는 것을 알아야 한다. 이들 발명을 다수의 분리된 특허 출원들로 분리하기보다는, 이들 발명은 이들의 관련 주제가 출원 과정에서의 경제에 적합하기 때문에 단일 명세서로 그룹화되었다. 그러나, 이러한 발명들의 개별 장점들 및 양태들은 융합되어서는 안 된다. 일부 경우들에서, 실시예들은 본 명세서에서 언급된 모든 결함들을 다루지만, 본 발명들은 독립적으로 유용하고, 일부 실시예들은 그러한 문제들의 서브세트만을 다루거나 본 개시를 검토하는 이 분야의 기술자들에게 명백할 다른 언급되지 않은 이점들을 제공한다는 것을 이해해야 한다. 비용 제약들로 인해, 본 명세서에 개시된 일부 발명들은 현재 청구되지 않을 수 있고, 계속 출원들과 같은 나중의 출원들에서 또는 현재의 청구항들을 보정함으로써 청구될 수 있다. 유사하게, 공간 제약들로 인해, 본 명세서의 요약서 섹션도 요약 섹션도 모든 그러한 발명들 또는 그러한 발명들의 모든 양태들의 포괄적인 목록을 포함하는 것으로 간주되어서는 안 된다.
설명 및 도면들은 본 개시를 개시된 특정 형태로 제한하도록 의도되는 것이 아니라, 반대로, 그 의도는 첨부된 청구항들에 의해 정의되는 바와 같은 발명들의 사상 및 범위 내에 속하는 모든 수정들, 균등물들 및 대안들을 커버하는 것임을 이해해야 한다.
본 발명의 다양한 양태들의 수정들 및 대안적인 실시예들은 이 설명을 고려하여 이 분야의 기술자들에게 명백할 것이다. 따라서, 이 설명 및 도면들은 단지 예시적인 것으로 해석되어야 하며, 발명들을 실시하는 일반적인 방식을 이 분야의 기술자들에게 교시하기 위한 것이다. 본 명세서에 도시되고 설명된 발명들의 형태는 실시예들의 예로서 간주되어야 한다는 것을 이해해야 한다. 모두가 본 설명의 이익을 가진 후에 이 분야의 통상의 기술자에게 명백한 바와 같이, 요소들 및 재료들이 본 명세서에 예시되고 설명된 것들을 대체할 수 있고, 부분들 및 프로세스들이 반전되거나 생략될 수 있고, 특정 특징들이 독립적으로 사용될 수 있고, 실시예들 또는 실시예들의 특징들이 조합될 수 있다. 다음의 청구항들에 설명된 바와 같은 본 발명의 범위 및 사상으로부터 벗어나지 않으면서 본 명세서에 설명된 요소들에서 변경들이 이루어질 수 있다. 본 명세서에서 사용되는 제목들은 단지 조직 목적들을 위한 것이고, 설명의 범위를 제한하기 위해 사용되는 것으로 의도되지 않는다.
본 출원 전반에서 사용되는 바와 같이, 단어 "할 수 있다(may)"는 의무의 의미(즉, 해야 한다는 의미)가 아니라 허가의 의미(즉, 가능성이 있다는 의미)로 사용된다. 단어 "포함한다(include)", "포함하는(including)" 및 "포함한다(includes)" 등은 ~을 포함하지만 ~으로 제한되지 않는다는 것을 의미한다. 본 출원의 전반에 걸쳐 사용되는 바와 같이, 단수 형태("a", "an", "the")는 그 내용이 달리 명시적으로 지시하지 않는 한 복수의 지시대상을 포함한다. 따라서, 예를 들어 "하나의(an)" 요소 또는 "하나의(a)" 요소에 대한 참조는 "하나 이상"과 같은 하나 이상의 요소에 대한 다른 용어들 및 문구들의 사용에도 불구하고 2개 이상의 요소의 조합을 포함한다. 본 명세서에서 사용되는 바와 같이, 구체적으로 달리 언급되지 않는 한, "또는" 이라는 용어는 실현 불가능한 경우를 제외하고는 모든 가능한 조합들을 포함한다. 예를 들어, 데이터베이스가 A 또는 B를 포함할 수 있다고 언급되는 경우, 달리 구체적으로 언급되거나 실현 불가능하지 않은 한, 데이터베이스는 A, 또는 B, 또는 A 및 B를 포함할 수 있다. 제2 예로서, 데이터베이스가 A, B, 또는 C를 포함할 수 있다고 언급되는 경우, 구체적으로 달리 언급되거나 실현 불가능하지 않은 한, 데이터베이스는 A, 또는 B, 또는 C, 또는 A 및 B, 또는 A 및 C, 또는 B 및 C, 또는 A 및 B 및 C를 포함할 수 있다. 조건부 관계들을 기술하는 용어들, 예를 들어 "X, Y에 응답하여", "X, Y 상에서", "X, Y의 경우", "X, Y일 때" 등은 선례가 필요한 원인 조건이거나, 선례가 충분한 원인 조건이거나, 선례가 결과의 기여적인 원인 조건인 원인 관계들을 포함하는데, 예를 들어 "상태 X가 조건 Y의 획득시에 발생함"은 "X가 Y에만 기초하여 발생함" 및 "X가 Y 및 Z에 기초하여 발생함"을 총칭한다. 이러한 조건부 관계들은 선례 획득에 바로 이어지는 결과들로 제한되지 않는데, 이는 일부 결과들이 지연될 수 있고, 조건부 문장들에서 선례들이 그들의 결과들에 연결되고, 예를 들어 선례가 결과 발생의 가능성과 관련되기 때문이다. 달리 지시되지 않는 한, 복수의 속성 또는 기능이 복수의 객체에 매핑되는 문장들(예를 들어, 하나 이상의 프로세서가 단계 A, B, C 및 D를 수행함)은 모든 그러한 속성들 또는 기능들이 모든 그러한 객체들에 매핑되는 것뿐만 아니라, 속성들 또는 기능들의 서브세트들이 속성들 또는 기능들의 서브세트들에 매핑되는 것(예를 들어, 모든 프로세서들이 각각 단계 A-D를 수행하고, 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 및 단계 C의 일부를 수행하고, 프로세서 3이 단계 C의 일부 및 단계 D를 수행하는 경우)을 포함한다. 또한, 달리 지시되지 않는 한, 하나의 값 또는 액션이 다른 조건 또는 값에 "기초한다"는 문장들은 조건 또는 값이 유일한 인자인 경우들뿐만 아니라, 조건 또는 값이 복수의 인자 중 하나의 인자인 경우들을 포함한다. 달리 지시되지 않는 한, 소정의 모음의 "각각의" 인스턴스가 소정의 특성을 갖는다는 문장들은 더 큰 모음의 달리 동일하거나 유사한 일부 멤버들이 그러한 특성을 갖지 않는 경우들, 즉 각각이 반드시 각각 및 모든 것을 의미하지는 않는 경우들을 배제하는 것으로 이해되지 않아야 한다. 범위로부터의 선택에 대한 참조들은 범위의 종점들을 포함한다.
위의 설명에서, 흐름도들에서의 임의의 프로세스들, 설명들 또는 블록들은 프로세스에서 특정 논리 기능들 또는 단계들을 구현하기 위한 하나 이상의 실행가능한 명령어를 포함하는 코드의 모듈들, 세그먼트들 또는 부분들을 나타내는 것으로 이해되어야 하고, 대안적인 구현들은 이 분야의 기술자들에 의해 이해되는 바와 같이, 수반되는 기능에 따라, 실질적으로 동시에 또는 역순을 포함하여, 도시되거나 논의된 것과는 다른 순서로 기능들이 실행될 수 있는 본 발명의 진보의 예시적인 실시예들의 범위 내에 포함된다.
특정 미국 특허들, 미국 특허 출원들 또는 기타 자료들(예를 들어, 논문들)이 참고로 통합되는 한, 이러한 미국 특허들, 미국 특허 출원들 및 기타 자료들의 텍스트는 이러한 자료와 본 명세서에 제시된 설명들 및 도면들 사이에 충돌이 존재하지 않는 정도까지만 참고로 통합된다. 그러한 충돌의 경우에, 그러한 참고로 통합된 미국 특허들, 미국 특허 출원들 및 기타 자료들 내의 임의의 그러한 충돌하는 텍스트는 특별히 본 명세서에 참고로 통합되지 않는다.
특정 실시예들이 설명되었지만, 이러한 실시예들은 단지 예로서 제시되었으며, 본 개시의 범위를 제한하도록 의도되지 않는다. 실제로, 본 명세서에 설명된 새로운 방법들, 장치들 및 시스템들은 다양한 다른 형태들로 구현될 수 있으며; 또한 본 명세서에 설명된 방법들, 장치들 및 시스템들의 형태에서의 다양한 생략들, 대체들 및 변경들은 본 개시의 사상을 벗어나지 않고 이루어질 수 있다. 첨부된 청구항들 및 그 등가물들은 본 개시의 범위 및 사상 내에 속하는 바와 같은 형태들 또는 수정들을 커버하도록 의도된다.

Claims (15)

  1. 패터닝 프로세스의 계측 데이터를 보정하기 위한 방법으로서,
    (i) 상기 패터닝 프로세스를 겪은 기판의 계측 데이터 및 (ii) 상기 기판의 상기 계측 데이터의 품질을 정량화하는 이미지 품질 메트릭을 획득하는 단계;
    컴퓨팅 시스템을 통해, 상기 이미지 품질 메트릭과 상기 계측 데이터 사이의 상관관계를 확립하는 단계; 및
    상기 컴퓨팅 시스템을 통해, 상기 이미지 품질 메트릭과 상기 계측 데이터 사이의 상기 상관관계에 기초하여 상기 계측 데이터에 대한 보정을 결정하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 보정을 결정하는 단계는 보정 모델에 기초하여 상기 계측 데이터의 보정된 값을 결정하는 단계를 포함하고, 상기 계측 데이터는 계측 도구를 통해 획득되는, 방법.
  3. 제2항에 있어서, 상기 보정된 값은 상기 이미지 품질 메트릭과 상기 계측 데이터 사이의 상기 상관관계의 기울기, 및 상기 기판에 걸친 상기 이미지 품질 메트릭의 최대 값과 상기 기판 상의 관심 지점에서의 상기 이미지 품질 메트릭의 값 사이의 차이에 기초하여 결정되는, 방법.
  4. 제1항에 있어서, 상기 이미지 품질 메트릭은 상기 계측 도구를 통해 캡처된 상기 기판의 이미지의 포커스 인덱스인, 방법.
  5. 제4항에 있어서, 상기 포커스 인덱스는 스케일-공간에서의 이웃하는 웨이블릿 계수들 사이의, 상기 기판 상의 피처 위치 부근에서의 위상 관계를 나타내는 국지적 위상 코히어런스 맵에 기초하여 결정되는, 방법.
  6. 제4항에 있어서, 상기 포커스 인덱스는 상기 이미지 상의 다른 위치들에 비해 상대적으로 더 높은 기울기를 갖는 상기 이미지로부터 선택된 샘플에 기초하여 결정되는, 방법.
  7. 제6항에 있어서, 상기 샘플은 상기 이미지의 나머지 영역들에 비해 상대적으로 높은 기울기를 갖는 상기 이미지의 영역인, 방법.
  8. 제1항에 있어서, 상기 이미지 품질 메트릭은 상기 패터닝 프로세스에서 사용되는 도즈의 변동들과 무관한, 방법.
  9. 제1항에 있어서, 상기 계측 데이터는 인쇄된 기판의 이미지 또는 상기 패터닝 프로세스의 파라미터를 포함하는, 방법.
  10. 제1항에 있어서, 상기 패터닝 프로세스의 상기 파라미터는 임계 치수, 에지 배치 에러 또는 오버레이인, 방법.
  11. 제1항에 있어서, 상기 계측 데이터에 적용된 상기 보정에 기초하여 상기 패터닝 프로세스의 파라미터의 맵을 생성하는 단계를 더 포함하는, 방법.
  12. 제11항에 있어서, 상기 맵은 도즈 맵, 포커스 맵, 임계 치수(CD) 맵, 오버레이 맵 또는 에지 배치 에러 맵인, 방법.
  13. 제1항에 있어서, 상기 계측 데이터는 주사 전자 현미경 이미지 또는 e-빔 이미지인, 방법.
  14. 제1항에 있어서, 상기 이미지 품질 메트릭과 상기 계측 데이터 사이의 상기 상관관계에 기초하여 보정 모델을 트레이닝하는 단계를 더 포함하고, 상기 보정 모델은 상기 패터닝 프로세스 동안 수집된 계측 데이터에 대한 실시간 보정들을 결정하도록 구성되는, 방법.
  15. 명령어들이 기록되어 있는 비일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품으로서,
    상기 명령어들은 컴퓨터에 의해 실행될 때 제1항의 방법을 구현하는, 컴퓨터 프로그램 제품.
KR1020217004720A 2018-08-17 2019-08-14 이미지 품질 메트릭을 사용하는 계측 데이터 보정 KR20210034038A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247004405A KR20240024314A (ko) 2018-08-17 2019-08-14 이미지 품질 메트릭을 사용하는 계측 데이터 보정

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862719378P 2018-08-17 2018-08-17
US62/719,378 2018-08-17
PCT/EP2019/071774 WO2020035516A1 (en) 2018-08-17 2019-08-14 Metrology data correction using image quality metric

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247004405A Division KR20240024314A (ko) 2018-08-17 2019-08-14 이미지 품질 메트릭을 사용하는 계측 데이터 보정

Publications (1)

Publication Number Publication Date
KR20210034038A true KR20210034038A (ko) 2021-03-29

Family

ID=67688755

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217004720A KR20210034038A (ko) 2018-08-17 2019-08-14 이미지 품질 메트릭을 사용하는 계측 데이터 보정
KR1020247004405A KR20240024314A (ko) 2018-08-17 2019-08-14 이미지 품질 메트릭을 사용하는 계측 데이터 보정

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020247004405A KR20240024314A (ko) 2018-08-17 2019-08-14 이미지 품질 메트릭을 사용하는 계측 데이터 보정

Country Status (6)

Country Link
US (1) US20210241449A1 (ko)
KR (2) KR20210034038A (ko)
CN (1) CN112689801B (ko)
IL (1) IL280730B2 (ko)
TW (2) TWI749355B (ko)
WO (1) WO2020035516A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102673102B1 (ko) 2023-08-18 2024-06-07 (주) 오로스테크놀로지 신호 형태 인덱스를 이용한 오버레이 마크 이미지 품질 측정 방법 및 장치와, 이를 이용한 오버레이 측정 장치의 측정 옵션들을 최적화하는 방법

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11436506B2 (en) * 2019-03-06 2022-09-06 Carl Zeiss Smt Gmbh Method and devices for determining metrology sites
US11010529B2 (en) * 2019-09-16 2021-05-18 Taiwan Semiconductor Manufacturing Company Limited Integrated circuit layout validation using machine learning
IL294522A (en) * 2020-02-24 2022-09-01 Saccade Vision Ltd System and method for automatic control of items
CN116057547A (zh) * 2020-08-06 2023-05-02 Asml荷兰有限公司 用于概念漂移减轻的方法和设备
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220270212A1 (en) * 2021-02-25 2022-08-25 Kla Corporation Methods for improving optical inspection and metrology image quality using chip design data
CN113547512B (zh) * 2021-08-04 2022-09-06 长春电子科技学院 一种钳体加工用的智能检测机械手
CN118435128A (zh) * 2021-12-20 2024-08-02 Asml荷兰有限公司 用于光刻成像的方法和设备

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7439001B2 (en) * 2005-08-18 2008-10-21 International Business Machines Corporation Focus blur measurement and control method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US20090182529A1 (en) * 2008-01-14 2009-07-16 International Business Machines Corporation Determining signal quality of optical metrology tool
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
JP5821100B2 (ja) * 2010-12-17 2015-11-24 カール ツァイス エスエムエス ゲーエムベーハー フォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する方法及び装置
TWI582539B (zh) * 2011-04-06 2017-05-11 克萊譚克公司 用於改良程序控制之品質度量的方法及系統
US8572518B2 (en) * 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
TWI825537B (zh) * 2011-08-01 2023-12-11 以色列商諾威股份有限公司 光學測量系統
US8929644B2 (en) * 2013-01-02 2015-01-06 Iowa State University Research Foundation 3D shape measurement using dithering
NL2014941A (en) * 2014-07-16 2016-04-12 Asml Netherlands Bv Lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product.
US10372043B2 (en) * 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
KR102350572B1 (ko) * 2016-02-22 2022-01-11 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
WO2018072961A1 (en) * 2016-10-17 2018-04-26 Asml Netherlands B.V. A processing apparatus and a method for correcting a parameter variation across a substrate
CN110140087B (zh) * 2016-11-10 2021-08-13 Asml荷兰有限公司 使用叠层差异的设计和校正
US10699969B2 (en) * 2017-08-30 2020-06-30 Kla-Tencor Corporation Quick adjustment of metrology measurement parameters according to process variation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102673102B1 (ko) 2023-08-18 2024-06-07 (주) 오로스테크놀로지 신호 형태 인덱스를 이용한 오버레이 마크 이미지 품질 측정 방법 및 장치와, 이를 이용한 오버레이 측정 장치의 측정 옵션들을 최적화하는 방법

Also Published As

Publication number Publication date
WO2020035516A1 (en) 2020-02-20
TW202026766A (zh) 2020-07-16
IL280730B2 (en) 2024-03-01
TW202210959A (zh) 2022-03-16
CN112689801B (zh) 2024-08-02
IL280730A (en) 2021-03-25
US20210241449A1 (en) 2021-08-05
KR20240024314A (ko) 2024-02-23
IL280730B1 (en) 2023-11-01
TWI749355B (zh) 2021-12-11
TWI824334B (zh) 2023-12-01
CN112689801A (zh) 2021-04-20

Similar Documents

Publication Publication Date Title
KR102685425B1 (ko) 패터닝 공정에서의 웨이퍼 거동을 결정하기 위해 이미지 패턴들을 그룹화하는 장치 및 방법
CN112689801B (zh) 使用图像品质度量的量测数据校正
TWI788885B (zh) 基於計算度量衡之取樣方案
US12038694B2 (en) Determining pattern ranking based on measurement feedback from printed substrate
US11586114B2 (en) Wavefront optimization for tuning scanner based on performance matching
US11733613B2 (en) Prediction of out of specification based on a spatial characteristic of process variability
US20220035256A1 (en) Determining hot spot ranking based on wafer measurement
US11630396B2 (en) Model calibration and guided metrology based on smart sampling
TWI779674B (zh) 基於失效率之製程窗
US20220404718A1 (en) Matching pupil determination

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
J201 Request for trial against refusal decision