CN110140087B - 使用叠层差异的设计和校正 - Google Patents

使用叠层差异的设计和校正 Download PDF

Info

Publication number
CN110140087B
CN110140087B CN201780069903.7A CN201780069903A CN110140087B CN 110140087 B CN110140087 B CN 110140087B CN 201780069903 A CN201780069903 A CN 201780069903A CN 110140087 B CN110140087 B CN 110140087B
Authority
CN
China
Prior art keywords
metrology
target
periodic structure
overlay
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780069903.7A
Other languages
English (en)
Other versions
CN110140087A (zh
Inventor
蒋爱琴
A·J·登鲍埃夫
K·巴塔查里亚
H·范德拉恩
B·菲瑟
M·J·J·杰克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN110140087A publication Critical patent/CN110140087A/zh
Application granted granted Critical
Publication of CN110140087B publication Critical patent/CN110140087B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/406Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by monitoring or safety
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45028Lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Apparatus For Radiation Diagnosis (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)

Abstract

一种方法包括:获得是用于图案化过程的、是量测目标的叠层差异参数的函数的所述量测目标的重叠的数据的拟合;以及通过硬件计算机使用所述拟合的斜率以:(i)区分一个量测目标测量选配方案与另一个量测目标测量选配方案;或者(ii)计算重叠的校正值;或者(iii)指示利用所述量测目标获得的重叠测量值应该被使用或者不应该被使用,以配置或修改所述图案化过程的方面;或者(iv)选自(i)至(iii)中的任意组合。

Description

使用叠层差异的设计和校正
相关申请的交叉引用
本申请要求于2016年11月10日递交的US申请No.62/420,375的优选权,该US申请的全部内容以引用的方式并入本文中。
技术领域
本公开涉及能够用于例如通过光刻技术制造器件的检查(例如量测)的方法和设备,并且涉及使用光刻技术制造器件的方法。
背景技术
光刻设备是将期望的图案施加到衬底上(通常施加到衬底的目标部分上)的机器。光刻设备可以用于制造例如集成电路(IC)。在这种情况下,图案形成装置(其可替代地被称作掩模或掩模版)可以用于产生待形成于IC的单层上的电路图案。该图案可以转印到衬底(例如硅晶片)上的目标部分(例如,包括管芯的一部分、一个或多个管芯)上。通常经由成像到设置于衬底上的辐射敏感材料(抗蚀剂)层上来进行图案的转印。通常,单个衬底将包含连续图案化的相邻目标部分的网络。
在图案化过程(即,产生包含图案化(诸如光刻曝光或压印)的器件或其它结构的过程,其通常可以包括一个或更多个相关的处理步骤,诸如抗蚀剂的显影、蚀刻等)中,期望确定一个或更多个感兴趣的参数(例如,使用一个或更多个模型进行测量、模拟等,所述模型将图案化过程的一个或更多个方面模型化),诸如结构的临界尺寸(CD)、形成于衬底中或衬底上的连续层之间的重叠误差等。
期望确定用于通过图案化过程而产生的结构的这样的一个或更多个感兴趣的参数,并且将它们用于与图案化过程相关的设计、控制和/或监测,例如用于程序设计、控制和/或验证。可以将图案化结构的已确定的一个或更多个感兴趣的参数用于图案化过程设计、校正和/或验证、缺陷检测或分类、良率估计和/或过程控制。
因此,在图案化过程中,期望频繁地对所产生的结构进行测量,例如以用于过程控制和验证。用于进行这种测量的各种工具是已知的,包括经常用于测量临界尺寸(CD)的扫描电子显微镜,以及用于测量重叠(器件中两个层的对准准确度的量度)的专用工具。可以依据两个层之间的对准不良的程度来描述重叠,例如,对所测得的1nm的重叠的提及可以描述两个层对准不良为1nm的情形。
已经开发出了多种形式的检查设备(例如量测设备)以供光刻领域中使用。这些器件将辐射束引导到目标上,并且测量改变方向的(例如散射的)辐射的一个或更多个属性-例如,作为波长的函数的在单一反射角处的强度;作为反射角的函数的在一个或更多个波长处的强度;或者作为反射角的函数的偏振-以获得“光谱”,可以根据该“光谱”确定目标的感兴趣的属性。可以通过多种技术执行感兴趣的属性的确定,例如,通过诸如严密耦合波分析或者有限元法的迭代方法、库搜寻和主成份分析而进行的目标的重建。
由检查设备(例如散射仪)使用的目标相对较大,例如40μμm×40μm,所以周期性结构(例如光栅)和测量束产生小于该周期性结构的束斑(即,周期性结构被欠填充)。这简化了目标的数学重建,这是因为目标可以被视为无限的。然而,为了将目标的尺寸减小到例如10μμm×10μμm或更小,例如因此可以将它们定位在产品特征中,而不是划线中;可以执行使周期性结构小于测量斑(即,周期性结构被过度填充)的量测术。通常使用暗场散射量测术来测量这种目标,其中,阻挡衍射的第零阶(对应于镜面反射),并且仅处理高阶。可以在PCT专利申请公开No.WO 2009/078708和WO 2009/106279中找到暗场量测术的示例,上述PCT专利申请的全部内容以引用方式并入本文中。已经在美国专利申请公开No.US 2011-0027704、US 2011-0043791和US 2012-0242940中描述了该技术的进一步发展,上述美国专利申请每一个的全部内容都并入本文中。使用衍射阶的暗场检测的基于衍射的重叠实现了对较小目标的重叠测量。这些目标可能小于照射斑,并且可能被衬底上的产品结构环绕。目标可以包括多个周期性结构,可以在一个图像中测量所述周期性结构。
在已知量测技术中,在某些条件下测量目标两次,同时使目标旋转或者改变照射模式或成像模式,以便分别获得第-1阶衍射强度和第+1阶衍射强度,由此获得重叠测量结果。强度不对称性(这些衍射阶强度的比较)为给定目标提供目标不对称性(即,目标中的不对称性)的量度。目标中的这种不对称性可以被用作重叠误差(两个层的不期望的对准不良)的指标。
发明内容
尽管在重叠测量的示例中,重叠测量是快速的并且在计算上非常简单的(一旦被校准的话),但是它们依赖于如下假设:重叠(即,重叠误差和有意的偏置)是目标中的目标不对称性的唯一原因。目标中的任何其它不对称性(例如,上层中的周期性结构内的、上层中的周期性结构所覆盖的下层中的周期性结构内的、或者上述两者内的特征的结构不对称性)也会导致第一阶(或其它高阶)中的强度不对称性。这种强度不对称性导致结构不对称性,而与重叠不相关,这明显地扰乱了重叠测量,从而给出了不准确的重叠测量。目标的下部或底部周期性结构中的不对称性是结构不对称性的一种常见形式。它可能源自于例如衬底处理步骤,诸如在最初形成底部周期性结构之后执行的化学机械抛光(CMP)。
已经发现,除了目标中的结构不对称性之外或者作为目标中的结构不对称性的替代,目标的相邻周期性结构之间的叠层差异或者相邻目标之间的叠层差异也可能是不利地影响测量(诸如重叠测量)准确度的因素。叠层差异可以被理解为相邻周期性结构或目标之间的物理构造的非设计差异。叠层差异包括但不限于:相邻周期性结构或目标之间的厚度差异、相邻周期性结构或目标之间的折射率差异、相邻周期性结构或目标之间的材料差异、相邻周期性结构或目标的结构的光栅周期的差异等。类似于结构不对称性,可以通过诸如图案化过程中的CMP、层沉积等处理步骤引入叠层差异。
因此,期望使用确定的叠层差异来识别一个或更多个期望的量测目标测量选配方案(例如,特定期望的目标设计和/或一个或更多个特定测量参数(诸如测量束波长和/或偏振))。另外或可替代地,希望能够使用确定的叠层差异来确定重叠。
在实施例中,提供一种方法,该方法包括:获得用于图案化过程的、是量测目标的叠层差异参数的函数的所述量测目标的重叠的数据的拟合;以及通过硬件计算机使用所述拟合的斜率以(i)将一个量测目标测量选配方案与另一个量测目标测量选配方案进行区分,或者(ii)计算重叠的校正值,或者(iii)指示利用所述量测目标获得的重叠测量值应该被使用或者不应该被使用,以配置或修改所述图案化过程的方面,或者(iv)选自(i)至(iii)中的任意组合。
在实施例中,提供一种方法,该方法包括:获得用于图案化过程的量测目标的区域的周期性结构强度不平衡性参数值,所述区域被预期为具有最小叠层差异;找出非重叠诱发的周期性结构强度不平衡性参数值,所述非重叠诱发的周期性结构强度不平衡性参数值用作用于所述量测目标的平均周期性结构强度不平衡性参数值与用于所述区域的周期性结构强度不平衡性参数值之间的差值;以及使用非重叠诱发的周期性结构强度不平衡性参数差值计算校正重叠值。
在实施例中,提供一种方法,该方法包括:获得用于图案化过程的量测目标的测量辐射强度值,所述测量辐射强度值是所述量测目标的叠层差异参数的函数;根据是所述叠层差异参数的函数的所述测量辐射强度值,获得用于在非重叠诱发的周期性结构强度不平衡性的值处确定重叠的测量辐射强度的值;以及通过硬件计算机基于用于确定重叠的测量辐射强度的值来确定重叠值。
在下文中参考附图详细地描述进一步的特征和优点以及多个实施例的结构和操作。应该注意的是,本发明不限于本文中所述描述的特定实施例。本文中给出的这些实施例仅用于说明的目的。基于本文所包含的教导,另外的实施例对于相关领域的技术人员而言将是清楚的。
附图说明
现在将参考附图并且仅以示例的方式描述实施例,其中:
图1描绘了光刻设备的实施例;
图2描绘了光刻单元或簇的实施例;
图3A描绘了配置成使用第一对照射孔来测量目标的检查设备(例如,在这种情况下是暗场散射仪)的示意图;
图3B示意性地描绘了用于给定照射方向的目标周期性结构的衍射光谱的细节;
图3C示意性地描绘了在将图3A的检查设备用于基于衍射的重叠测量时提供另外的照射模式的第二对照射孔;
图3D示意性地描绘了组合第一对孔和第二对孔的第三对照射孔;
图4描绘了衬底上的多重周期性结构目标的形式和测量斑的轮廓;
图5描绘了在图3的检查设备中获得的图4的目标的图像;
图6是示出使用图3的检查设备的重叠测量方法的步骤的流程图;
图7A、图7B和图7C分别示出了在零区域中具有不同重叠值的重叠周期性结构的示意性横截面;
图7D是由于处理作用而在底部周期性结构中具有结构不对称性的重叠周期性结构的示意性横截面;
图8说明了不经受结构不对称性的理想目标中的重叠测量的原理;
图9说明了非理想化目标中的重叠测量的原理,并且校正了本文的实施例中披露的结构不对称性;
图10A示意性地说明了在具有偏置量+d的第一目标周期性结构与具有偏置量-d的第二目标周期性结构之间不存在叠层差异的情形,并且说明了在由第一目标周期性结构和第二目标周期性结构衍射之后的衍射信号;
图10B示意性地说明了由第一目标周期性结构衍射的组合后的第+1衍射阶信号和组合后的第-1衍射阶信号的强度变化;
图10C示意性地说明了由第二目标周期性结构衍射的组合后的第+1衍射阶信号和组合后的第-1衍射阶信号的强度变化;
图11A示意性地说明了在具有偏置量+d的第一目标周期性结构与具有偏置量-d的第二目标周期性结构之间存在叠层差异的情形,并且说明了在由第一目标周期性结构和第二目标周期性结构衍射之后的衍射信号;
图11B和图11C示意性地说明了分别由第一目标周期性结构和第二目标周期性结构衍射的组合后的第+1衍射阶信号和组合后的第-1衍射阶信号的强度变化;
图11D和图11E说明了分别由第一目标周期性结构和第二目标周期性结构衍射的组合后的第+1衍射阶信号和组合后的第-1衍射阶信号的对比度变化;
图11F和图11G说明了分别由第一目标周期性结构和第二目标周期性结构衍射的组合后的第+1衍射阶信号和组合后的第-1衍射阶信号的相位变化;
图12描绘了示例性量测目标;
图13描绘了根据量测目标的已测量的感兴趣区(ROI)确定每个像素的重叠的示例;
图14描绘了根据量测目标的已测量的感兴趣区(ROI)确定每个像素的叠层差异的示例;
图15描绘了叠层差异变化时的重叠变化的示例;
图16A和图16B描绘了计算叠层差异的部位的示例;
图17是根据实施例的方法的步骤的流程图;
图18描绘了强度差的变化(它是叠层差异的变化的函数)以及特定强度差值的识别的示例性曲线图;
图19是根据实施例的方法的步骤的流程图;以及
图20是说明一过程的流程图,在该过程中量测目标用于监测性能,并且用作控制量测过程、设计过程和/或生产过程的基础。
具体实施方式
在详细地描述实施例之前,提供可实施实施例的示例性环境是有指导意义的。
图1示意性地描绘了光刻设备LA。该光刻设备包括:照射光学系统(照射器)IL,配置成调节辐射束B(例如UV辐射或DUV辐射);图案形成装置支撑件或支撑结构(例如掩模台)MT,构造成支撑图案形成装置(例如掩模)MA并且连接到第一定位器PM,该第一定位器PM配置成根据某些参数来准确地定位该图案形成装置;衬底台(例如晶片台)WT,构造成保持衬底(例如涂覆有抗蚀剂的晶片)W并且连接到第二定位器PW,该第二定位器PW配置成根据某些参数来准确地定位该衬底;以及投影光学系统(例如折射投影透镜系统)PS,配置成将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一个或更多个管芯)上。
照射光学系统可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件,或者它们的任意组合,用以对辐射进行引导、成形或控制。
图案形成装置支撑件以依赖于图案形成装置的方向、光刻设备的设计、以及诸如图案形成装置是否被保持在真空环境中等其它条件的方式保持图案形成装置。图案形成装置支撑件可以采用机械的、真空的、静电的、或其它夹紧技术来保持图案形成装置。图案形成装置支撑件可以是例如框架或台,例如,它可以根据需要而是固定的或者可移动的。图案形成装置支撑件可以确保图案形成装置例如相对于投影系统位于期望的位置。可以认为本文中使用的任何术语“掩模版”或“掩模”与更上位的术语“图案形成装置”同义。
本文中使用的术语“图案形成装置”应该被广义地理解为表示下述任何装置:该装置能够用于将图案在辐射束的横截面中赋予辐射束,以便在衬底的目标部分中形成图案。应该注意的是,被赋予辐射束的图案可能不与在衬底的目标部分中期望的图案完全对应(例如,如果该图案包括相移特征或者所谓的辅助特征)。通常,被赋予辐射束的图案将与在目标部分中形成的器件(例如集成电路)中的特定的功能层相对应。
图案形成装置可以是透射型的或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻术中是公知的,并且包括诸如二元掩模、交替相移掩模、衰减相移掩模以及各种混合掩模类型的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜都可以独立地倾斜,以便在不同方向上反射入射的辐射束。已倾斜的反射镜将图案赋予由反射镜矩阵反射的辐射束。
如此处所描绘的,光刻设备是透射型的(例如采用透射型掩模)。可替代地,光刻设备可以是反射型的(例如采用如上所述的类型的可编程反射镜阵列,或者采用反射型掩模)。
光刻设备可以是如下类型:其中,衬底的至少一部分可以被具有相对高折射率的液体(例如水)覆盖,以便填充投影系统和衬底之间的空间。浸没液体也可以被施加到光刻设备中的其它空间,例如掩模与投影系统之间的空间。在本领域中公知的是,浸没技术被用于增加投影系统的数值孔径。本文中使用的术语“浸没”并不意味着诸如衬底等结构必须浸没在液体中,而仅意味着在曝光期间液体位于投影系统和衬底之间。
参考图1,照射器IL接收来自辐射源SO的辐射束。该源和光刻设备可以是分立的实体(例如当该源是准分子激光器时)。在这种情况下,不将该源视为形成光刻设备的一部分,并且借助包括例如合适的定向反射镜和/或扩束器的束传递系统BD将辐射束从该源SO传递到照射器IL。在其它情况下,该源可以是光刻设备的组成部分(例如当该源是汞灯时)。可以将源SO和照射器IL以及必要时设置的束传递系统BD一起称作辐射系统。
照射器IL可以包括用于调整辐射束的角强度分布的调整器AD。通常,可以对照射器的光瞳平面中的强度分布的至少外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。另外,照射器IL可以包括多种其它部件,例如积分器IN和聚光器CO。可以将照射器用于调节辐射束,以便在其横截面中具有期望的均匀性和强度分布。
辐射束B入射到保持在图案形成装置支撑件(例如掩模台)MT上的图案形成装置(例如掩模)MA上,并且通过该图案形成装置而进行图案化。在穿过图案形成装置(例如掩模)MA之后,辐射束B通过投影光学系统PS,该投影光学系统PS将辐射束聚焦到衬底W的目标部分C上,从而将图案的图像投影在目标部分C上。借助于第二定位装置PW和位置传感器IF(例如干涉仪装置、线性编码器、2D编码器或电容传感器),可以精确地移动衬底台WT,例如以便将不同的目标部分C定位在辐射束B的路径中。类似地,例如在从掩模库的机械获取之后或者在扫描期间,可以将第一定位装置PM和另一个位置传感器(图1中未明确地描绘)用于相对于辐射束B的路径精确地定位图案形成装置(例如掩模)MA。
可以使用图案形成装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如掩模)MA和衬底W。尽管图中所示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分之间的空间(这些公知为划线对准标记)中。类似地,在将多于一个的管芯设置在图案形成装置(例如掩模)MA上的情况下,图案形成装置对准标记可以位于管芯之间。在期望标识尽可能小并且不需要与相邻特征不同的任何成像或过程条件的情况下,在器件特征之中,小的对准标识也可以被包括在管芯中。下面进一步描述检测对准标识的对准系统。
本示例中的光刻设备LA是所谓的双平台类型,该双平台类型具有两个衬底台WTa、WTb和两个站-曝光站和测量站-衬底台可以在所述两个站之间交换。当一个衬底台上的一个衬底在曝光站上进行曝光时,另一个衬底可以被装载到测量站处的另一个衬底台上,并且执行各种预备步骤。预备步骤可以包括使用水平传感器LS来绘制衬底的表面控制的地图,并且使用对准传感器AS来测量衬底上的对准标识的位置。这样能够显著地增加该设备的生产量。
图示的设备可以以多种模式使用,这些模式包括例如步进模式或扫描模式。光刻设备的构造和操作对于本领域的技术人员而言是公知的,并且不需要为了理解本发明进一步被描述。
如图2所示,光刻设备LA构成光刻系统的一部分,并且被称为光刻单元LC或光刻元或簇。光刻单元LC还可以包括用于在衬底上进行曝光前和曝光后处理的设备。常规地,这些设备包括:用于沉积抗蚀剂层的旋涂机SC、用于显影曝光后的抗蚀剂的显影机DE、激冷板CH和烘烤板BK。衬底处理器或机器人RO从输入/输出端口I/O1、I/O2拾取衬底,在不同的处理设备之间移动衬底,然后将其传送到光刻设备的装载台LB。这些装置通常统称为轨道,并且由轨道控制单元TCU控制,该轨道控制单元TCU本身由管理控制系统SCS控制,该管理控制系统SCS也通过光刻术控制单元LACU来控制光刻设备。因此,可以操作不同的设备以最大化生产量和处理效率。
在图3A中示出了适合用于实施例中的检查设备。在图3B中更详细地说明了用于照射目标的测量辐射的目标T和衍射射线。图中所示的检查设备是被称为暗场量测设备的类型。该检查设备可以是独立的装置,也可以被包括在光刻设备LA中,例如处于测量站或光刻单元LC中。用虚线O表示具有贯穿该设备的几个支路的光轴。在该设备中,由源11(例如氙灯)发射的辐射经由光学元件15而被包括透镜12、14和物镜16的光学系统引导到衬底W上。这些透镜被以4F布置的双次序布置。可以使用不同的透镜布置,假设它例如将衬底图像提供到检测器上并且同时允许进入用于空间频率滤波的中间光瞳面即可。因此,可以通过在提供衬底平面的空间光谱的平面中限定空间强度分布来选择辐射入射到衬底上的角度范围,此处该平面称为(共轭)光瞳面。具体地说,这可以通过在物镜光瞳面的后投影图像的平面中、在透镜12和14之间插设适当形式的孔板13来完成。在图中所示的示例中,孔板13具有被标记为13N和13S的不同形式,以允许选择不同的照射模式。本示例中的照射系统形成了离轴照射模式。在第一照射模式中,孔板13N仅为了便于描述起见,提供来自指定为“北(N)”的方向的离轴辐射。在第二照射模式中,孔板13S用于提供相似的照射,但是来自标记为“南(S)”的相反方向。通过使用不同的孔,其它模式的照射是可能的。期望光瞳面的其余部分是暗的,这是因为在期望的照射模式之外的任何不必要的辐射将干扰期望的测量信号。
如图3B所示,目标T被放置成衬底W垂直于物镜16的光轴O。衬底W可以由支撑件(未示出)支撑。测量辐射的射线I从偏离轴线O的角度射到目标T上产生第零阶射线(实线0)和两个第一阶射线(点划线表示+1阶,并且双点划线表示-1阶)。应该记住的是,对于填充过度的小目标而言,这些射线只是覆盖包括量测目标T和其它特征的衬底区域的许多平行射线之一。由于板13中的孔具有有限的宽度(对于允许有用数量的辐射而言是必需的),所以入射射线I实际上将占据一个角度范围,并且衍射射线0和+1/-1将稍微展开。根据小目标的点扩散函数,每个+1和-1阶都将进一步在一个角度范围上展开,而不是如图所示的单条理想射线。应该注意的是,目标的周期性结构的节距和照射角度可以被设计或调整成使得进入物镜的第一阶射线与中心光轴接近对准。图3A和图3B所说明的射线被示出为略微偏离轴线,这纯粹是为了使它们在图中更容易区分。
由衬底W上的目标T衍射的至少第0和+1阶被物镜16收集,并且通过光学元件15被引导返回。返回到图3A,通过指定标记为北(N)和南(S)的在直径方向上相对的孔来图示第一照射模式和第二照射模式。当测量辐射的入射射线I来自光轴的北侧时,也就是当使用孔板13N施加第一照射模式时,标记为+1(N)的+1阶衍射射线进入物镜16。相反地,当使用孔板13S施加第二照射模式时,(标记为-1(S)的)-1阶衍射射线是进入透镜16的射线。
分束器17将衍射束分成两条测量支路。在第一测量支路中,光学系统18利用第零阶和第一阶衍射束在第一传感器19(例如CCD或CMOS传感器)上形成目标的衍射光谱(光瞳面图像)。每个衍射阶射到传感器上的不同点,以便图像处理可以比较和对比多个阶。由传感器19捕获的光瞳面图像可以用于聚焦检查设备和/或归一化第一阶束的强度测量。光瞳面图像也可以用于诸如重建等许多测量目的。
在第二测量支路中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成目标T的图像。在第二测量支路中,孔阑21设置在与光瞳面共轭的平面中。孔阑21起到阻挡第零阶衍射束的作用,使得形成在传感器23上的目标的图像仅由第一阶(-1阶或+1阶)束形成。由传感器19和23捕获的图像被输出到处理图像的处理器PU,该处理器的功能将依赖于正在执行的测量的特定类型。应该注意的是,术语“图像”在此处被以广义的含义使用。如果仅存在第-1阶和+1阶中的一个,则同理不会形成周期性结构特征的图像。
图3A、图3C和图3D所示的孔板13和场光阑21的特定形式纯粹为示例。在实施例中,使用目标的同轴照射,并且使用具有离轴孔的孔阑以将大致仅一个第一阶衍射辐射传递到传感器。在另外的其它实施例中,不是第一阶束或者除了第一阶束之外,第二阶、第三阶和更高阶束(图3A、图3B、图3C或图3D中未图示)可以被使用在测量中。
为了使测量辐射能够适应这些不同类型的测量,孔板13可以包括围绕盘形成的多个孔图案,该盘旋转以将期望的图案带到合适的位置。应该注意到,孔板13N或13S只能用于测量在一个方向(X方向或Y方向,这取决于设定)上定向的周期性结构。为了测量正交周期性结构,可以实施旋转目标90°至270°。图3C和图3D示出了不同的孔板。在上文中提到的专利申请公开中描述了这些的使用以及该设备的许多其它变型和应用。
图4描绘了根据已知实践的形成在衬底上的目标或复合目标。本示例中的目标包括四个周期性结构(例如光栅)32至35,它们定位成紧密地在一起,使得它们全部位于由检查设备的量测辐射照射束形成的测量斑31内。因此,所述四个目标全部同时被照射,并且被在传感器19和23上同时成像。在专用于测量重叠的示例中,周期性结构32至35本身是通过覆盖周期性结构而形成的复合周期性结构,所述周期性结构例如在衬底W上形成的半导体器件的不同层中被图案化。周期性结构32至35可以具有不同的偏置的重叠偏置,以便测量层之间的重叠,在所述层中形成有复合周期性结构的不同部分。下面将参考图7来解释重叠偏置的含义。如图所示,周期性结构32至35的方向也可以不同,以便在X方向和Y方向上衍射入射的辐射。在一个示例中,周期性结构32和34是分别具有+d、-d的偏置量的X方向周期性结构。周期性结构33和35是分别具有+d和-d的偏置量的Y方向周期性结构。这些周期性结构的单独的图像可以在由传感器23捕获的图像中被识别。这仅是目标的一个示例。目标可以包括多于或少于4个周期性结构,或者仅包括单个周期性结构。
图5示出了通过使用图3D的孔板13NW或13SE、在图3的设备中使用图4的目标,可以在传感器23上形成和检测的图像的示例。虽然光瞳面图像传感器19不能解析或分辨不同的单个周期性结构32至35,但图像传感器23可以这样做。暗的矩形表示传感器上的图像的场,衬底上的照射斑31在该场内被成像到相应的圆形区域41内。在该区域内,矩形区域42至45表示小目标周期性结构32至35的图像。如果目标位于产品区域中,则产品特征也可能在该图像场的周边是可见的。图像处理器和控制器PU使用图案识别来处理这些图像,以识别周期性结构32至35的分离的图像42至45。这样,图像不必非常精确地在传感器框架内的特定位置或部位处被对准,这极大地改善了整个测量设备的生产量。
一旦周期性结构的分离图像已经被识别,那些单独的图像的强度就可以被测量,例如通过对所识别区域内的选定的像素强度值求平均值或者求和。图像的强度和/或其它属性可以相互比较。这些结果可以被组合以测量光刻过程的不同参数。重叠性能是这种参数的重要示例。
图6说明了如何使用例如在PCT专利申请公开No.WO 2011/012624中描述的方法来测量包含分量周期性结构32至35的两个层之间的重叠误差(即,不期望的和无意的重叠对准不良)。这种测量是通过识别目标不对称性来完成的,如通过比较目标周期性结构的第+1阶和第-1阶暗场图像上的强度而显示的(其它相应的高阶的强度可以被比较,例如第+2阶和第-2阶),以获得强度不对称性的量度。在步骤S1中,衬底(例如半导体晶片)被通过光刻设备(例如图2的光刻单元)处理一次或更多次,以产生包括周期性结构32至35的目标。在S2中,使用图3的检查设备,仅使用第一阶衍射束之一(例如第-1阶)来获得周期性结构32至35的图像。在步骤S3中,无论是通过改变照射模式还是改变成像模式,或者通过在检查设备的视场中将衬底W旋转180°,都可以使用另一个第一阶衍射束(+1阶)获得周期性结构的第二图像。因此,在第二图像中捕获第+1阶衍射辐射。
应该注意的是,通过在每个图像中仅包含第一阶衍射辐射的一半,此处所说的“图像”不是常规的暗场显微镜图像。目标周期性结构的单个目标特征将不被分辨出来。每个目标周期性结构将仅由一定强度水平的区域表示。在步骤S4中,在每个分量目标周期性结构的图像内识别感兴趣的区域(ROI),将从该感兴趣的区域测量强度水平。
已经识别出每个单独目标周期性结构的ROI并且测量其强度,随后可以确定目标的不对称性,并且因此确定重叠误差。这是在步骤S5中(例如由处理器PU)通过比较所获得的用于每个目标周期性结构32至35的第+1阶和第-1阶的强度值完成的,以识别它们的强度不对称性,例如它们强度的任何差异。术语“差异”并不意味着仅指减法。差异可以按比例形式来计算。在步骤S6中,使用针对多个目标周期性结构的测量的强度不对称性以及那些目标周期性结构的任何已知的强加的重叠偏置量的知识来计算在目标T附近的图案化过程的一个或更多个性能参数。
非常感兴趣的性能参数是重叠。如稍后将描述的,可以计算图案化过程的其它性能参数。性能参数(例如重叠、CD、聚焦、剂量等)可以被反馈(或前馈),以用于改善图案化过程、改善目标和/或用于改善图6自身的测量和计算过程。
在上文所提及的专利申请公开中,披露了用于使用上文所提及的基本方法来改善重叠测量的品质的各种技术。此处将不再更详细地解释这些技术。它们可以与本申请新披露的技术结合起来使用。
此外,可以使用指定使用测量系统进行测量的一个或更多个参数的量测目标测量选配方案。在实施例中,术语“量测目标测量选配方案”包括测量自身的一个或更多个参数、被测量图案的一个或更多个参数,或者它们两者。
在该上下文中,被测量的图案(也称作“目标”或“目标结构”)可以是光学测量(例如,其衍射被测量)的图案。被测量的图案可以是出于测量目的而特殊地设计或选择的图案。可以将目标的多个复本放置于衬底上的许多地点上。例如,可以使用量测目标测量选配方案来测量重叠量。在实施例中,量测目标测量选配方案可以用于测量另一过程参数(例如剂量、聚焦、CD等)。在实施例中,量测目标测量选配方案可以用于测量被成像的图案的层相对于衬底上的现有图案的对准情况;例如,量测目标测量选配方案可以用于通过测量衬底的相对位置而将图案形成装置与衬底对准。
在实施例中,如果量测目标测量选配方案包括测量自身的一个或更多个参数,则测量自身的所述一个或更多个参数可以包括与测量束和/或用于进行测量的测量设备相关的一个或更多个参数。例如,如果在量测目标测量选配方案中使用的测量是基于衍射的光学量测,则该测量自身的一个或更多个参数可以包括:测量辐射的波长;和/或测量辐射的偏振;和/或测量辐射强度分布;和/或测量辐射相对于衬底的照射角度(例如入射角、方位角等);和/或衍射测量辐射相对于衬底上的图案的相对方向;和/或目标的被测量点或示例的数目;和/或被测量的目标的实例在衬底上的部位。测量自身的一个或更多个参数可以包括在测量中使用的量测设备的一个或更多个参数,该参数可以包括检测器敏感度、数值孔径等。
在实施例中,如果量测目标测量选配方案包括被测量的图案的一个或更多个参数,则被测量的图案的所述一个或更多个参数可以包括一个或更多个几何特性(诸如图案的至少一部分的形状,和/或图案的至少一部分的方向);和/或图案的至少一部分的节距(例如周期性结构的节距,包括在具有下部周期性结构的层上方的层中的上部周期性结构的节距和/或下部周期性结构的节距);和/或图案的至少一部分的尺寸(例如CD)(例如周期性结构的特征的CD,包括上部周期性结构和/或下部周期性结构的特征的CD);和/或图案的特征的区段(例如,周期性结构的特征划分成子结构);和/或周期性结构的长度或周期性结构的特征的长度;和/或图案的至少一部分的材料属性(例如折射率、消光系数、材料类型等);和/或图案的识别(例如区分一个图案与另一个图案)等。
可以以例如(r1,r2,r3,……rn;t1,t2,t3,……tm)的形式来表达量测目标测量选配方案,其中,ri是测量的一个或更多个参数,并且tj是一个或更多个被测量的图案的一个或更多个参数。应该明白的是,n和m可以是1。此外,量测目标测量选配方案不需要具有测量的一个或更多个参数以及一个或更多个被测量的图案的一个或更多个参数两者;它可以仅具有测量的一个或更多个参数或者仅具有一个或更多个被测量的图案的一个或更多个参数。
可以使用两个量测目标测量选配方案A和B来使目标经受测量,这两个量测目标测量选配方案在测量目标的阶段上不同(例如,A在目标包括潜像结构时测量目标,而B在目标不包括潜像结构时测量目标)以及/或者在它们测量的参数上不同。量测目标测量选配方案A和B可以至少在被测量的目标上不同(例如,A测量第一目标,并且B测量不同的第二目标)。量测目标测量选配方案A和B可以在它们的目标测量的参数上不同。量测目标测量选配方案A和B可以甚至不基于同一种量测技术。例如,选配方案A可以基于以衍射为基础的测量,而选配方案B可以基于扫描电子显微镜(SEM)或原子力显微法(AFM)测量。
因此,在实施例中,为了确定将得到期望的过程参数(例如重叠)的准确测量和/或得到对过程可变性是具有鲁棒性的期望的过程参数的测量值的一个或更多个量测目标测量选配方案,可以针对于一个或更多个性能指标来评估多个量测目标测量选配方案,以识别这样的一个或更多个准确的和/或鲁棒性的量测目标测量选配方案。
现在,图7示出了具有不同偏置偏移的目标周期性结构(重叠周期性结构)的示意性横截面。这些目标周期性结构可以用作衬底W上的目标T,如图3和图4所见。仅出于示例的目的,示出了在X方向上具有周期性的周期性结构。可以分别提供或作为目标的一部分来提供具有不同偏置并且具有不同方向的这些周期性结构的不同组合。
从图7A开始,示出了形成于被标注为L1和L2的至少两个层中的目标600。在下部或底部层L1中,由衬底606上的特征602和空间604形成第一周期性结构(下部或底部周期性结构),例如光栅。在层L2中,由特征608和空间610形成第二周期性结构,例如光栅。(横截面被绘制为使得特征602、608(例如线)延伸至页面中)。周期性结构图案在两个层中以节距P重复。特征602和608可以采用线、点、块和过孔的形式。在图7A所示的情形中,由于不存在归因于对准不良的重叠贡献,例如不存在重叠误差并且不存在强加的偏置,所以使得第二结构的每一个特征608恰好处于第一结构中的特征602上方。
在图7B处,示出了具有第一已知的强加的偏置量+d的相同目标,使得第一结构的特征608相对于第二结构的特征向右移位距离d。在实践中,偏置距离d可能是几纳米,例如10nm至20nm,而节距P例如在300nm至1000nm的范围内,例如500nm或600nm。在图7C处,描绘了另一个具有第二已知强加的偏置量-d的特征,使得特征608向左移位。用于每个结构的d值不必相同。在前文提及的在先专利申请公开中描述了在图7A至图7C处示出的这种类型的偏置周期性结构。
图7D示意性地示出了结构不对称的现象,在这种情况下,结构不对称性出现在第一结构中(底部结构不对称性)。当真实的特征在侧边上具有一些斜度并且具有一定的粗糙度时,在图7A至图7C处的周期性结构中的特征显示为完美的正方形侧边。然而,它们意图在轮廓上至少是对称的。在图7D处,第一结构中的特征602和/或空间604根本不再具有对称形式,而是由于一个或更多个处理步骤而变成变形的。因此,例如,每个空间的底表面已经变得倾斜(底壁倾斜)。例如,所述特征和空间的侧壁角也变得不对称。因此,目标的总体目标不对称性将包括独立于结构不对称性的重叠贡献(即,由于第一结构和第二结构的对准不良而导致的重叠贡献;它本身由重叠误差和任何已知的强加的偏置量构成)以及由于目标中的这种结构不对称性而产生的结构贡献。
当仅使用两个偏置的周期性结构通过图6的方法测量重叠时,不能将过程诱发的结构不对称性与由于对准不良而导致的重叠贡献区分开,并且重叠测量(尤其为了测量不期望的重叠误差)最终变得不可靠。目标的第一结构(底部周期性结构)中的结构不对称性是结构不对称性的常见形式。它可能源自于例如在最初形成第一结构之后执行的衬底处理步骤,例如化学机械抛光(CMP)。
在PCT专利申请公开No.WO 2013-143814中,利用图6的方法的修改形式使用三个或更多个分量周期性结构来测量重叠,该PCT专利申请公开的全部内容以引用的方式并入本文中。使用图7A至图7C所示的类型的三个或更多个周期性结构来获得重叠测量,所述重叠测量在某种程度上对目标周期性结构中的结构不对称性进行校正,例如在实际的图案化过程中由底部结构不对称性导致的。
在图8中,曲线702示出了在形成目标的单个周期性结构内具有零偏置量和无结构不对称性的“理想”目标的重叠量OV和强度不对称性A之间的关系,尤其是在第一结构的单个周期性结构内。因此,这个理想目标的目标不对称性仅包括由于已知的强加的偏置量和重叠误差OVE产生的第一结构和第二结构的对准不良而导致的重叠贡献。该曲线图和图9中的曲线图仅图示说明本公开所依据的原理,并且在每张曲线图中强度不对称性A和重叠量OV的单位是任意的。
在图8的“理想”情况下,曲线702示出了强度不对称性A与重叠具有非线性周期性关系(例如正弦关系)。正弦变化的周期P对应于周期性结构的周期或节距P,当然被转换为适当的比例。在这个示例中,虽然正弦形式是纯粹的,但是在实际情况下可以包括谐波。
如上文提及的,可以使用偏置的周期性结构(具有已知的强加的重叠偏置量)来测量重叠,而不是依靠单一测量。该偏置量具有在由其制作的图案形成装置(例如掩模版)中限定的已知值,并且用作对应于测量的强度不对称性的重叠的衬底上校准。在附图中,以图形方式来说明该计算。在步骤S1至S5中,对于分别具有强加的偏置量+d和-d的周期性结构,获得强度不对称性量度A+d和A-d(例如图7B和图7C所示)。将这些量度拟合成正弦曲线给出了如图所示的点704和706。在已知偏置量的情况下,可以计算出真实的重叠误差OVE。根据目标的设计得知正弦曲线的节距P。虽然曲线702的竖直尺度不知道是从哪里开始的,但是它是未知的因素,该未知的因素可以被称为第一谐波比例常数K1。这个常数K1是强度不对称性测量对目标的敏感度的量度。
以等式的方式,重叠误差OVE和强度不对称性A之间的关系被假设为:
A±d=K1sin(OVE±d) (1)
其中,在使得目标节距P对应于角度2π弧度的尺度上表示重叠误差OVE。使用具有不同的已知的偏置量(例如+d和-d)的光栅的两个测量(结果),可以使用下式计算重叠误差OVE
Figure BDA0002056231110000191
图9示出了引入结构不对称性的第一效果,例如图7D所说明的底部周期性结构不对称性。“理想”正弦曲线702不再适用。然而,至少近似地,底部周期性结构不对称性或其它结构不对称性具有在强度不对称性A±d上增加强度偏置项K0和相位偏置项φ的效果。所得到的曲线在曲线图中显示为712,其中,标记K0表示强度偏置项,并且φ表示相位偏移项。强度偏置项K0和相位偏置项φ依赖于目标与测量辐射的选定特性的组合,例如测量辐射的波长和/或偏振,并且对过程变化是敏感的。以等式的方式,在步骤S6中用于计算的关系变为:
A±d=K0+K1sin(OVE±d+φ) (3)
在存在结构不对称性的情况下,由等式(2)描述的重叠模型将提供受到强度偏置项K0和相位偏置项φ影响的重叠误差值,并且最终将是不准确的。因为强度和相位偏置是依赖于波长和/或偏振的,所以当绘制重叠误差时,结构不对称性也将导致使用不同的一个或更多个测量参数(例如,测量束波长和/或偏振)的同一目标的测量差异。
修改后的步骤S6的重叠计算依赖于某些假设。首先,假设强度不对称性表现为重叠的正弦函数,其中,周期P对应于光栅节距。这些假设对目前重叠范围有效。谐波的数目可以被设计得较小,这是因为小节距-波长比率仅允许来自光栅的小数目个传播衍射阶。然而,实际上,归因于对准不良而对强度不对称性的重叠贡献可能不一定是真正正弦型的,并且可能不一定关于OV=0完全对称。
在实施例中,确定目标的目标不对称性,并且因此可以确定没有忽略结构不对称性的效果同时允许使用当前目标设计(诸如图4中所说明的目标设计)的重叠量。这可以被执行为对图6中所说明的方法中的步骤S6的修改。在实施例中,该方法可以使用真实的衬底量测数据来准确地计算重叠误差,并且该重叠误差可以确定用于测量目标的最佳或期望的量测目标测量选配方案。可能不需要模拟或重建。
具体地说,已经观察到,针对感兴趣的重叠范围,归因于结构不对称性的重叠贡献的强度项和相位项两者都独立于归因于对准不良的重叠贡献。
因此,可以依据归因于结构不对称性的重叠贡献OVSA以及独立于结构不对称性的重叠贡献OVNSA来表示总重叠量OV(即,测得的重叠):
OV=OVNSA+OVSA (4)
独立于结构不对称性的重叠贡献OVNSA可以包括重叠误差OVE(所述层的任何非故意的对准不良)和/或任何已知的强加的偏置量d。将归因于结构不对称性的重叠贡献OVSA分离成构成强度项OVSAI和相位项OVSAφ会得到:
OV=OVNsA+(OVSAI+OVSAφ) (5)
另外,已经确定的是,归因于结构不对称性的重叠贡献的构成强度项OVSAI与下部光栅中的结构不对称性BGA成比例(其中,γ是比例常数):
OVSAI=γ*BGA (6)
假设在强度项OVSAI与相位项OVsAφ之间存在关系G(该关系可以被称作过程鲁棒性指数):
OVSAφ=G*OVSAI (7)
因此,可以将等式(5)改写为:
OV=OVNSA+γ*BGA+G*OVSAI
=OVNsA+γ*BGA+G*γ*BGA
=OVNsABGA*BGA (8)
其中,ξBGA=γ+G*γ。倘若关系函数ξBGA在整个衬底上是恒定的,则通过确定关系函数ξBGA,可以确定独立于结构不对称性的重叠量OVNSA。因此,这种重叠测量不包括组合强度项和相位项的归因于结构不对称性的重叠贡献OVSA。恒定关系函数ξ也表示过程鲁棒性指数G在整个衬底上是恒定的,即使在存在叠层变化的情况下也是如此。因此,恒定关系函数ξ表示量测目标测量选配方案对过程变化是鲁棒性的。
可以通过使用测量参数的两个不同集合测量衬底上的目标而得出关系函数ξBGA。在这种情况下:
OVA=OVNSAABGA,A*BGAA
OVB=OVNSABBGA,B*BGAB
ΔOV=ξBGA,A*BGAABGA,B*BGAB+C (9)
其中,下标A和B分别表示归因于使用测量参数的集合A和测量参数的集合B进行的测量的项;其中,OVA和OVB是分别利用测量参数集合和测量参数集合B的测量的重叠量。ΔOV是使用测量参数集合A测量的重叠量OVA与使用测量参数集合B测量的重叠量OVB之间的差值。等式(9)进一步基于OVNSAA=OVNSAB=OVNSA的假设。换句话说,假设独立于结构不对称性的重叠独立于测量参数。仅结构不对称性信号BGA依赖于测量参数。
测量参数集合A和B可以在测量辐射的波长和/或偏振方面不同。
在一个实施例中,可以通过确定使用测量参数集合A进行的下部光栅中测得的结构不对称性BGAA、使用测量参数集合B进行的下部光栅中测得的结构不对称性BGAB与测量参数集合A和B之间的重叠测量的差值ΔOV之间的关系来得出关系函数ξBGA。通过使用ξBGA,可以根据等式(9)确定重叠量OVNsAA=OVNSAB=OVNSA
除了目标中的结构不对称性之外或者作为目标中的结构不对称性的替代,目标的相邻周期性结构之间的叠层差异或者相邻目标之间的叠层差异也可能是不利地影响测量(诸如重叠测量)的准确度的因素。叠层差异可以被理解为相邻周期性结构或目标之间的物理构造的非设计差异。叠层差异使得归因于除了相邻周期性结构或目标所共有的重叠误差之外、除了相邻周期性结构或目标所共有的有意偏置之外、以及除了相邻周期性结构或目标所共有的结构不对称性之外而造成的在相邻周期性结构或目标之间的测量辐射的光学属性(例如强度、偏振等)的差异。叠层差异包括但不限于:相邻周期性结构或目标之间的厚度差(例如,一个或更多个层的厚度的差异,使得一个周期性结构或目标高于或低于被设计为处于基本上相等高度水平处的另一个周期性结构或目标)、相邻周期性结构或目标之间的折射率差(例如,一个或更多个层的折射率的差异,使得用于一个周期性结构或目标的一个或更多个层的组合折射率不同于用于另一个周期性结构或目标的一个或更多个层的组合折射率,即使该另一个周期性结构或目标的一个或更多个层被设计为具有基本上相等的组合折射率也是如此)、相邻周期性结构或目标之间的材料的差异(例如,一个或更多个层的材料类型、材料均一性等的差异,使得在用于一个周期性结构或目标的材料与用于被设计为具有基本上相同材料的另一个周期性结构或目标的材料方面存在差异)、相邻周期性结构或目标的结构的光栅周期的差异(例如,用于一个周期性结构或目标的光栅周期与用于被设计为具有基本上相同光栅周期的另一个周期性结构或目标的光栅周期的差异)、相邻周期性结构或目标的结构的深度的差异(例如,归因于一个周期性结构或目标的结构深度的蚀刻而与被设计为具有基本上相同深度的另一个周期性结构或目标的结构深度的蚀刻的差异)、相邻周期性结构或目标的特征的宽度(CD)的差异(例如,一个周期性结构或目标的特征的宽度与被设计为具有基本上相同特征宽度的另一个周期性结构或目标的特征宽度的差异)等。在一些示例中,通过图案化过程中的诸如CMP、层沉积、蚀刻等处理步骤引入叠层差异。在实施例中,如果周期性结构或目标彼此在200μm以内、彼此在150μm以内、彼此在100μm以内、彼此在75μm以内、彼此在50μm以内、彼此在40μm以内、彼此在30μm以内、彼此在20μm以内或彼此在10μm以内,则它们是相邻的。
图10示意性地说明了在目标的相邻周期性结构(例如复合光栅)之间不存在叠层差异的情形。为了简单起见,在该示例中不考虑结构不对称性。另外,在图10和图11的示例中,认为重叠是测量参数。将针对使用目标的不同参数测量(诸如CD、聚焦、剂量等)作出适当的调整。
图10A示出了呈复合光栅的形式的目标的具有偏置量+d的第一周期性结构1101以及呈复合光栅的形式的目标的具有偏置量-d的相邻第二周期性结构1106。第一入射测量辐射束1110照射在第一周期性结构1101的第一结构1105和第二结构1103上,其中,在第一结构1105与第二结构1103之间存在偏置量+d。结果,第-1衍射阶信号1130和1120分别由第一结构1105和第二结构1103衍射。由第一周期性结构1101衍射的第-1衍射阶信号
Figure BDA0002056231110000231
可以被理解为第-1衍射阶信号1130和1120的组合。另外,第+1衍射阶信号1150和1140分别由第一结构1105和第二结构1103衍射。由第一周期性结构1101衍射的第+1衍射阶信号
Figure BDA0002056231110000232
可以被理解为第+1衍射阶信号1150和1140的组合。因此,由第一周期性结构1101衍射的第-1衍射阶信号
Figure BDA0002056231110000233
以及由第一周期性结构1101衍射的第+1衍射阶信号
Figure BDA0002056231110000234
可以由如下等式统一地表达:
Figure BDA0002056231110000235
其中,C表示信号的对比度(它是周期性结构设计、测量波长等的函数),
Figure BDA0002056231110000241
T是第一周期性结构的厚度、λ是测量辐射波长、相位项
Figure BDA0002056231110000242
OV是实际重叠量(归因于层的任何非有意的或故意的对准不良),并且P是第一周期性结构1101的第一结构1105与第二结构1103的节距。在图10B中,根据等式(10),分别以迹线1160和1170描绘由第一周期性结构1101衍射的第-1衍射阶信号
Figure BDA0002056231110000243
的强度轮廓以及由第一周期性结构1101衍射的第+1衍射阶信号
Figure BDA0002056231110000244
的强度轮廓。
类似地,第二入射测量辐射束1115照射于第二周期性结构1106的第一结构1109和第二结构1107上,其中,在第一结构1109与第二结构1106之间存在偏置量-d。结果,第-1衍射阶信号1135和1125分别由第二周期性结构1106的第一结构1109和第二结构1107衍射。由第二周期性结构1106衍射的第-1衍射阶信号
Figure BDA0002056231110000245
可以被理解为第-1衍射阶信号1135和1125的组合。另外,第+1衍射阶信号1155和1145分别由第一结构1109和第二结构1107衍射。由第二周期性结构1106衍射的第+1衍射阶信号
Figure BDA0002056231110000246
可以被理解为第+1衍射阶信号1155和1145的组合。因此,由第二周期性结构1106衍射的第-1衍射阶信号
Figure BDA0002056231110000247
以及由第二周期性结构1106衍射的第+1衍射阶信号
Figure BDA0002056231110000248
可以由如下等式统一地表达:
Figure BDA0002056231110000249
其中,C表示相应信号的对比度,
Figure BDA00020562311100002410
T是第二周期性结构的厚度、λ是测量辐射波长、相位项
Figure BDA00020562311100002411
OV是实际重叠量(归因于所述层的任何非故意的对准不良),并且P是第二周期性结构1106的第一结构1109与第二结构1107的节距。在图10C中,根据等式(11),分别以迹线1180和1190描绘由第二周期性结构1106衍射的第-1衍射阶信号
Figure BDA0002056231110000251
的强度轮廓以及由第二周期性结构1106衍射的第+1衍射阶信号
Figure BDA0002056231110000252
的强度轮廓。
现在,图11说明了在具有偏置量+d的第一周期性结构1201与具有偏置量-d的相邻第二周期性结构1206之间存在叠层差异的情形。在这种情况下,叠层差异是如图11A所示的以及在下文中描述的厚度的差异。与图10类似地,第一入射测量辐射束1210分别照射于第一周期性结构1201的第一结构1205和第一周期性结构1201的第二结构1203上。结果,第-1衍射阶信号1230和1220分别由第一结构1205和第二结构1203衍射。因此,由第一周期性结构1201衍射的第-1衍射阶信号
Figure BDA0002056231110000253
可以被理解为第-1衍射阶信号1230和1220的组合。另外,第+1衍射阶信号1250和1240分别由第一结构1205和第二结构1203衍射。因此,由第一周期性结构1201衍射的第+1衍射阶信号
Figure BDA0002056231110000254
可以被理解为第+1衍射阶信号1250和1240的组合。
类似地,第二入射测量辐射束1215分别照射于第二周期性结构1206的第一结构1209和第二结构1207上。结果,第-1衍射阶信号1235和1225分别由第一结构1209和第二结构1207衍射。因此,由第二周期性结构1206衍射的第-1衍射阶信号
Figure BDA0002056231110000255
可以被理解为第-1衍射阶信号1225和1235的组合。另外,第+1衍射阶信号1255和1245分别由第一结构1209和第二结构1207衍射。因此,由第二周期性结构1206衍射的第+1衍射阶信号
Figure BDA0002056231110000256
可以被理解为第+1衍射阶信号1255和1245的组合。
作为叠层差异的示例,第一周期性结构1201与第二周期性结构1206可以具有厚度的差异,如图11A所示。然而,在另一个示例中,可以由允许第一周期性结构1201与第二周期性结构1206之间的非设计物理构造的额外的或可替代的差异的一个或更多个其它因素产生叠层差异。例如,可以在第一周期性结构1201相比于第二周期性结构1206对第一测量辐射束1210更不透明时产生叠层差异。例如,可以存在第一周期性结构1201与第二周期性结构1206之间的材料的差异(例如,具有不同折射率的相同类型的材料、不同类型的材料等)。作为另一个示例,可以存在第一周期性结构1201相对于第二周期性结构1206的节距的差异,即使其被设计为具有基本上相同的节距也是如此。叠层差异的这些示例并非可以存在叠层差异的仅有方式,并且因此不应该被认为是限制性的。
返回参考等式(10)和(11),叠层差异可以在等式(10)和(11)中的每一个中引入三个额外项。第一项ΔIN表示相应信号的强度的实际变化。第二项ΔCN表示相应信号的对比度的实际变化。第三项Δβ表示相应信号的相位的实际变化。这三个项依赖于测量辐射束1210和1215的波长和/或偏振。因此,在存在叠层差异的情况下,由第一周期性结构1201衍射的第-1衍射阶信号
Figure BDA0002056231110000261
以及由第一周期性结构1201衍射的第+1衍射阶信号
Figure BDA0002056231110000262
可以由如下等式统一地表达:
Figure BDA0002056231110000263
在图11B中,根据等式(12),分别以迹线1260和1262描绘由第一周期性结构1201衍射的第-1衍射阶信号
Figure BDA0002056231110000264
的强度轮廓以及由第一周期性结构1201衍射的第+1衍射阶信号
Figure BDA0002056231110000265
的强度轮廓。
在图11D中,根据等式(12),分别以迹线1270和1272描绘由第一周期性结构1201衍射的第-1衍射阶信号
Figure BDA0002056231110000266
的对比度轮廓以及由第一周期性结构1201衍射的第+1衍射阶信号
Figure BDA0002056231110000267
的对比度轮廓。
在图11F中,根据等式(12),分别以迹线1280和1282描绘由第一周期性结构1201衍射的第-1衍射阶信号
Figure BDA0002056231110000268
的相位轮廓以及由第一周期性结构1201衍射的第+1衍射阶信号
Figure BDA0002056231110000269
的相位轮廓。
另外,在存在叠层差异的情况下,由第二周期性结构1206衍射的第-1衍射阶信号
Figure BDA00020562311100002610
以及由第二周期性结构1206衍射的第+1衍射阶信号
Figure BDA00020562311100002611
可以由如下等式统一地表达:
Figure BDA00020562311100002612
在图11C中,根据等式(13),分别以迹线1264和1266描绘由第二周期性结构1206衍射的第-1衍射阶信号
Figure BDA00020562311100002613
的强度轮廓以及由第二周期性结构1206衍射的第+1衍射阶信号
Figure BDA00020562311100002614
的强度轮廓。因此,与图11B相比,存在强度不平衡性,这可能导致测量误差。
在图11E中,根据等式(13),分别以迹线1274和1276描绘由第二周期性结构1206衍射的第-1衍射阶信号
Figure BDA0002056231110000271
的对比度轮廓以及由第二周期性结构1206衍射的第+1衍射阶信号
Figure BDA0002056231110000272
的对比度轮廓。因此,与图11D相比,存在对比度不平衡性,这可能导致测量误差。
在图11G中,根据等式(13),分别以迹线1284和1286描绘由第二周期性结构1206衍射的第-1衍射阶信号
Figure BDA0002056231110000273
的相位轮廓以及由第二周期性结构1206衍射的第+1衍射阶信号
Figure BDA0002056231110000274
的相位轮廓。因此,与图11F相比,存在相位不平衡性,这可能导致测量误差。
将第一周期性结构1201的测得的强度不对称性ΔI+d定义为:
Figure BDA0002056231110000275
通过将等式(12)并入等式(14)中并且假设+ΔIN和ΔCN较小,可以将ΔI+d表达为:
Figure BDA0002056231110000276
并且,可以将平均强度
Figure BDA0002056231110000277
表达为:
Figure BDA0002056231110000278
其中,
Figure BDA0002056231110000279
类似地,将第二周期性结构1206的测得的强度不对称性ΔI-d定义为:
Figure BDA00020562311100002710
通过将等式(13)并入等式(16)中并且假设+ΔIN和ΔCN较小,可以将ΔI-d表达为:
Figure BDA00020562311100002711
并且,可以将平均强度
Figure BDA00020562311100002712
表达为:
Figure BDA0002056231110000281
可以通过如下等式计算测得的重叠量OVm
Figure BDA0002056231110000282
通过将等式(14)至(17)并入等式(18)中,可以根据如下等式获得重叠测量的误差ΔεOV
Figure BDA0002056231110000283
当β≈90°(针对良好设计的目标)并且重叠量(OV)(相对于偏置量d)较小时,可以将等式(19)进一步简化为:
ΔεOV=OVm-OV≈d*(ΔIN+ΔCN) (20)
另外,当第一周期性结构1201和第二周期性结构1206被良好设计为具有等于或约等于1的对比度C时,ΔCN约等于零。因此,可以将测量误差ΔεOV进一步简化为:
ΔεOV=OVm-OV≈d*ΔIN (21)
如从等式(19)至(21)所见,所测得的重叠量OVm与实际重叠量OV相差由叠层差异产生的测量误差ΔεOV。因此,测量(例如,对准的量测(其中,目标用于对准)、重叠的量测(其中,目标用于重叠测量)等)的准确度可以通过校正相邻周期性结构或目标之间的叠层差异而显著减小。可以随着产生或测量周期性结构或目标的过程的变化(例如过程偏移)来校正由叠层差异引起的测量误差,该过程的变化例如基于良率(即,为了确定周期性结构或目标是否准确而对已处理的器件进行的评估)、相邻周期性结构或目标的横截面的评估、或复杂量测及分析性重建。这些方法可能较慢和/或有破坏性。它们可以仅有效地用于校正恒定过程误差。另外,通过横截面或良率测量可能不能有效地解决相邻周期性结构或目标的叠层差异的变化。因此,例如,期望评估和校正叠层差异的鲁棒性的方案。
为了表征叠层差异,可以定义一个或更多个叠层差异参数。叠层差异参数是相邻周期性结构或目标的物理构造上的非设计差异的量度。叠层差异参数可以用于校正使用周期性结构或目标而进行的测量。可以在通过图案化过程来产生、鉴定、验证(等)例如器件中自然地使用校正测量。另外或可替代地,叠层差异参数(或从叠层差异参数导出的参数,诸如校正测量)可以用于相邻周期性结构或目标中的一个或多个的(再)设计中(例如,对设计的布局作出改变)、可以用于形成相邻周期性结构或目标中的一个或多个的过程中(例如,作出材料的改变、印刷步骤或条件中的改变等)、可以用于测量条件的公式化中(例如,依据测量束的波长、偏振、照射模式等对光学量测公式化作出改变)等。在实施例中,可以根据评估相邻周期性结构或目标的横截面来确定叠层差异参数。
在实施例中,可以针对复合光栅的下部邻近光栅,通过在施加上部光栅之前评估下部相邻光栅来确定叠层差异参数。在实施例中,可以从根据相邻周期性结构或目标的光学测量或者根据相邻周期性结构或目标的横截面对相邻周期性结构或目标的重建(如上文所描述的)来导出叠层差异参数。即,重建物理尺寸、特性、材料属性等,并且确定相邻的周期性结构或目标之间的差异,以获得叠层差异参数。
在实施例中,可以与从相邻周期性结构或目标测量的辐射相关联地使用叠层差异参数,以导出诸如重叠、CD、聚焦、剂量等感兴趣的参数的校正测量。在实施例中,可以将叠层差异参数用于相邻周期性结构或目标的光学量测的模拟中,以导出诸如重叠、CD、聚焦、剂量等感兴趣的参数的校正的模拟测量。Maxwell求解程序和严密耦合波分析(RCWA)可以用于获得叠层差异参数的值和/或感兴趣的参数的校正的模拟测量值。
叠层差异参数的实施例是周期性结构强度不平衡性(GI),它可以被定义为:
Figure BDA0002056231110000301
其中,
Figure BDA0002056231110000302
是由第一周期性结构1201衍射的第+1衍射阶强度信号
Figure BDA0002056231110000303
与由第一周期性结构1201衍射的第-1衍射阶强度信号
Figure BDA0002056231110000304
的平均值。类似地,
Figure BDA0002056231110000305
是由第二周期性结构1206衍射的第+1衍射阶强度信号
Figure BDA0002056231110000306
与由第二周期性结构1206衍射的第-1衍射阶强度信号
Figure BDA0002056231110000307
的平均值。在实施例中,周期性结构强度不平衡性(GI)可以是导出的形式,例如
Figure BDA0002056231110000308
等。
通过将等式(12)和(13)并入等式(22)中,周期性结构强度不平衡性GI变为:
Figure BDA0002056231110000309
在等式(23)中,第一项与叠层差异相关,并且第二项与实际重叠量OV相关。第二项比第一项小得多。具体地说,当量测目标测量选配方案被良好地设计、β≈90°并且重叠量(OV)较小时,实际重叠量OV对周期性结构强度不平衡性GI的影响变得可以可忽略不计,这是因为第二项变为零。因此,周期性结构强度不平衡性GI由叠层差异主导,如下式所示:
GI≈2ΔIN-2CΔβ (24)
当与等式(21)比较时,可以看到,周期性结构强度不平衡性GI是第一周期性结构1201与第二周期性结构1206之间的叠层差异的良好指标,并且因此是良好的叠层差异参数。为了考虑不可忽略的第二项,可以将阈值应用于周期性结构强度不平衡性GI以识别是否存在显著的叠层差异。即,例如,如果周期性结构强度不平衡性GI超过阈值,则存在叠层差异并且可以使用周期性结构强度不平衡性GI;否则,如果周期性结构强度不平衡性GI低于阈值,则第一项和第二项的组合没有识别出显著的叠层差异。
因此,通常可以依据叠层差异参数SD将测量误差ΔεOV表示为:
ΔεOV=OVm-OV=ξSD*SD (25)
其中,ξSD是针对第一周期性结构1201与第二周期性结构1206的叠层差异参数与测量误差之间的关系函数。在实施例中,叠层差异参数是或者包括周期性结构强度不平衡性GI(或者从周期性结构强度不平衡性GI导出)。因此,可以将测量误差ΔεOV表示为ΔεOV=ξSD*GI。
另外,在实施例中,可以通过包括结构不对称性来扩展ΔεOV,使得
ΔεOV=OVm-OV=ξSD*SD+ξBGA*BGA (26)
其中,BGA是周期性结构1201、1206中的结构不对称性,并且是针对周期性结构1201和1206的结构不对称性与测量误差之间的关系函数。
在等式(25)和(26)中,可以分别基于I±1(±d)的测量来计算OVm和SD(其中,例如SD是周期性结构强度不平衡性GI(或者从GI导出))。另外,OVm、SD和ξSD的值都依赖于一个或更多个测量参数(例如波长、偏振等)。
在实施例中,可以通过使用两个不同测量参数来测量相邻周期性结构或目标而得出关系函数ξSD。关系函数ξSD可以仅是恒定值。在这种情况下:
OVm,A-OV=ξSD,A*SDA
OVm,B-OV=ξSD,B*SDB
ΔOV=OVm,A-OVm,B=ξSD,A*SDASD,B*SDB (27)
其中,下标A和B表示能够归因于分别使用测量参数集合A和测量参数集合B进行的测量的项。具体地,OVm,A和OVm,B是分别使用测量参数集合A和测量参数集合B测得的重叠量,并且ξSD,A和ξSD,B分别是根据测量参数集合A和测量参数集合B用于第一周期性结构1201和第二周期性结构1206的关系函数。SDA和SDB是基于分别使用测量参数集合A和测量参数集合B对I±1(±d)的测量而计算出的叠层差异参数。另外,ΔOV是利用测量参数集合A测量的重叠量OVm,A与利用测量参数集合B测量的重叠量OVm,B之间的差值。测量参数集合A和B可以在例如测量辐射的波长和/或偏振方面不同。
因此,在叠层差异参数是或者包括周期性结构强度不平衡性GI的情况下,等式(27)变为:
OVm,A-OV=ξSD,A*GIA
OVm,B-OV=ξSD,B*GIB
ΔOV=OVm,A-OVm,B=ξSD,A*GIASD,B*GIB (28)
其中,GIA和GIB是根据等式(22)基于分别使用测量参数集合A和测量参数集合B对I±1(±d)的测量而计算出的。
因此,在实施例中,可以通过确定使用测量参数集合A计算的叠层差异参数SDA(例如GIA)、使用测量参数集合B计算的叠层差异参数SDB(例如GIB)以及测量参数集合A和B之间的重叠测量的差值ΔOV之间的关系来得出关系函数ξSD。通过使用ξSD,可以从等式(28)确定重叠量OV。
现在,如上文所论述的,量测目标可以具有叠层差异,该叠层差异影响可以使用它们的测量而确定的参数(例如重叠)。在器件制造期间,诸如化学机械抛光(CMP)、膜沉积、旋涂等许多过程可能造成叠层差异(以及如上文所论述的结构不对称性)。叠层差异可以包括侧壁角差异、厚度不对称性差异、临界尺寸差异、过度蚀刻差异等。叠层差异可能严重影响重叠测量的准确度(例如高达几纳米),这是因为过程诱发的叠层差异(和结构不对称性)可能被量测工具误解为(重叠的)偏移,这将使重叠准确度劣化。
图12中描绘了可以具有叠层差异的、基于衍射的示例性量测目标。在这种情况下,量测目标用于确定重叠参数。图12可以具有不同形式并且被测量以确定除了重叠之外的一个或更多个不同的参数,并且此处的论述不限于图12所示的形式或者确定重叠的量测目标。
参考图12,该示例性量测目标包括多个周期性结构(例如光栅)805、810、815、820。已知的是,为了重叠测量,将在图12所示的量测目标上方或下方的层中设置另一个相当的量测目标。所述另一个量测目标的周期性结构将与图12所示的量测目标重叠,使得那些其它周期性结构与图12的周期性结构之间的对准不良将提供重叠误差的量度。
在图12中,对称周期性结构805和810被设计为测量在y方向上的重叠,而对称周期性结构815和820被设计为测量在x方向上的重叠。在实施例中,不需要周期性结构的两个不同的集合;可以提供仅一个集合(例如805和810,或815和820)。另外,周期性结构的集合具有如上文所论述的有意的相对偏置。在这种情况下,周期性结构的集合805和810中的周期性结构805具有+d偏置量,而该集合的周期性结构810具有-d偏置量。类似地,周期性结构的集合815和820中的周期性结构815具有-d偏置量,而该集合的周期性结构820具有+d偏置量。所述偏置量不需要相等。
可以遍及诸如图12中所描绘的目标产生叠层差异。例如,在用于确定在特定方向上的重叠的周期性结构的集合的+d区域与-d区域之间可以存在差异(例如,周期性结构805与810之间的叠层差异和/或周期性结构815与820之间的叠层差异)。因此,叠层差异可影响根据在利用测量束照射那些周期性结构时获得的强度测量值而确定的重叠量。
因此,提供了如下方案:通过选择鲁棒性的的量测目标测量选配方案和/或通过校正叠层差异诱发的重叠误差来计算重叠,从而减轻这种影响。该方案能够实现以更好的速度、准确度和/或精确度的重叠量测的改善。
为了确定重叠,可以通过诸如周期性结构强度不平衡性GI的叠层差异参数来表征叠层差异。在实施例中,周期性结构强度不平衡性GI可以是+/-d周期性结构之间的第一阶强度差。
参考图13,描绘了使用周期性结构的集合来确定重叠的示例。在该示例中,通过实际测量来确定重叠量。然而,另外或可替代地,可以使用模拟如何使用测量束来测量量测目标的光学过程的模拟来确定重叠量。在实施例中,模拟过程可以通过例如引入对应于通过对叠层差异的分别确定(例如通过一个或更多个量测目标的扫描电子显微镜检查来识别叠层差异)而确定的叠层差异的值来考虑叠层差异。在实施例中,模拟过程可以通过例如扰动值来有效地、人工地产生叠层差异(可以通过图案化过程信息而约束或指导其的扰动)来考虑叠层差异。这种扰动对于确定对一个或更多个叠层差异鲁棒性的量测目标测量选配方案可能是有用的。
在图13的示例中,利用测量束来照射周期性结构805和810(具有相反的偏置量+d和-d)的集合。使用基于衍射的测量工具来测量周期性结构805和810,该基于衍射的测量工具从使用图像平面检测的强度测量值导出重叠量。图像平面检查能够形成完整周期性结构的轮廓。因此,可以在感兴趣的区域(ROI)内的每一个像素处确定重叠,以形成目标内重叠图。在图13中示出了这种示例。周期性结构805的第-1阶辐射的强度测量示出于1305处,并且周期性结构805的第+1阶辐射的强度测量示出于1310处。另外,周期性结构810的第-1阶辐射的强度测量示出于1315处,并且周期性结构810的第+1阶辐射的强度测量示出于1320处。在1305、1310、1315和1320中的每一者处,描绘了ROI的每一个像素处的强度值的示例性曲线图,其中,灰阶示出了强度的水平。将明白的是,不需要产生曲线图。曲线图是出于解释的目的而示出的。然后,1305的像素与1310的像素之间的差异产生了用于来自1305和1310的像素的每一个组合的像素水平强度不对称性值A+d,如在1325处所描绘的;并且1315的像素与1320的像素之间的差异产生了用于来自1315和1320的像素的每一个组合的像素水平强度不对称性值A-d,如在1330处所描绘的。然后,可以在1335处例如针对每一个像素使用
Figure BDA0002056231110000351
等式(2)等来确定每一个像素处的重叠量。
现在,可以在像素水平以与图13中确定的重叠类似的方式来计算叠层差异参数GI。参考图14,使用周期性结构805的第-1阶辐射的强度测量1305、周期性结构805的第+1阶辐射的强度测量1310、周期性结构810的第-1阶辐射的强度测量1315和周期性结构810的第+1阶辐射的强度测量1320,可以在1415处针对每一个像素计算叠层差异参数GI。作为在1415处的叠层差异参数GI计算的输入,在1405处计算周期性结构805的第-1阶辐射的强度测量1305与周期性结构805的第+1阶辐射的强度测量1310的组合。另外,在1410处计算周期性结构810的第-1阶辐射的强度测量1315与周期性结构810的第+1阶辐射的强度测量1320的组合。在实施例中,在1405、1410处的组合是相应像素的总强度、相应像素的强度的平均值等。然后,可以在1415处确定每一个像素处的叠层差异参数GI。在实施例中,叠层差异参数GI是在每一个像素处在1405、1410处计算的值之间的差异。在实施例中,根据等式(22)或本文中的任何其它叠层差异参数公式来计算叠层差异参数GI。在1415处,描绘了ROI的每一个像素处的叠层差异参数GI的值的示例性曲线图,其中,灰阶示出了叠层差异参数GI的值水平。将明白的是,不需要产生曲线图。曲线图是出于解释的目的而示出的。
利用来自ROI中的像素的“目标内”重叠和叠层差异参数GI数据,可以通过遍及ROI确定每像素的重叠如何随着每像素的叠层差异参数GI变化而变化来评估叠层差异对重叠的影响。量测目标内的重叠对叠层差异参数GI的任何依赖性都可能造成重叠误差,从而使测量准确度劣化。如图15中所说明的,不同的量测目标测量选配方案可以实现重叠对叠层差异参数GI的不同依赖性,并且因此可以产生不同的重叠结果。在1510处,描绘了用于ROI的叠层差异参数GI数据的示例(即,能够与1415处的数据比较)。然后,在1515处,描绘了用于ROI的相应重叠数据的示例(即,能够与1335处的数据比较)。该数据对应于在特定测量束波长和偏振(例如在600nm和0°偏振)的特定量测目标。使用数据1510和1515,计算OV随着叠层差异参数GI变化的变化。这种情况的示例被示出于1500处,其中,水平轴线是叠层差异参数GI,并且竖直轴线是重叠量。数据点中的每一者对应于来自叠层差异参数GI和重叠数据的用于像素的特定组合的叠层差异参数GI和重叠数据。在实施例中,所比较的像素是1510、1515中的每一者中的能够比较的像素。在图16A中示出了能够比较的像素的示例,其中,计算用于周期性结构1中的像素的A+d(例如
Figure BDA0002056231110000361
)以及用于位于能够比较的部位处的周期性结构2中的像素的A-d(例如
Figure BDA0002056231110000362
Figure BDA0002056231110000363
)。即,例如,来自1510、1515中的每一者的左上角处第一列中的第一个像素的数据对应于1500中的数据点,然后该第一列中的第二个像素的数据对应于1500中的数据点等。该数据的示例呈现为1500。
类似地,在1520处,描绘用于ROI的叠层差异参数GI数据的示例(即,能够与1415处的数据比较)。然后在1525处,描绘了用于ROI的相应重叠数据的示例(即,能够与1335处的数据比较)。该数据对应于相同的量测目标和测量偏振,但处于与针对1510和1515的测量束波长不同的测量束波长(例如处于550nm处)。使用数据1520和1525,计算OV随着叠层差异参数GI变化的变化。这种情况的示例被示出于1505处,其中,水平轴线是叠层差异参数GI,并且竖直轴线是重叠量。
数据点中的每一个对应于关于来自+d目标数据(例如数据1325、1405)和-d目标数据(例如数据1330、1410)的像素的特定组合的叠层差异参数GI和重叠数据。在实施例中,被处理的像素是+d和-d目标数据中的能够比较的像素。即,例如,数据1325、1405、1330、1410的左上角处第一列中的第一个像素用于相应的计算,然后该第一列中的第二个像素用于相应的计算,等等。然而,过程可以产生+/-d目标区域之间的叠层差异的各种不同轮廓,诸如遍及+/-d目标区域的叠层差异参数值的梯度、叠层差异参数值随着+d目标区域相比于-d目标区域的相对移位的扰动、叠层差异参数值随着+/-d目标区域中的相同移位的波动等。因此,利用像素水平强度测量数据,期望处理许多可能的类型的叠层差异。这可以通过经由以下操作产生众多的可能的叠层差异参数GI的轮廓来进行:例如以不同对称性(平移、旋转、反射等)改变来自+d目标区域的像素数据与来自-d目标区域的像素数据如何匹配。因此,在实施例中,使用1325与1330中的数据之间的一个或更多个其它或另外的组合。例如,在实施例中,在+/-d目标区域数据之间存在旋转对称性,以供叠层差异参数GI计算。这种情况的示例呈现于图16B中。例如,可以比较用于周期性结构1中的像素的A+d(例如
Figure BDA0002056231110000371
)与用于围绕ROI的中心定位在180°处的周期性结构2中的像素的A-d(例如
Figure BDA0002056231110000372
)。在实施例中,可以将1325中的每一个像素与1330中的每一个像素进行比较。因此,在实施例中,通过改变+d目标像素数据与-d目标像素数据如何匹配,可以产生并评估各种可能的叠层差异参数GI情形,而不必实体地产生和测量这种情形。
使用1500和1505的数据,执行线/曲线拟合。在数据1500中,线/曲线1530示出了重叠随着变化的叠层差异参数GI而是相当稳定的。相反,在数据1505中,线/曲线1535示出了重叠随着变化的叠层差异参数GI而是相当显著地变化。尽管如此,但数据1510似乎完全可以与数据1520比较,并且数据1515似乎完全可以与数据1525比较。
因此,每像素的重叠与每像素的叠层差异参数GI之间的拟合的斜率提供用于对重叠的叠层差异影响的指标。斜率越接近于0,重叠相对于变化的叠层差异参数GI越稳定。因此,斜率更接近于或等于0的量测目标测量选配方案表示它对叠层差异参数GI变化是稳定的(如下文所论述的,它可以用于区分更好的量测目标测量选配方案与斜率远非0的另一个量测目标测量选配方案)。
另外,特别在采用与-d目标区域像素数据匹配的+d目标区域像素数据的多个组合(例如,来自能够比较的部位的数据和来自具有旋转对称性的部位的数据、来自具有一种类型的对称性的部位的数据和来自具有另一类型的对称性的部位的数据、来自+d目标区域中的每一个像素的数据与-d目标区域中的每一个像素的数据比较等)的情况下,可以将为零的叠层差异参数GI处的重叠评估为无叠层差异的重叠的量度。例如,在为零的叠层差异参数GI的重叠的值可以被平均化,以给出无叠层差异的重叠量。
此外,通过使叠层差异参数GI与具有目标的周围结构相关,发现了叠层差异参数GI可以依赖于该目标在衬底上的部位。目标的周围部分的布局或图案密度将影响目标的叠层差异参数GI。然而,遍及目标的ROI的重叠对叠层差异参数GI的斜率显示出对衬底上的目标的部位的极小的依赖性。其更多地依赖于量测目标测量选配方案,这使得其相比于例如遍及衬底的叠层差异参数GI的平均值成为良好的性能指标,从而识别潜在的叠层差异和/或不准确度问题。
在实施例中,可以出于各种目的来使用拟合的斜率。例如,拟合的斜率可以用作叠层差异对重叠的影响的运行时间指标。例如,如果斜率满足或超过阈值,则可以舍弃测量结果、可以停止使用特定的量测目标量测选配方案的进一步量测、可以重新配置量测目标测量选配方案等。
作为另一个示例,可以将拟合的斜率用于量测目标测量选配方案的设计和选择中,以排除对叠层差异敏感的量测目标测量选配方案,并且期望选择对叠层差异不敏感或者具有低的敏感度以帮助确保良好的测量准确度的一个或更多个量测目标测量选配方案以用于生产测量中。如上文所论述的,来自+d目标区域的像素数据与来自-d目标区域的像素数据如何匹配的各种组合可以被评估,以确定使用特定的量测目标测量选配方案而确定的重叠对不同类型的堆叠差异轮廓作出何种响应。期望的是,鲁棒性的量测目标测量选配方案应该显示出稳定的重叠,而无论+/-d目标区域之间的像素如何排列。
另外,已经发现的是,非零重叠可以诱发周期性结构强度不平衡性GI的值。该重叠诱发的周期性结构强度不平衡性GIoverlay不影响重叠准确度。然而,重叠诱发的周期性结构强度不平衡性GIoverlay可能使对叠层差异参数GIstack确定复杂化(例如,归因于叠层差异并且负面地影响重叠确定的周期性结构强度不平衡性)。因此,为了估计由非重叠诱发的叠层差异参数GIstack造成的重叠误差,从总周期性结构强度不平衡性GItotal移除周期性结构强度不平衡性GIoverlay。当来自堆叠变化的叠层差异参数GI(即,GIstack)是影响重叠准确度的主要因素时,可以将总周期性结构强度不平衡性GItotal近似为GIoverlay+GIstack,其中,GItotal是遍及目标的平均周期性结构强度不平衡性GI(它可以如以上所描述地遍及目标而被确定,并且然后被平均化)、GIoverlay是遍及目标的重叠诱发的周期性结构强度不平衡性GI,并且GIstack是归因于叠层变化的叠层差异周期性结构强度不平衡性,假设它们是彼此独立的。
为了获得周期性结构强度不平衡性GIoverlay,可以认为量测目标的某一部分具有由叠层变化造成的最小周期性结构强度不平衡性GI。在实施例中,该部分是在计算周期性结构强度不平衡性GI时使用的基本上最接近+d目标区域的-d目标区域的部位,反之亦然。在实施例中,“基本上最接近”是指在-d目标区域的周期性结构与+d目标区域的周期性结构之间的最短距离的±10%内或±20%内。在实施例中,周期性结构强度不平衡性GI是根据强度计算出的,该强度是从+d目标区域与-d目标区域的基本上最接近区域测得的。在实施例中,使用来自10个或更多个像素、15个或更多个像素、20个或更多个像素、25个或更多个像素(例如5×5像素区域)、30个或更多个像素、或者40个或更多个像素的区域的数据来提取周期性结构强度不平衡性GIoverlay。在实施例中,被认为具有由叠层变化造成的最小周期性结构强度不平衡性GI的部分的示例在图12中被示出为部位825。在实施例中,被认为具有由叠层变化造成的最小周期性结构强度不平衡性GI的部分的另外的示例在图14中被示出为部位825。量测目标的周期性结构的内部区域(例如边、角)应该具有由叠层变化造成的最小周期性结构强度不平衡性,这是因为它们是下述区域:在该区域中-d/+d衍射辐射变得彼此最接近,并且根据典型的光刻后转印图案化过程预期到最小叠层变化。因此,来自内部区的周期性结构强度不平衡性GI应该主要来自重叠,并且因此,针对所述部分计算出的周期性结构强度不平衡性GI可以近似为周期性结构强度不平衡性GIoverlay。然后,叠层差异周期性结构强度不平衡性GIstack将是GItotal-GIoverlay。然后,可以通过使用上文所描述的重叠相对于叠层差异参数GI的斜率乘以叠层差异参数GIstack来估计由叠层差异造成的重叠误差。即,利用估计出的G1stack和每像素的重叠与叠层差异参数GI之间的斜率,可以估计每个量测目标部位的由叠层差异造成的重叠误差。
根据研究,遍及衬底的叠层差异参数GIstack的平均场指纹显示出与在衬底的中心的场处的总周期性结构强度不平衡性GI相似的趋势,其中,重叠量是最小的,并且其中,周期性结构强度不平衡性GIoverlay的贡献最小。这表明可以使周期性结构强度不平衡性GIoverlay与叠层差异参数GIstack分离开。
另外或者在可替代的方案中,周期性结构强度不平衡性GIoverlay可以用作下文所描述的不同重叠误差校正方法中的参考,这是由于如果存在对零周期性结构强度不平衡性GI的校正,则可能发生过度校正。因此,在实施例中,重叠准确度可以通过利用叠层差异校正计算重叠(即,通过将周期性结构强度不平衡性GIoverlay用作参考来校正叠层差异诱发的重叠误差)而得以改善。参考图17描述这种方法的实施例。
在1700处,例如经由图像平面检测获得用于ROI中的所有像素的强度数据A+d(例如
Figure BDA0002056231110000401
)和A-d(例如
Figure BDA0002056231110000402
)。然后,针对每一个像素计算叠层差异参数GI。可以如下式所示地计算叠层差异参数GI:
Figure BDA0002056231110000403
可以以不同方式计算叠层差异参数GI。例如,用于+d目标区域和-d目标区域的相应ROI可以具有非旋转对称性。在上文参考图16A描述了这种情况的示例。作为另一个示例,用于-d目标区域的ROI可以具有相对于+d目标区域ROI的旋转对称性。在上文中参考图16B描述了这种情况的示例。在实施例中,+/-d目标数据之间的旋转对称性用于叠层差异参数GI计算,这是由于利用旋转对称性,可以计算来自从+d目标区域与-d目标区域的基本上最接近区(例如,在图12中被示出为部位825)测量的强度的叠层差异参数GI,以近似周期性结构强度不平衡性GIoverlay。然而,为了计算叠层差异参数GI,不同的对称操作(和非对称操作)是可能的。
在1710处,使用有效的像素数据评估(例如绘制)作为叠层差异参数GI的函数的A+d和A-d。如果用于像素的数据相比于数据的其余部分不是不常见的,则像素数据是有效的。例如,可以忽略超出ROI数据的平均值±2标准偏差或平均值±3标准偏差的像素数据。在图18中呈现了作为叠层差异参数GI的函数的A+d和A-d的示例性绘图。获得了用于作为叠层差异参数GI的函数的A+d的绘图,并且获得了用于作为叠层差异参数GI的函数的A-d的另一个绘图。当然,实际绘图不是必要的,这是因为可以在没有绘图的情况下仅估计数据。
在1720处,在参考叠层差异参数GIref=GIoverlay的情况下获得A+d值和A-d值。为了实现该操作,可以确定用于作为叠层差异参数GI的函数的A+d和A-d的数据的拟合。在实施例中,该拟合是线性拟合。线性拟合的示例如图18中的1800所示。然后,例如,从叠层差异参数GIref=GIoverlav处的拟合获得经校正的强度不对称性A+d值和A-d值,例如图18所示。在实施例中,经校正的强度不对称性A+d值和A-d值分别是具有叠层差异参数GIref=GIoverlay的相应的A+d值和A-d值的统计平均值。
利用作为叠层差异参数GI的函数的重叠的数据的线性拟合对重叠的直接内插可能不如在参考叠层差异参数GIref=GIoverlay的情况下内插A+d值和A-d值一样有效。这是因为重叠不是叠层差异参数GI的简单的线性函数;然而,A+d和A-d以及叠层差异参数GI可以是强度的线性函数。
在1730处,将叠层差异参数GIref的经校正的A+d值和A-d值用于重叠计算,以得到经叠层差异校正的重叠量。用于计算重叠量OV的等式的示例性形式如下:
Figure BDA0002056231110000411
其中,d是周期性结构的偏置量,并且P是周期性结构的特征的节距。因此,通过使用在叠层差异参数GIref=GIovelay的情况下的A+d值和A-d值,可以减小或消除叠层差异对重叠准确度的影响。
因为使用有限数目个像素来提取周期性结构强度不平衡性GIoverlay,所以它可能是有噪声的,这可能使得重叠误差校正的有效性较低。叠层差异参数GIstack经常具有强的场内指纹(即,衬底上的场内的值的特定指纹),该场内指纹是通过环绕量测目标的结构的布局/图案密度而确定的。该结构遍及衬底的场或者在特定图案化过程的多个衬底的场中没有显著的变化。因此,为了具有更加有效的校正,可以对一个衬底或多个衬底的更多场进行采样,以获得周期性结构强度不平衡性GIoverlay。通过使用经平均化的场周期性结构强度不平衡性GIoverlay,可以在遍及衬底的每一个目标位置处获得更准确的叠层差异参数GIstack,这将在上述工序中使得A+d值和A-d值具有更好的准确度。
衬底处理中的微小改变将导致重叠变化,由此影响(例如)重叠控制回路APC(自动过程控制)和器件良率。因此,在实施例中,期望鉴于叠层差异来优化量测目标测量选配方案的选择,以便获得更准确的重叠误差测量,或者移除归因于叠层差异的重叠贡献,从而校正重叠误差测量。
因此,披露了识别一个或更多个期望的量测目标测量选配方案的方法。一旦被识别,量测目标测量选配方案就可以用于执行重叠测量。
应该注意的是,一个或更多个期望的量测目标测量选配方案将倾向于对应于特定的量测目标设计,从而量测目标设计与一个或更多个测量特性的期望组合被识别。然而,特定的量测目标设计将不一定提供关于所有测量特性的良好结果,并且一个或更多个测量特性将不一定提供关于所有量测目标设计的良好结果。因此,期望找到一种量测目标测量选配方案。
可以以多种方式来改变量测目标设计。可以存在诸如临界尺寸、侧壁角度、节距等一个或更多个参数的变化。可以评估多个候选量测目标设计,每一设计示出这些参数中的一个或多个的变化。类似地,可以依据诸如波长和/或偏振的参数来改变测量特性。因此,可以评估多个量测目标测量选配方案,每一个选配方案显示出这些参数中的一个或更多个参数的变化。
图19是根据示例性实施例的量测目标测量选配方案选择方法的流程图。在1900处,根据测量辐射强度值,确定用于第一量测目标测量选配方案的测量辐射强度值以及重叠量和叠层差异参数值。在1910处,确定用于量测目标测量选配方案的作为叠层差异参数的函数的重叠的数据的拟合的斜率。在1920处,比较该量测目标测量选配方案的拟合的斜率与另一个不同的量测目标测量选配方案的拟合的斜率。在1930处,确定所述量测目标测量选配方案中的哪一个具有更好的拟合的斜率。例如,斜率更接近于或等于0的量测目标测量选配方案表示:它对于叠层差异变化是稳定的,这可以用于区分更好的量测目标测量选配方案与斜率远非0的另一个量测目标测量选配方案。在1940处,可选地根据1900至1930评估另外一个或更多个量测目标测量选配方案。在1950处,基于对拟合的斜率的分析而输出一个或更多个期望的量测目标测量选配方案。
图20示出了说明一个过程的流程图,在该过程中量测目标用于监测性能,并且用作控制量测过程、设计过程和/或生产过程的基础。在步骤2000中,处理衬底以产生如本文中描述的产品特征以及一个或更多个量测目标。在步骤2010处,使用例如图6的方法来测量和计算图案化过程参数(例如重叠)值,并且可选地根据本文中描述的方法使用叠层差异来校正图案化过程参数值。在步骤2020处,可以使用所确定的图案化过程参数(例如重叠)值(连同可获得的其它信息),来更新、改变(等)量测目标测量选配方案。经更新的、改变的等的量测目标测量选配方案可以用于图案化过程参数的后续测量(例如,用于后续处理的衬底上的图案化过程参数的测量)。这样,可以改善计算出的图案化过程参数的准确度。如果希望的话,可以自动地更新程序。在步骤2030中,可以使用图案化过程参数值来控制、修改、设计等光刻图案化步骤/设备和/或图案化过程中的其它程序步骤/设备,以用于例如再加工和/或用于另外的衬底的处理。另外,如果期望的话,可以自动地进行这种更新。
因此,在实施例中,使用来自图像平面检测的周期性结构像素水平数据来导出叠层差异参数值,并且使用那些叠层差异参数值来例如校正重叠。在实施例中,通过改变+d目标像素数据与-d目标像素数据的如何匹配,可以产生多种可能的叠层差异情境,并且相应地可以评估它们如何影响重叠。在实施例中,用于作为量测目标内的叠层差异参数的函数的重叠的数据的拟合的斜率是例如量测目标测量选配方案选择的鲁棒性的和/或准确度指标。
在实施例中,基于量测目标类型和布局预期+/-d目标区域具有最小叠层差异的像素处使用数据而计算出的重叠能够实现不同量测目标测量选配方案之间更好的重叠一致性,从而指示更好的重叠准确度。在实施例中,通过使用量测目标的内部像素数据,可以使重叠诱发的周期性结构强度不平衡性GIoverlay与非重叠诱发的周期性结构强度不平衡性GIstack分离开。利用叠层差异参数GIstack和作为叠层差异参数GI的函数的重叠的数据的拟合的斜率,可以估计由叠层差异造成的重叠误差。
在实施例中,通过如下操作来获得叠层差异经校正的重叠:利用量测目标的ROI内的像素的信息、获得作为叠层差异参数GI的函数的强度不对称性值A+d和A-d的数据的拟合(例如,线性拟合是有效的),并且在叠层差异参数GIref=GIoverlay的情况下提取强度不对称性值A+d和A-d。在GIref=GIoverlay的情况下提取的强度不对称性值A+d和A-d用于校正重叠计算。在实施例中,强度不对称性值A+d和A-d是通过如下操作来确定的:使来自+d目标区域的具有旋转对称性的像素与来自-d目标区域的像素匹配,然后,所述像素用于获得+d ROI和-d ROI的平均强度差异,以用于计算叠层差异。
利用本文中的叠层差异方法,可以获得改善的重叠准确度。利用本文中的叠层差异方法,可以改善场内一致性。利用本文中的叠层差异方法,可以改善量测目标测量选配方案之间的相似性。因此,叠层差异方法应该会开辟出用于量测目标测量选配方案选择的更多的良好设定,这能够使得量测鉴定更容易和/或更快速。
在实施例中,利用本文中的叠层差异方法,可以通过筛选叠层差异敏感的量测目标测量选配方案来缩短用于确定量测目标测量选配方案的时间。例如,本文中的叠层差异方法可以潜在地减少所考虑的量测目标测量选配方案的迭代的次数。在实施例中,利用本文中的叠层差异方法,可以识别更鲁棒性的的量测目标测量选配方案。
可以通过本文中描述的构思使以下特征中的一个或多个变为可行的:使用叠层差异以用于在线测量中进行重叠误差测量的校正;可以根据重叠和叠层差异确定结果来获得更准确的重叠测量;可以使用叠层差异来识别过程鲁棒性的的量测目标测量选配方案;和/或可以根据计算出的叠层差异参数来确定期望的量测目标测量选配方案。
本文中描述的方法可以不需要新的掩模版设计、不需要改变量测设计和/或不需要增加量测目标的实际面积(real-estate)。所述方法还能够拓宽应用场合,例如,叠层差异可以用于过程稳定性监测。
虽然实施例已经聚焦于第+1和第-1衍射阶辐射,但是可以考虑和处理其它衍射阶的辐射。
虽然上文所披露的实施例在基于衍射的重叠测量(例如,使用图3A所示的设备的第二测量分支进行的测量)方面进行了描述,但是原则上相同的模型可以用于基于光瞳的重叠测量(例如,使用图3A所示的设备的第一测量分支进行的测量)。因此,应该明白的是,本文中描述的构思同样适用于基于衍射的重叠测量以及基于光瞳的重叠测量。
虽然本文中描述的量测目标的实施例已经主要在重叠测量方面进行了描述,但是本文中描述的量测目标的实施例可以用于测量一个或更多个额外的或可替代的图案化过程参数。例如,量测目标可以用于测量曝光剂量变化、测量曝光聚焦/散焦、测量CD等。另外,此处的描述也可以在适当的情况下以具有修改的方式应用于例如光刻设备中的使用对准标记进行的衬底和/或图案形成装置对准。类似地,可以确定用于对准测量的合适的选配方案。
在实施例中,用于感兴趣的区域中的所有合适的像素的强度数据用于选择诸如波长和偏振的测量设定。针对小的重叠数目,第+1衍射阶与第-1衍射阶之间的强度差ΔI为
ΔI=KxOV
其中,OV是重叠量,并且K是依赖于叠层和测量设定的重叠敏感度。如果如以上所描述地确定了ΔI和OV,则可以计算K的值。目的是找到对应于大的重叠敏感度但遍及感兴趣的区域具有敏感度的小变化的测量设定。可以将所述变化表述为σK,它是遍及感兴趣的区域的所有有效像素之上的K的标准偏差。目标内的值σK/K将指示最佳测量设定是什么。除了导出目标内σK/K之外,遍及整个晶片的目标内σK/K的平均加或减三个标准偏差也被计算出来,并作为测量设定的鲁棒性的另一个指标。本发明人已经认识到,与不考虑感兴趣的区域中的像素之间的K的变化的遍及晶片的计算σK/K相比较时,所述计算提供了最佳的测量设定的更好的指标。遍及晶片的σK/K可能导致测量设定之间的不明确性(ambiguity),并且因此导致不良的测量精度及重叠不准确度。目标内σK/K捕获感兴趣的区域内的小尺度局部过程变化。变化的尺寸通常是大约几微米的量级。可以选择也提供最佳光栅不平衡敏感度的最佳测量设定。目标内σK/K的确定不一定需要密集地采样,并且对遍及晶片的大约200个点的稀疏地采样可能是足够的。
虽然上文所描述的目标结构是出于测量的目的而特定地设计和形成的量测目标,但是在其它实施例中,可以在形成于衬底上的器件的功能部件的目标上测量属性。许多器件具有类似于光栅的规则周期性结构。如本文中使用的目标的术语“目标”、“光栅”或“周期性结构”不需要使已经针对正在执行的测量具体地提供适用的结构。另外,虽然量测目标的节距P接近于测量工具的光学系统的分辨率极限,但是可以比目标部分C中通过图案化过程制作的典型产品特征的尺寸大得多。实际上,可以使周期性结构的特征和/或空间包括在尺寸方面类似于产品特征的较小结构。
与如在衬底和图案形成装置上实现的目标的物理结构相关联地,实施例可以包括包含机器可读指令的一个或更多个序列和/或函数数据的计算机程序,它们描述目标设计、描述设计用于衬底的目标的方法、描述在衬底上制造目标的方法、描述测量衬底上的目标的方法和/或描述分析测量以获得关于图案化过程的信息的方法。可以在例如图3的设备中的单元PU和/或图2的控制单元LACU内执行该计算机程序。也可以提供其中存储有该计算机程序的数据存储介质(例如半导体存储器、磁盘或光盘)。在例如属于图3所示的类型的现有的检查设备已经在生产中和/或在使用中的情况下,可以通过提供更新的计算机程序产品以使处理器执行本文中描述的方法中的一个或更多个(例如计算如本文中描述的重叠误差)来实施实施例。可选地,程序可以被布置为控制光学系统、衬底支撑件等,以执行测量适当的多个目标上的图案化过程的参数(例如,进行测量以确定适当的多个目标上的叠层差异和/或结构不对称性,和/或确定重叠误差)的方法。程序可以更新图案化过程和/或量测选配方案的参数,以用于另外的衬底的测量。程序可以被布置为(直接或间接地)控制光刻设备,以用于图案化和处理另外的衬底。
另外,已经在本文中描述了关于基于衍射的量测术的实施例,该基于衍射的量测术例如根据来自衍射阶的强度来测量重叠的周期性结构的相对位置。然而,本文中的实施例可以在必要时以具有适当变型的形式应用于基于图像的量测术,该基于图像的量测术例如使用目标的高品质图像来测量从层1中的目标1到层2中的目标2的相对位置。通常,这些目标是周期性结构或“盒”(盒中盒(BiB))。
在实施例中,提供了一种方法,该方法包括:获得用于图案化过程的量测目标的重叠的数据的拟合,所述重叠是所述量测目标的叠层差异参数的函数;以及通过硬件计算机使用所述拟合的斜率以(i)区分一个量测目标测量选配方案与另一个量测目标测量选配方案;或者(ii)计算重叠的校正值,或者(iii)指示利用所述量测目标获得的重叠测量值应该被使用或者不应该被使用,以配置或修改所述图案化过程的方面;或者(iv)选自(i)至(iii)中的任意组合。
在实施例中,在所述量测目标的图像的像素水平,计算所述重叠和叠层差异参数数据。在实施例中,根据从所述量测目标测得的衍射辐射的强度的图像平面检测计算所述重叠和叠层差异参数。在实施例中,该方法还包括:通过使用用于所述量测目标的第一周期性结构的图像的第一部位的辐射强度数据与用于所述量测目标的第二周期性结构的图像的第二部位的辐射强度数据的组合,导出所述重叠和/或叠层差异参数数据,其中,所述第二部位处于与所述第一部位旋转对称的位置。在实施例中,所述叠层差异参数包括所述量测目标的具有第一偏置值的周期性结构的强度值的组合减去所述量测目标的具有不同的第二偏置值的周期性结构的强度值的组合。在实施例中,所述拟合是线性拟合。在实施例中,该方法包括:使用所述拟合的斜率计算重叠的校正值。在实施例中,该方法包括:使用所述拟合的斜率区分一个分量测目标测量选配方案与另一个量测目标测量选配方案。在实施例中,该方法包括:基于所述拟合的斜率配置或修改所述图案化过程的方面。
在实施例中,提供一种方法,该方法包括:获得用于图案化过程的量测目标的区域的周期性结构强度不平衡性参数值,所述区域被预期为具有最小叠层差异;找出非重叠诱发的周期性结构强度不平衡性参数值,所述非重叠诱发的周期性结构强度不平衡性参数值用作用于所述量测目标的平均周期性结构强度不平衡性参数值与用于所述区域的周期性结构强度不平衡性参数值之间的差值;以及使用非重叠诱发的周期性结构强度不平衡性参数差值计算校正的重叠值。
在实施例中,该方法还包括:组合所述非重叠诱发的周期性结构强度不平衡性参数差值与用于所述量测目标的重叠的数据的拟合的斜率,以计算所述校正的重叠值,所述重叠作为所述量测目标的叠层差异诱发的周期性结构强度不平衡性参数的函数。在实施例中,所述区域是所述量测目标的第一周期性结构内的部位,并且从所述区域到所述量测目标的具有不同的第二偏置的第二周期性结构的距离介于所述第一周期性结构与所述第二周期性结构之间的最短距离的90%至110%之间。在实施例中,该方法还包括:通过使用用于所述量测目标的第一周期性结构的图像的第一部位的辐射强度数据与用于所述量测目标的第二周期性结构的图像的第二部位的辐射强度数据的组合,导出所述区域的周期性结构强度不平衡性参数,其中,所述第二部位处于与所述第一部位旋转对称的位置。在实施例中,所述区域的周期性结构强度不平衡性参数包括:所述量测目标的具有第一偏置值的周期性结构的强度值的组合减去所述量测目标的具有不同的第二偏置值的周期性结构的强度值的组合。在实施例中,在所述量测目标的图像的像素水平,计算所述周期性结构强度不平衡性参数数据。在实施例中,根据从所述量测目标测得的衍射辐射的强度的图像平面检测,计算所述周期性结构强度不平衡性参数数据。
在实施例中,提供一种方法,该方法包括:获得用于图案化过程的量测目标的测量辐射强度值,所述测量辐射强度值作为所述量测目标的叠层差异参数的函数;根据作为所述叠层差异参数的函数的所述测量辐射强度值,获得用于在非重叠诱发的周期性结构强度不平衡性的值处确定重叠的测量辐射强度的值;以及由硬件计算机基于用于确定重叠的测量辐射强度的值来确定重叠值。
在实施例中,所述测量辐射强度值包括用于正衍射阶与负衍射阶的辐射强度之间的差值。在实施例中,该方法包括:基于用于确定重叠的测量辐射强度的值、基于所述量测目标的周期性结构的节距、以及基于用于所述量测目标的周期性结构的偏置值来确定所述重叠值。在实施例中,根据通过测量辐射强度值的数据的拟合来确定用于确定重叠的测量辐射强度的值,所述测量辐射强度值作为所述叠层差异参数的函数。在实施例中,该方法还包括:通过使用用于所述量测目标的第一周期性结构的图像的第一部位的辐射强度数据与用于所述量测目标的第二周期性结构的图像的第二部位的辐射强度数据的组合,导出所述叠层差异参数,其中,所述第二部位处于与所述第一部位旋转对称的位置。在实施例中,所述叠层差异参数包括:所述量测目标的具有第一偏置值的周期性结构的强度值的组合减去所述量测目标的具有第二不同偏置值的周期性结构的强度值的组合。在实施例中,在所述量测目标的图像的像素水平,计算所述叠层差异参数数据。在实施例中,根据从所述量测目标测得的衍射辐射的强度的图像平面检测,计算所述叠层差异参数。
在实施例中,提供一种用于测量光刻过程的参数的量测设备,该量测设备能够操作以执行如本文中描述的方法。
在实施例中,提供一种包括机器可读指令的非暂时性计算机程序产品,该机器可读指令用于使得处理器执行如本文中描述的方法。
在实施例中,提供一种系统,该系统包括:检查设备,配置成将辐射束提供到衬底上的两个相邻的周期性结构或测量目标上,并且检测由所述目标衍射的辐射以确定图案化过程的参数;以及如本文中描述的非暂时性计算机程序。在实施例中,该系统还包括光刻设备,所述光刻设备包括:支撑结构,配置成保持用于调制辐射束的图案形成装置;以及投影光学系统,配置成将调制后的辐射束投影到辐射敏感衬底上。
如本文所使用的术语“进行优化”和“优化”意思是调整设备或过程,例如调整光刻设备或光学光刻术过程步骤,使得(例如光刻术的)图案化和/或器件制造的结果和/或过程具有一个或更多个期望的特性,诸如设计布局在衬底上的投影的较高的准确度、较大的过程窗口等。
本发明的实施例可以采取如下形式:计算机程序,其包含描述本文所披露的方法的机器可读指令的一个或更多个序列;或数据存储介质(例如半导体存储器、磁盘或光盘),其具有存储于其中的这种计算机程序。另外,可以将机器可读指令内嵌在两个或更多个计算机程序中。所述两个或更多个计算机程序可以存储在一个或更多个不同的存储器和/或数据存储介质上。
可以将本文中披露的一个或更多个方面植入控制系统中。本文中描述的任何控制系统可以在一个或更多个计算机程序被位于设备的至少一个部件内的一个或更多个计算机处理器读取时能够各自地或组合地操作。所述控制系统可以各自地或组合地具有用于接收、处理和发送信号的任何适当的配置。一个或更多个处理器配置成与控制系统中的至少一个通信。例如,每一个控制系统可以包括用于执行包括用于上文所描述的方法的机器可读指令的计算机程序的一个或更多个处理器。控制系统可以包括用于存储这些计算机程序的数据存储介质和/或用于容纳这种介质的硬件。因此,可以根据一个或更多个计算机程序的机器可读指令来操作所述控制系统或多个控制系统。
尽管在上文可以具体地参考在光学光刻术的上下文中对实施例的使用,但应该明白,本发明的实施例可以用于其它应用(例如压印光刻术),并且在上下文允许时不限于使用光学光刻术。在压印光刻术中,图案形成装置中的形貌限定了在衬底上产生的图案。图案形成装置的形貌可以被压制到提供给衬底的抗蚀剂层中,通过施加电磁辐射、热、压力或它们的组合而使抗蚀剂固化。将图案形成装置从抗蚀剂中移出,从而在抗蚀剂固化后留下图案。
本文中使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外(UV)辐射(例如具有等于或约为365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外(EUV)辐射(例如具有在5nm至20nm的范围内的波长)以及粒子束(例如离子束或电子束)。
在上下文允许的情况下,术语“透镜”可以表示各种类型的光学部件中的任何一种或其组合,包括折射型、反射型、磁性型、电磁性型以及静电型光学部件。
以上对具体实施例的描述将充分地揭示本发明的一般性质,使得在不背离本发明的整体构思且没有过度的试验的情况下其他人可以通过应用本领域内的知识针对各种应用轻易地修改和/或调适这些具体的实施例。因此,基于本文给出的教导和指导,这样的调适和修改旨在所公开的实施例的等同物的含义和范围内。应该理解的是,这里的措辞或术语是出于举例描述的目的而不是限制性的,因此本说明书中的术语或措辞应该由本领域的技术人员根据所述教导和指导进行解释。
本发明的宽度和范围不应该受到上述任何的示例性实施例的限制,而应该仅由随附的权利要求书及其等同物来限定。

Claims (20)

1.一种量测方法,包括:
获得用于图案化过程的、是量测目标的叠层差异参数的函数的所述量测目标的重叠的数据的拟合;和
通过硬件计算机使用所述拟合的斜率以:(i)区分一个量测目标测量选配方案与另一个量测目标测量选配方案;或者(ii)计算重叠的校正值;或者(iii)指示利用所述量测目标获得的重叠测量值应该被使用或者不应该被使用,以配置或修改所述图案化过程;或者(iv)选自(i)至(iii)中的任意组合。
2.如权利要求1所述的量测方法,其中,在所述量测目标的图像的像素水平,计算所述重叠和叠层差异参数数据。
3.如权利要求1所述的量测方法,其中,根据从所述量测目标测得的衍射辐射的强度的图像平面检测,计算所述重叠和叠层差异参数。
4.如权利要求1至3中任一项所述的量测方法,还包括:通过使用用于所述量测目标的第一周期性结构的图像的第一部位的辐射强度数据与用于所述量测目标的第二周期性结构的图像的第二部位的辐射强度数据的组合,导出所述重叠和/或叠层差异参数数据,其中,所述第二部位在与所述第一部位旋转对称的位置。
5.如权利要求1至3中任一项所述的量测方法,其中,所述叠层差异参数包括所述量测目标的具有第一偏置值的周期性结构的强度值的组合减去所述量测目标的具有不同的第二偏置值的周期性结构的强度值的组合。
6.如权利要求1至3中任一项所述的量测方法,其中,所述拟合是线性拟合。
7.如权利要求1至3中任一项所述的量测方法,包括:使用所述拟合的斜率计算重叠的校正值。
8.如权利要求1至3中任一项所述的量测方法,包括:使用所述拟合的斜率区分一个量测目标测量选配方案与另一个量测目标测量选配方案。
9.如权利要求1至3中任一项所述的量测方法,包括:基于所述拟合的斜率配置或修改所述图案化过程。
10.一种量测方法,包括:
获得用于图案化过程的量测目标的区域的周期性结构强度不平衡性参数值,所述区域被预期为具有最小叠层差异;
找出非重叠诱发的周期性结构强度不平衡性参数值,所述非重叠诱发的周期性结构强度不平衡性参数值用作用于所述量测目标的平均周期性结构强度不平衡性参数值与用于所述区域的周期性结构强度不平衡性参数值之间的差值;以及
使用非重叠诱发的周期性结构强度不平衡性参数差值计算校正重叠值。
11.如权利要求10所述的量测方法,还包括:组合所述非重叠诱发的周期性结构强度不平衡性参数差值与用于所述量测目标的重叠的数据的拟合的斜率,以计算所述校正重叠值,所述拟合的斜率是所述量测目标的叠层差异诱发的周期性结构强度不平衡性参数的函数。
12.如权利要求10所述的量测方法,其中,所述区域是所述量测目标的第一周期性结构内的部位,并且从所述区域到所述量测目标的第二周期性结构的距离介于所述第一周期性结构与所述第二周期性结构之间的最短距离的90%至110%之间,所述量测目标的第二周期性结构具有不同的第二偏置。
13.如权利要求10至12中任一项所述的量测方法,还包括:通过使用用于所述量测目标的第一周期性结构的图像的第一部位的辐射强度数据与用于所述量测目标的第二周期性结构的图像的第二部位的辐射强度数据的组合,导出所述区域的周期性结构强度不平衡性参数,其中,所述第二部位在与所述第一部位旋转对称的位置。
14.如权利要求10至12中任一项所述的量测方法,其中,所述区域的周期性结构强度不平衡性参数包括:所述量测目标的具有第一偏置值的周期性结构的强度值的组合减去所述量测目标的具有不同的第二偏置值的周期性结构的强度值的组合。
15.如权利要求10至12中任一项所述的量测方法,其中,在所述量测目标的图像的像素水平,计算所述周期性结构强度不平衡性参数数据。
16.如权利要求10至12中任一项所述的量测方法,其中,根据从所述量测目标测得的衍射辐射的强度的图像平面检测,计算所述周期性结构强度不平衡性参数数据。
17.一种用于测量光刻过程的参数的量测设备,所述量测设备能够操作以执行如权利要求1至16中任一项所述的量测方法。
18.一种非暂时性计算机程序存储介质,包括机器可读指令,用于使得处理器执行如权利要求1至16中任一项所述的量测方法。
19.一种量测系统,包括:
检查设备,配置成将辐射束提供到衬底上的两个相邻的周期性结构或测量目标上,并且检测由所述目标衍射的辐射以确定图案化过程的参数;以及
如权利要求18所述的非暂时性计算机程序存储介质。
20.如权利要求19所述的量测系统,还包括光刻设备,所述光刻设备包括:支撑结构,配置成保持用于调制辐射束的图案形成装置;以及投影光学系统,布置成将调制后的辐射束投影到辐射敏感衬底上。
CN201780069903.7A 2016-11-10 2017-11-09 使用叠层差异的设计和校正 Active CN110140087B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662420375P 2016-11-10 2016-11-10
US62/420,375 2016-11-10
PCT/EP2017/078716 WO2018087207A1 (en) 2016-11-10 2017-11-09 Design and correction using stack difference

Publications (2)

Publication Number Publication Date
CN110140087A CN110140087A (zh) 2019-08-16
CN110140087B true CN110140087B (zh) 2021-08-13

Family

ID=60302109

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780069903.7A Active CN110140087B (zh) 2016-11-10 2017-11-09 使用叠层差异的设计和校正

Country Status (7)

Country Link
US (1) US10635004B2 (zh)
JP (1) JP6880184B2 (zh)
KR (1) KR102259091B1 (zh)
CN (1) CN110140087B (zh)
IL (1) IL266447B2 (zh)
TW (1) TWI722255B (zh)
WO (1) WO2018087207A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107278279B (zh) 2015-02-23 2020-07-03 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
EP3264030B1 (en) 2015-02-23 2020-07-22 Nikon Corporation Measurement device, lithography system and exposure device, and device manufacturing method
CN111290221B (zh) 2015-02-23 2023-07-28 株式会社尼康 测量装置、光刻系统、曝光装置、测量方法、曝光方法以及元件制造方法
WO2017202602A1 (en) * 2016-05-23 2017-11-30 Asml Netherlands B.V. Selection of substrate measurement recipes
EP3457211A1 (en) 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3575874A1 (en) * 2018-05-29 2019-12-04 ASML Netherlands B.V. Metrology method, apparatus and computer program
TWI749355B (zh) * 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
US11294293B2 (en) 2018-09-19 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay marks for reducing effect of bottom layer asymmetry
KR102616712B1 (ko) * 2018-10-08 2023-12-20 에이에스엠엘 네델란즈 비.브이. 계측 방법, 패터닝 디바이스, 장치 및 컴퓨터 프로그램
CN113924638A (zh) 2019-03-28 2022-01-11 科磊股份有限公司 用于测量及校正半导体装置中的层之间的偏移的方法及用于其中的偏移目标
EP3770682A1 (en) * 2019-07-25 2021-01-27 ASML Netherlands B.V. Method and system for determining information about a target structure
JP7336977B2 (ja) * 2019-12-11 2023-09-01 株式会社ディスコ レーザービームのスポット形状の補正方法
US20230040124A1 (en) * 2019-12-18 2023-02-09 Asml Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
KR20210105741A (ko) 2020-02-19 2021-08-27 에스케이하이닉스 주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN113325666A (zh) * 2020-02-28 2021-08-31 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
JP7369306B2 (ja) * 2020-04-15 2023-10-25 ケーエルエー コーポレイション 半導体デバイスの位置ずれを計測する際役立つデバイス規模フィーチャを有する位置ずれターゲット
CN114061501A (zh) * 2020-08-06 2022-02-18 广东博智林机器人有限公司 一种架体立柱参数测量方法、装置、电子设备及存储介质
CN114690593A (zh) * 2020-12-30 2022-07-01 科磊股份有限公司 一种制造集成电路的方法和系统
US20230258446A1 (en) * 2022-02-17 2023-08-17 Kla Corporation Estimating in-die overlay with tool induced shift correction
US20240160114A1 (en) * 2022-11-15 2024-05-16 Kla Corporation Single-cell scatterometry overlay with sensitivity calibration

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
CN102498441A (zh) * 2009-07-31 2012-06-13 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
CN105452962A (zh) * 2013-08-07 2016-03-30 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
WO2016061128A1 (en) * 2014-10-14 2016-04-21 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US20160161863A1 (en) * 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002019415A1 (en) * 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
JP5377595B2 (ja) 2011-03-25 2013-12-25 富士フイルム株式会社 着色感放射線性組成物、カラーフィルタ、着色パターンの製造方法、カラーフィルタの製造方法、固体撮像素子、及び液晶表示装置
NL2009294A (en) 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
WO2014138057A1 (en) * 2013-03-04 2014-09-12 Kla-Tencor Corporation Metrology target identification, design and verification
JP6510521B2 (ja) * 2013-11-26 2019-05-08 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィメトロロジのための方法、装置及び基板
NL2013293A (en) * 2014-06-02 2016-03-31 Asml Netherlands Bv Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method.
WO2016086056A1 (en) * 2014-11-25 2016-06-02 Kla-Tencor Corporation Analyzing and utilizing landscapes
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
KR102048794B1 (ko) 2015-04-21 2020-01-08 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
WO2017182235A1 (en) * 2016-04-22 2017-10-26 Asml Netherlands B.V. Determination of stack difference and correction using stack difference

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
CN102498441A (zh) * 2009-07-31 2012-06-13 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
CN105452962A (zh) * 2013-08-07 2016-03-30 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
WO2016061128A1 (en) * 2014-10-14 2016-04-21 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US20160161863A1 (en) * 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system

Also Published As

Publication number Publication date
JP2019536096A (ja) 2019-12-12
WO2018087207A1 (en) 2018-05-17
KR102259091B1 (ko) 2021-06-01
TW201830161A (zh) 2018-08-16
JP6880184B2 (ja) 2021-06-02
IL266447B1 (en) 2023-07-01
TWI722255B (zh) 2021-03-21
KR20190076049A (ko) 2019-07-01
US10635004B2 (en) 2020-04-28
IL266447A (en) 2019-06-30
US20180129139A1 (en) 2018-05-10
IL266447B2 (en) 2023-11-01
CN110140087A (zh) 2019-08-16

Similar Documents

Publication Publication Date Title
CN110140087B (zh) 使用叠层差异的设计和校正
US11106142B2 (en) Metrology recipe selection
US10481499B2 (en) Determination of stack difference and correction using stack difference
CN107771271B (zh) 量测方法和设备、计算机程序及光刻系统
CN108139682B (zh) 量测方法和设备、计算机程序及光刻系统
CN110553602B (zh) 度量方法、计算机产品和系统
TWI643032B (zh) 用於度量衡目標場之設計之方法與裝置
JP6510658B2 (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
CN110050233B (zh) 用于预测测量方法的性能的方法和设备、测量方法和设备
JP7110327B2 (ja) メトロロジ方法及び装置
CN111201489A (zh) 量测方法
EP3299890A1 (en) Metrology recipe selection

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant