KR20210011197A - Apparatus for treating substrate - Google Patents

Apparatus for treating substrate Download PDF

Info

Publication number
KR20210011197A
KR20210011197A KR1020190088339A KR20190088339A KR20210011197A KR 20210011197 A KR20210011197 A KR 20210011197A KR 1020190088339 A KR1020190088339 A KR 1020190088339A KR 20190088339 A KR20190088339 A KR 20190088339A KR 20210011197 A KR20210011197 A KR 20210011197A
Authority
KR
South Korea
Prior art keywords
substrate
transfer
chamber
exhaust
housing
Prior art date
Application number
KR1020190088339A
Other languages
Korean (ko)
Inventor
선진성
한기원
공태경
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020190088339A priority Critical patent/KR20210011197A/en
Publication of KR20210011197A publication Critical patent/KR20210011197A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt

Abstract

The present invention provides a device for transporting a substrate which can prevent contamination of the supported substrate. The device for processing the substrate comprises: a processing chamber processing a substrate; and a transport chamber disposed adjacent to the processing chamber, wherein the transport chamber includes: a transport robot transporting the substrate; a cable member connected to the transport robot, and moving together with the transport robot; a perforated plate disposed under the cable member, formed with a hole in a vertical direction, and partitioning a driving space provided with the cable member and an exhaust space thereunder; and an exhaust unit forcibly exhausting the exhaust space.

Description

기판 처리 장치{Apparatus for treating substrate}Substrate processing apparatus {Apparatus for treating substrate}

본 발명은 기판을 처리하는 장치에 관한 것으로, 기판을 반송하는 장치에 관한 것이다.The present invention relates to an apparatus for processing a substrate, and to an apparatus for transporting a substrate.

반도체소자를 제조하기 위해서, 기판에 사진, 식각, 애싱, 이온주입, 그리고 박막 증착 등의 다양한 공정들을 통해 원하는 패턴을 기판에 형성한다. 이러한 공정들은 다시 복수의 공정 처리들을 포함하며, 각각의 공정 처리는 서로 다른 공정 처리 장치에서 진행된다.In order to manufacture a semiconductor device, a desired pattern is formed on the substrate through various processes such as photographing, etching, ashing, ion implantation, and thin film deposition on the substrate. These processes again include a plurality of process treatments, and each process treatment is performed in a different process treatment device.

따라서 서로 다른 종류의 공정을 수행하기 위해서는 기판이 서로 다른 장치로 반송되어야 하며, 이러한 기판 반송은 반송 로봇에 의해 이루어진다. 공정 장치는 매우 다양하며, 반송 로봇의 주변을 감싸도록 배치된다. 이에 따라 반송 로봇은 각 장치에 기판을 반송할 수 있다. 일반적으로 반송 로봇은 직선 이동, 승강 이동, 그리고 회전 이동을 통해 기판을 반송한다. 반송 로봇에는 이의 구동력을 제공할 수 있는 전력 케이블이 연결되며, 반송 로봇과 함께 이동된다. 전력 케이블은 반송 로봇의 이동을 간섭할 수 있다. 이로 인해 전력 케이블은 케이블 박스 내에 위치되며, 일부만이 노출되어 반송 로봇에 연결된다. Therefore, in order to perform different types of processes, substrates must be transferred to different devices, and such substrate transfer is performed by a transfer robot. The processing equipment is very diverse and is arranged to wrap around the transport robot. Accordingly, the transfer robot can transfer the substrate to each device. In general, a transfer robot transfers a substrate through a linear movement, an elevation movement, and a rotation movement. A power cable that can provide its driving force is connected to the transfer robot, and moves together with the transfer robot. Power cables can interfere with the transport robot's movement. Due to this, the power cable is placed in the cable box, only part of it is exposed and connected to the transport robot.

그러나 전력 케이블은 케이블 박스 내에서 이동되는 중에 케이블 박스와 마찰이 발생된다. 이러한 마찰은 분진과 같은 파티클을 발생시키며, 파티클은 반송 로봇으로 전달될 수 있으며, 반송 로봇에 의해 반송되는 기판을 오염시킬 수 있다.However, while power cables are moving within the cable box, friction occurs with the cable box. Such friction generates particles such as dust, and the particles can be transferred to a transfer robot and contaminate a substrate carried by the transfer robot.

본 발명은 반송 로봇과 함께 이동되는 전력 케이블에 의해 파티클이 발생되는 것을 방지할 수 있는 장치를 제공하는 것을 일 목적으로 한다.An object of the present invention is to provide an apparatus capable of preventing particles from being generated by a power cable that moves together with a transport robot.

본 발명의 실시예는 기판을 반송 처리하는 장치를 제공한다. 기판을 처리하는 장치는 기판을 처리하는 처리 챔버와 상기 처리 챔버에 인접하게 배치되는 반송 챔버를 포함하되, 상기 반송 챔버는 기판을 반송하는 반송 로봇, 상기 반송 로봇에 연결되며, 상기 반송 로봇과 함께 이동되는 케이블 부재, 상기 케이블 부재의 아래에 배치되고, 상하 방향으로 홀이 형성되며, 상기 케이블 부재가 제공되는 구동 공간과 그 아래의 배기 공간을 구획하는 타공판, 그리고 상기 배기 공간을 강제 배기하는 배기 유닛을 포함한다. An embodiment of the present invention provides an apparatus for conveying a substrate. The apparatus for processing a substrate includes a processing chamber for processing a substrate and a transfer chamber disposed adjacent to the processing chamber, the transfer chamber being connected to a transfer robot for transferring a substrate, the transfer robot, and together with the transfer robot. A moving cable member, a perforated plate that is disposed under the cable member, has a hole formed in the vertical direction, and divides a driving space in which the cable member is provided and an exhaust space below the cable member, and exhaust for forcibly exhausting the exhaust space Includes units.

상기 반송 챔버는 상기 구동 공간을 가지며, 바닥면이 상기 타공판으로 제공되는 하우징과 내부에 상기 배기 공간을 가지며, 상기 하우징과 적층되게 제공되는 배기 덕트를 더 포함하되, 상기 배기 덕트는 상기 하우징과 탈착 가능하도록 제공될 수 있다. The conveyance chamber further includes a housing provided with the drive space, a bottom surface of the perforated plate, and an exhaust duct provided to be stacked with the housing and having the exhaust space therein, wherein the exhaust duct is detachable from the housing. It can be provided as possible.

상기 배기 유닛은, 상기 배기 덕트에 연결되는 배출 라인과 상기 배출 라인에 설치되는 팬을 더 포함하되, 상기 배기 공간은 상기 팬의 회전에 의해 강제 배기될 수 있다. 상기 배출 라인은 상기 배기 덕트에 비해 작은 직경으로 제공될 수 있다. The exhaust unit may further include an exhaust line connected to the exhaust duct and a fan installed in the exhaust line, wherein the exhaust space may be forcibly exhausted by rotation of the fan. The exhaust line may be provided with a smaller diameter than the exhaust duct.

상기 반송 챔버는, 상기 반송 로봇이 설치되며, 제1방향을 향하는 길이 방향을 가지는 반송 레일을 더 포함하되, 상기 하우징은 상기 반송 레일의 일측에 위치되며, 상기 제1방향과 평행한 길이 방향을 가질 수 있다. 상기 하우징은 상기 반송 레일과 마주하는 측면이 개방되게 제공되며, 상기 케이블 부재는 반송 로봇에 전력을 공급하는 케이블 체인을 포함할 수 있다. The transfer chamber, wherein the transfer robot is installed, further includes a transfer rail having a longitudinal direction facing a first direction, wherein the housing is located on one side of the transfer rail, and has a longitudinal direction parallel to the first direction. Can have. The housing is provided so that the side facing the transport rail is open, and the cable member may include a cable chain for supplying power to the transport robot.

본 발명의 실시예에 의하면, 케이블이 위치되는 하우징의 내부는 감압된다. 이로 인해 파티클이 발생될지라도, 파티클이 반송 로봇 또는 이에 지지된 기판을 오염시키는 것을 방지할 수 있다.According to an embodiment of the present invention, the inside of the housing in which the cable is located is depressurized. As a result, even if particles are generated, it is possible to prevent the particles from contaminating the transfer robot or the substrate supported thereon.

도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치를 개략적으로 보여주는 사시도이다.
도 2는 도 1의 도포 블럭 또는 현상 블럭을 보여주는 기판 처리 장치의 단면도이다.
도 3은 도 1의 기판 처리 장치의 평면도이다.
도 4는 도 3의 반송 로봇을 보여주는 사시도이다.
도 5는 도 4의 반송 로봇을 보여주는 평면도이다.
도 6은 도 4의 전원 부재를 보여주는 사시도이다.
도 7은 도 6의 전원 부재를 보여주는 단면도이다.
도 8은 도 3의 열처리 챔버의 일 예를 개략적으로 보여주는 평면도이다.
도 9는 도 8의 열처리 챔버의 정면도이다.
도 10은 도 3의 액 처리 챔버의 일 예를 개략적으로 보여주는 도면이다.
1 is a perspective view schematically showing a substrate processing apparatus according to an embodiment of the present invention.
FIG. 2 is a cross-sectional view of a substrate processing apparatus showing a coating block or a developing block of FIG. 1.
3 is a plan view of the substrate processing apparatus of FIG. 1.
4 is a perspective view showing the transfer robot of FIG. 3.
5 is a plan view showing the transfer robot of FIG. 4.
6 is a perspective view showing the power member of FIG. 4.
7 is a cross-sectional view showing the power member of FIG. 6.
8 is a plan view schematically showing an example of the heat treatment chamber of FIG. 3.
9 is a front view of the heat treatment chamber of FIG. 8.
10 is a diagram schematically illustrating an example of the liquid processing chamber of FIG. 3.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장된 것이다.Hereinafter, embodiments of the present invention will be described in more detail with reference to the accompanying drawings. The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more completely explain the present invention to those with average knowledge in the industry. Therefore, the shape of the element in the drawings is exaggerated to emphasize a more clear description.

도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치를 개략적으로 보여주는 사시도이고, 도 2는 도 1의 도포 블럭 또는 현상 블럭을 보여주는 기판 처리 장치의 단면도이며, 도 3은 도 1의 기판 처리 장치의 평면도이다. 1 is a perspective view schematically showing a substrate processing apparatus according to an embodiment of the present invention, FIG. 2 is a cross-sectional view of a substrate processing apparatus showing a coating block or a developing block of FIG. 1, and FIG. 3 is a substrate processing apparatus of FIG. It is a top view.

도 1 내지 도 3을 참조하면, 기판 처리 장치(1)는 인덱스 모듈(20,index module), 처리 모듈(30, treating module), 그리고 인터페이스 모듈(40, interface module)을 포함한다. 일 실시예에 의하며, 인덱스 모듈(20), 처리 모듈(30), 그리고 인터페이스 모듈(40)은 순차적으로 일렬로 배치된다. 이하, 인덱스 모듈(20), 처리 모듈(30), 그리고 인터페이스 모듈(40)이 배열된 방향을 제1 방향(12)이라 하고, 상부에서 바라볼 때 제1 방향(12)과 수직한 방향을 제2 방향(14)이라 하고, 제1 방향(12) 및 제2 방향(14)에 모두 수직한 방향을 제3 방향(16)이라 한다.1 to 3, the substrate processing apparatus 1 includes an index module 20, a treatment module 30, and an interface module 40. According to an embodiment, the index module 20, the processing module 30, and the interface module 40 are sequentially arranged in a row. Hereinafter, the direction in which the index module 20, the processing module 30, and the interface module 40 are arranged is referred to as the first direction 12, and the direction perpendicular to the first direction 12 when viewed from the top is referred to as The second direction 14 is referred to as, and a direction perpendicular to both the first direction 12 and the second direction 14 is referred to as the third direction 16.

인덱스 모듈(20)은 기판(W)이 수납된 용기(10)로부터 기판(W)을 처리 모듈(30)로 반송하고, 처리가 완료된 기판(W)을 용기(10)로 수납한다. 인덱스 모듈(20)의 길이 방향은 제2 방향(14)으로 제공된다. 인덱스 모듈(20)은 로드포트(22)와 인덱스 프레임(24)을 가진다. 인덱스 프레임(24)을 기준으로 로드포트(22)는 처리 모듈(30)의 반대 측에 위치된다. 기판(W)들이 수납된 용기(10)는 로드포트(22)에 놓인다. 로드포트(22)는 복수 개가 제공될 수 있으며, 복수의 로드포트(22)는 제2 방향(14)을 따라 배치될 수 있다. The index module 20 transfers the substrate W from the container 10 in which the substrate W is stored to the processing module 30, and stores the processed substrate W into the container 10. The longitudinal direction of the index module 20 is provided in the second direction 14. The index module 20 has a load port 22 and an index frame 24. The load port 22 is located on the opposite side of the processing module 30 based on the index frame 24. The container 10 in which the substrates W are accommodated is placed on the load port 22. A plurality of load ports 22 may be provided, and a plurality of load ports 22 may be disposed along the second direction 14.

용기(10)로는 전면 개방 일체 식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기(10)가 사용될 수 있다. 용기(10)는 오버헤드 트랜스퍼(Overhead Transfer), 오버헤드 컨베이어(Overhead Conveyor), 또는 자동 안내 차량(Automatic Guided Vehicle)과 같은 이송 수단(도시되지 않음)이나 작업자에 의해 로드포트(22)에 놓일 수 있다. As the container 10, a container 10 for sealing such as a front open unified pod (FOUP) may be used. The container 10 may be placed on the load port 22 by an operator or a transport means (not shown) such as an overhead transfer, an overhead conveyor, or an automatic guided vehicle. I can.

인덱스 프레임(24)의 내부에는 인덱스 로봇(2200)이 제공된다. 인덱스 프레임(24) 내에는 길이 방향이 제2 방향(14)으로 제공된 가이드 레일(2300)이 제공되고, 인덱스 로봇(2200)은 가이드 레일(2300) 상에서 이동 가능하게 제공될 수 있다. 인덱스 로봇(2200)은 기판(W)이 놓이는 핸드(2220)를 포함하며, 핸드(2220)는 전진 및 후진 이동, 제3 방향(16)을 축으로 한 회전, 그리고 제3 방향(16)을 따라 이동 가능하게 제공될 수 있다. An index robot 2200 is provided inside the index frame 24. In the index frame 24, a guide rail 2300 in which the longitudinal direction is provided in the second direction 14 may be provided, and the index robot 2200 may be provided to be movable on the guide rail 2300. The index robot 2200 includes a hand 2220 on which the substrate W is placed, and the hand 2220 moves forward and backward, rotates about the third direction 16, and performs a third direction 16. It may be provided to be movable along the way.

처리 모듈(30)은 기판(W)에 대해 도포 공정 및 현상 공정을 수행한다. 처리 모듈(30)은 도포 블럭(30a) 및 현상 블럭(30b)을 가진다. 도포 블럭(30a)은 기판(W)에 대해 도포 공정을 수행하고, 현상 블럭(30b)은 기판(W)에 대해 현상 공정을 수행한다. 도포 블럭(30a)은 복수 개가 제공되며, 이들은 서로 적층되게 제공된다. 현상 블럭(30b)은 복수 개가 제공되며, 현상 블럭들(30b)은 서로 적층되게 제공된다. 도 3의 실시예에 의하면, 도포 블럭(30a)은 2개가 제공되고, 현상 블럭(30b)은 2개가 제공된다. 도포 블럭들(30a)은 현상 블럭들(30b)의 아래에 배치될 수 있다. 일 예에 의하면, 2개의 도포 블럭들(30a)은 서로 동일한 공정을 수행하며, 서로 동일한 구조로 제공될 수 있다. 또한, 2개의 현상 블럭들(30b)은 서로 동일한 공정을 수행하며, 서로 동일한 구조로 제공될 수 있다.The processing module 30 performs a coating process and a developing process on the substrate W. The processing module 30 has a coating block 30a and a developing block 30b. The coating block 30a performs a coating process on the substrate W, and the developing block 30b performs a developing process on the substrate W. A plurality of coating blocks 30a are provided, and they are provided to be stacked on each other. A plurality of developing blocks 30b are provided, and the developing blocks 30b are provided to be stacked on each other. According to the embodiment of FIG. 3, two coating blocks 30a are provided, and two developing blocks 30b are provided. The coating blocks 30a may be disposed under the developing blocks 30b. According to an example, the two coating blocks 30a perform the same process with each other, and may be provided with the same structure. In addition, the two developing blocks 30b perform the same process with each other, and may be provided with the same structure.

도포 블럭(30a)은 열처리 챔버(3200), 반송 챔버(3400), 액 처리 챔버(3600), 그리고 버퍼 챔버(3800)를 가진다. 열처리 챔버(3200)는 기판(W)에 대해 열처리 공정을 수행한다. 열처리 공정은 냉각 공정 및 가열 공정을 포함할 수 있다. 액처리 챔버(3600)는 기판(W) 상에 액을 공급하여 액막을 형성한다. 액막은 포토레지스트막 또는 반사방지막일 수 있다. 반송 챔버(3400)는 도포 블럭(30a) 내에서 열처리 챔버(3200)와 액처리 챔버(3600) 간에 기판(W)을 반송한다. The coating block 30a has a heat treatment chamber 3200, a transfer chamber 3400, a liquid processing chamber 3600, and a buffer chamber 3800. The heat treatment chamber 3200 performs a heat treatment process on the substrate W. The heat treatment process may include a cooling process and a heating process. The liquid processing chamber 3600 supplies a liquid on the substrate W to form a liquid film. The liquid film may be a photoresist film or an antireflection film. The transfer chamber 3400 transfers the substrate W between the heat treatment chamber 3200 and the liquid processing chamber 3600 within the coating block 30a.

반송 챔버(3400)는 그 길이 방향이 제1 방향(12)과 평행하게 제공된다. 반송 챔버(3400)에는 반송 유닛이 제공된다. 반송 유닛은 반송 로봇(3422), 반송 레일(3300), 그리고 전원 부재를 포함한다. 반송 로봇(3422)은 열처리 챔버(3200), 액처리 챔버(3600), 그리고 버퍼 챔버(3800) 간에 기판을 반송한다. The conveying chamber 3400 is provided with its longitudinal direction parallel to the first direction 12. The transfer chamber 3400 is provided with a transfer unit. The transfer unit includes a transfer robot 3422, a transfer rail 3300, and a power supply member. The transfer robot 3422 transfers the substrate between the heat treatment chamber 3200, the liquid treatment chamber 3600, and the buffer chamber 3800.

도 4는 도 3의 반송 로봇을 보여주는 사시도이고, 도 5는 도 4의 반송 로봇을 보여주는 평면도이다. 도 4 및 도 5를 참조하면, 반송 로봇(3422)은 핸드(3420), 지지체(3424), 회전축(3425), 베이스(3426), 그리고 스토퍼(3428)를 포함한다. 핸드(3420)는 기판(W)을 지지한다. 핸드(3420)는 전진과 후진을 포함하는 수평 직선 이동, 제3방향(16)을 축으로 회전되는 회전 이동, 그리고 제3방향을 향하는 승강 이동이 가능하도록 제공된다. FIG. 4 is a perspective view showing the transfer robot of FIG. 3, and FIG. 5 is a plan view showing the transfer robot of FIG. 4. 4 and 5, the transfer robot 3422 includes a hand 3420, a support 3424, a rotation shaft 3425, a base 3426, and a stopper 3428. The hand 3420 supports the substrate W. The hand 3420 is provided to enable horizontal linear movement including forward and backward movement, rotational movement rotating about the third direction 16 as an axis, and lifting movement in the third direction.

핸드(3420)는 지지대(3421a), 지지 돌기(3421b), 그리고 아암(3423)을 가진다. 지지대(3421a)는 원주의 일부가 절곡된 환형의 링 형상을 가지도록 제공된다. 지지대(3421a)는 기판(W)보다 큰 직경을 가지며, 핸드(3420)는 지지대(3421a)가 기판(W)의 주변을 감싸도록 기판(W)을 지지한다. 지지 돌기(3421b)는 지지대(3421a)로부터 그 내측으로 연장된다. 지지 돌기(3421b)는 복수 개로 제공되며, 지지대(3421a)의 원주 방향을 따라 이격되게 위치된다. 지지 돌기(3421b)는 기판(W)이 놓여지는 안착면으로 기능한다. 지지 돌기(3421b)는 4 개로 제공되며, 기판(W)의 측부를 지지한다. The hand 3420 has a support 3421a, a support protrusion 3421b, and an arm 3423. The support 3421a is provided to have an annular ring shape in which a part of the circumference is bent. The support 3421a has a larger diameter than the substrate W, and the hand 3420 supports the substrate W so that the support 3421a surrounds the substrate W. The support protrusion 3421b extends inwardly from the support 3221a. The support protrusions 3421b are provided in plural, and are positioned to be spaced apart along the circumferential direction of the supporter 3421a. The support protrusion 3421b functions as a seating surface on which the substrate W is placed. The support protrusions 3421b are provided in four, and support the side portions of the substrate W.

아암(3423)은 지지대(3421a)의 후단에서 후진 방향을 향하는 방향으로 연장되게 제공된다. 아암(3423)은 지지체(3424)의 일측에 형성되는 슬릿에 연결되며, 지지체(3424)에 대해 전진과 후진 방향으로 상대 이동이 가능하게 제공된다. 따라서 핸드(3420)는 지지체(3424)에 대해 수평 직선 방향으로 상대 이동될 수 있다. The arm 3423 is provided to extend in a direction toward the backward direction from the rear end of the support 3421a. The arm 3423 is connected to a slit formed on one side of the support 3424 and is provided to enable relative movement in the forward and backward directions with respect to the support 3424. Accordingly, the hand 3420 may be moved relative to the support 3424 in a horizontal linear direction.

지지체(3424)는 회전축(3425)에 의해 지지 및 회전된다. 회전축(3425)은 베이스(3426)에 설치되며, 베이스(3426) 상에서 중심축을 중심으로 회전 가능하도록 제공된다. 회전축(3425)의 회전에 의해 지지체(3424) 및 핸드(3420)는 함께 회전될 수 있다. 베이스(3426)는 승하강이 가능하도록 제공된다. 베이스(3426)의 승하강에 의해 회전축(3425)과 핸드(3420)는 함께 승하강될 수 있다. 또한 베이스(3426)는 반송 레일(3300)을 따라 전단 버퍼(3802)와 인접한 위치에서 후단 버퍼(3804)와 인접한 위치까지 이동 가능하다. 반송 레일(3300)은 제1방향을 향하는 길이 방향을 가지도록 제공된다. 반송 레일(3300)에 설치된 베이스(3426)는 구동 부재(미도시)에 의해 제1방향으로 이동될 수 있다. The support 3424 is supported and rotated by a rotation shaft 3425. The rotation shaft 3425 is installed on the base 3426 and is provided to be rotatable about a central axis on the base 3426. By the rotation of the rotation shaft 3425, the support 3424 and the hand 3420 may be rotated together. The base 3426 is provided to enable elevation. The rotation shaft 3425 and the hand 3420 may be raised and lowered together by the elevation of the base 3426. Further, the base 3426 is movable from a position adjacent to the front buffer 3802 to a position adjacent to the rear buffer 3804 along the transport rail 3300. The conveying rail 3300 is provided to have a longitudinal direction facing the first direction. The base 3426 installed on the carrying rail 3300 may be moved in the first direction by a driving member (not shown).

스토퍼(3428)는 지지체(3424)가 일정 범위 이상으로 회전되는 것을 차단하여 지지체(3424)에 연결된 케이블(5240)이 단선되는 것을 방지한다. 예컨대, 일정 범위는 360°미만으로 제공될 수 있다. 스토퍼(3428)는 베이스(3426)에 고정 설치된다. 상부에서 바라볼 때 스토퍼(3428)는 지지체(3424)의 회전 경로 상에 위치된다. 스토퍼(3428)는 지지체(3424)에 대응되는 높이를 가지며, 지지체(3424)와 충돌 가능하게 위치된다. 지지체(3424)는 전단과 후단 중 어느 하나가 스토퍼(3428)에 충돌되며, 다른 하나는 충돌되지 않는 길이를 가지도록 제공된다. 본 실시예의 지지체(3424)는 전단이 스토퍼(3428)에 충돌되는 것으로 도시된다. The stopper 3428 prevents the support 3424 from being rotated beyond a certain range to prevent the cable 5240 connected to the support 3424 from being disconnected. For example, a certain range may be provided less than 360°. The stopper 3428 is fixedly installed on the base 3426. When viewed from above, the stopper 3428 is located on the rotation path of the support 3424. The stopper 3428 has a height corresponding to the support 3424 and is positioned so as to collide with the support 3424. The support 3424 is provided so that one of the front end and the rear end collides with the stopper 3428 and the other has a length that does not collide. The support body 3424 of this embodiment is shown as the shear hitting the stopper 3428.

전원 부재(5000)는 반송 로봇(3422)이 구동되도록 전력을 공급한다. 전원 부재(5000)는 하우징(5100), 케이블 부재(5200), 전원(미도시), 그리고 배기 유닛(5300)을 포함한다. 하우징(5100)은 반송 레일(3300)의 일측에 위치된다. 하우징(5100)은 반송 레일(3300)과 평행한 길이 방향을 가지는 통 형상으로 제공된다. 예컨대, 하우징(5100)은 제1방향(12)의 길이 방향을 가지는 직육면체일 수 있다. 하우징(5100)은 내부에 구동 공간(5102)을 가진다. 구동 공간(5102)에는 케이블 부재(5200)가 위치된다. 하우징(5100)은 반송 레일(3300)을 마주하는 측면에 개방되게 제공되며, 배기 덕트(5310)와 마주하는 일면은 복수의 홀들이 형성되게 제공된다. 일 예에 의하면, 일면은 바닥면을 포함하고, 하우징(5100)의 바닥면은 타공판(5120)으로 제공될 수 있다. The power supply member 5000 supplies electric power to drive the transfer robot 3422. The power member 5000 includes a housing 5100, a cable member 5200, a power source (not shown), and an exhaust unit 5300. The housing 5100 is located on one side of the conveying rail 3300. The housing 5100 is provided in a cylindrical shape having a longitudinal direction parallel to the conveying rail 3300. For example, the housing 5100 may be a rectangular parallelepiped having a longitudinal direction in the first direction 12. The housing 5100 has a driving space 5102 therein. The cable member 5200 is positioned in the driving space 5102. The housing 5100 is provided to be open on a side facing the conveying rail 3300, and a surface facing the exhaust duct 5310 is provided to form a plurality of holes. According to an example, one surface may include a bottom surface, and the bottom surface of the housing 5100 may be provided as a perforated plate 5120.

케이블 부재(5200)는 전원(미도시)과 반송 로봇(3422)을 연결한다. 케이블 부재(5200)는 체인(5220) 및 케이블(5240)을 포함한다. 체인(5220)은 구동 공간(5102)에 위치되며, 환형의 링 형상을 가지도록 제공된다. 체인(5220) 내에는 케이블(5240)이 위치되며, 체인(5220)의 회전에 의해 케이블(5240)은 하우징(5100)의 길이 방향을 따라 이동 가능하다. 케이블(5240)의 일부는 하우징(5100)의 외부로 노출되어 반송 로봇(3422)에 연결된다. 예컨대, 케이블 부재(5200)는 케이블 체인(Cable Chain)일 수 있다. 이에 따라 케이블(5240)은 단선없이 베이스(3426)와 함께 제1방향(12)으로 이동될 수 있다. The cable member 5200 connects the power supply (not shown) and the transfer robot 3422. The cable member 5200 includes a chain 5220 and a cable 5240. The chain 5220 is located in the driving space 5102 and is provided to have an annular ring shape. The cable 5240 is located in the chain 5220, and the cable 5240 is movable along the length direction of the housing 5100 by rotation of the chain 5220. A part of the cable 5240 is exposed to the outside of the housing 5100 and is connected to the transfer robot 3422. For example, the cable member 5200 may be a cable chain. Accordingly, the cable 5240 can be moved in the first direction 12 together with the base 3426 without disconnection.

배기 유닛(5300)은 구동 공간(5102)을 강제 배기한다. 배기 유닛(5300)은 배기 덕트(5310), 배출 라인(5330), 그리고 배기 부재를 포함한다. The exhaust unit 5300 forcibly exhausts the drive space 5102. The exhaust unit 5300 includes an exhaust duct 5310, an exhaust line 5330, and an exhaust member.

배기 덕트(5310)는 하우징(5100)의 일면에 인접하게 위치된다. 배기 덕트(5310)는 하우징(5100)과 유사한 형상을 가지도록 제공된다. 배기 덕트(5310)는 하우징(5100)과 평행한 길이 방향을 가지며, 내부에 배기 공간(5312)을 가지는 통 형상으로 제공된다. 예컨대, 배기 덕트(5310)는 제1방향(12)을 향하는 직육면체 형상으로 제공될 수 있다. 배기 덕트(5310)는 하우징(5100)에 탈착 가능하도록 제공된다. 배기 덕트(5310)는 하우징(5100)의 일면과 마주하는 면이 개방되게 제공된다. 일 예에 의하면, 배기 덕트(5310)는 하우징(5100)의 아래에 적층되게 위치되며, 배기 덕트(5310)의 상부는 개방되게 제공된다. 이에 따라 하우징(5100)의 바닥면에 형성된 홀들(5122)을 통해 구동 공간(5102)과 배기 공간(5312)이 서로 연통되게 제공된다. The exhaust duct 5310 is located adjacent to one surface of the housing 5100. The exhaust duct 5310 is provided to have a shape similar to the housing 5100. The exhaust duct 5310 has a longitudinal direction parallel to the housing 5100 and is provided in a cylindrical shape having an exhaust space 5312 therein. For example, the exhaust duct 5310 may be provided in a rectangular parallelepiped shape facing the first direction 12. The exhaust duct 5310 is provided to be detachable to the housing 5100. The exhaust duct 5310 is provided such that a surface facing one surface of the housing 5100 is opened. According to an example, the exhaust duct 5310 is positioned to be stacked under the housing 5100, and the upper portion of the exhaust duct 5310 is provided to be open. Accordingly, the driving space 5102 and the exhaust space 5312 are provided in communication with each other through the holes 5122 formed in the bottom surface of the housing 5100.

배출 라인(5330)은 배기 덕트(5310)에 연결되며, 팬(5350)은 배출 라인(5330)에 설치된다. 배출 라인(5330)은 배기 덕트(5310)에 비해 작은 직경의 덕트로 제공될 수 있다. 이에 따라 음압을 용이하게 형성할 수 있다. 본 실시예는 팬(5350)이 설치되는 위치를 기준으로, 배기 덕트(5310)가 상류에 위치되고, 이와 반대되는 영역을 하류로 정의한다. 팬(5350)은 하류 방향을 향해 기류가 형성되도록 회전된다. 이에 따라 배기 덕트(5310) 및 이와 연통되는 하우징(5100) 각각의 내부에는 음압이 발생되고, 구동 공간(5102)에서 발생되는 파티클은 배출 라인(5330)을 통해 배출된다.The exhaust line 5330 is connected to the exhaust duct 5310, and the fan 5350 is installed in the exhaust line 5330. The exhaust line 5330 may be provided as a duct having a smaller diameter than the exhaust duct 5310. Accordingly, it is possible to easily form a negative pressure. In this embodiment, the exhaust duct 5310 is positioned upstream and the opposite region is defined as downstream, based on the position where the fan 5350 is installed. The fan 5350 is rotated so that airflow is formed toward the downstream direction. Accordingly, negative pressure is generated inside each of the exhaust duct 5310 and the housing 5100 communicating therewith, and particles generated in the driving space 5102 are discharged through the discharge line 5330.

예컨대, 배출 라인(5330)은 배기 덕트(5310)의 일측에 연결되어 아래 방향을 향하도록 제공될 수 있다. 이에 따라 배출 라인(5330)은 일부분이 꺽인 형상을 가지며, 이는 파티클의 역류를 방지할 수 있다.For example, the exhaust line 5330 may be connected to one side of the exhaust duct 5310 and provided to face downward. Accordingly, the discharge line 5330 has a partially bent shape, which can prevent backflow of particles.

반송 챔버의 메인터넌스가 진행될 때에는 배기 덕트(5310)를 하우징(5100)으로부터 분리하여 배기 덕트(5310)에 잔류된 파티클을 세정하고, 세정 처리된 배기 덕트(5310)를 다시 하우징(5100)에 장착하는 것이 바람직하다. 이는 배기 덕트(5310) 내에 잔류 파티클 중 일부가 구동 공간(5102)으로 역류되는 것을 방지하기 위함이다. When maintenance of the transfer chamber is in progress, the exhaust duct 5310 is separated from the housing 5100 to clean the particles remaining in the exhaust duct 5310, and the cleaned exhaust duct 5310 is again mounted on the housing 5100. It is desirable. This is to prevent some of the residual particles in the exhaust duct 5310 from flowing back into the driving space 5102.

열처리 챔버(3202)는 복수 개로 제공된다. 열처리 챔버들(3202)은 제1방향(12)을 따라 나열되게 배치된다. 열처리 챔버들(3202)은 반송 챔버(3400)의 일측에 위치된다.The heat treatment chamber 3202 is provided in plural. The heat treatment chambers 3202 are arranged to be lined up along the first direction 12. The heat treatment chambers 3202 are located on one side of the transfer chamber 3400.

도 8은 도 3의 열처리 챔버의 일 예를 개략적으로 보여주는 평면도이고, 도 9는 도 8의 열처리 챔버의 정면도이다. 도 8 및 도 9를 참조하면, 열처리 챔버(3202)는 하우징(3210), 냉각 유닛(3220), 가열 유닛(3230), 그리고 반송 플레이트(3240)를 가진다. 8 is a plan view schematically illustrating an example of the heat treatment chamber of FIG. 3, and FIG. 9 is a front view of the heat treatment chamber of FIG. 8. 8 and 9, the heat treatment chamber 3202 has a housing 3210, a cooling unit 3220, a heating unit 3230, and a transfer plate 3240.

하우징(3210)은 대체로 직육면체의 형상으로 제공된다. 하우징(3210)의 측벽에는 기판(W)이 출입되는 반입구(도시되지 않음)가 형성된다. 반입구는 개방된 상태로 유지될 수 있다. 선택적으로 반입구를 개폐하도록 도어(도시되지 않음)가 제공될 수 있다. 냉각 유닛(3220), 가열 유닛(3230), 그리고 반송 플레이트(3240)는 하우징(3210) 내에 제공된다. 냉각 유닛(3220) 및 가열 유닛(3230)은 제2 방향(14)을 따라 나란히 제공된다. 일 예에 의하면, 냉각 유닛(3220)은 가열 유닛(3230)에 비해 반송 챔버(3400)에 더 가깝게 위치될 수 있다.The housing 3210 is generally provided in the shape of a rectangular parallelepiped. A carrying port (not shown) through which the substrate W enters and exits is formed on the sidewall of the housing 3210. The entrance can be kept open. A door (not shown) may be provided to selectively open and close the entrance. A cooling unit 3220, a heating unit 3230, and a conveying plate 3240 are provided in the housing 3210. The cooling unit 3220 and the heating unit 3230 are provided side by side along the second direction 14. According to an example, the cooling unit 3220 may be located closer to the transfer chamber 3400 than the heating unit 3230.

냉각 유닛(3220)은 냉각판(3222)을 가진다. 냉각판(3222)은 상부에서 바라볼 때 대체로 원형의 형상을 가질 수 있다. 냉각판(3222)에는 냉각부재(3224)가 제공된다. 일 예에 의하면, 냉각부재(3224)는 냉각판(3222)의 내부에 형성되며, 냉각 유체가 흐르는 유로로 제공될 수 있다. The cooling unit 3220 has a cooling plate 3222. The cooling plate 3222 may have a generally circular shape when viewed from the top. A cooling member 3224 is provided on the cooling plate 3222. According to an example, the cooling member 3224 is formed inside the cooling plate 3222 and may be provided as a flow path through which the cooling fluid flows.

가열 유닛(3230)은 가열판(3232), 커버(3234), 그리고 히터(3233)를 가진다. 가열판(3232)은 상부에서 바라볼 때 대체로 원형의 형상을 가진다. 가열판(3232)은 기판(W)보다 큰 직경을 가진다. 가열판(3232)에는 히터(3233)가 설치된다. 히터(3233)는 전류가 인가되는 발열저항체로 제공될 수 있다. 가열판(3232)에는 제3 방향(16)을 따라 상하 방향으로 구동 가능한 리프트 핀(3238)들이 제공된다. 리프트 핀(3238)은 가열 유닛(3230) 외부의 반송 수단으로부터 기판(W)을 인수받아 가열판(3232) 상에 내려놓거나 가열판(3232)으로부터 기판(W)을 들어올려 가열 유닛(3230) 외부의 반송 수단으로 인계한다. 일 예에 의하면, 리프트 핀(3238)은 3개가 제공될 수 있다. 커버(3234)는 내부에 하부가 개방된 공간을 가진다. 커버(3234)는 가열판(3232)의 상부에 위치되며 구동기(3236)에 의해 상하 방향으로 이동된다. 커버(3234)가 가열판(3232)에 접촉되면, 커버(3234)와 가열판(3232)에 의해 둘러싸인 공간은 기판(W)을 가열하는 가열 공간으로 제공된다. The heating unit 3230 has a heating plate 3232, a cover 3234, and a heater 3233. The heating plate 3232 has a generally circular shape when viewed from the top. The heating plate 3232 has a larger diameter than the substrate W. A heater 3233 is installed on the heating plate 3232. The heater 3233 may be provided as a heating resistor to which current is applied. The heating plate 3232 is provided with lift pins 3238 that can be driven in the vertical direction along the third direction 16. The lift pin 3238 receives the substrate W from the conveying means outside the heating unit 3230 and puts it down on the heating plate 3232 or lifts the substrate W from the heating plate 3232 to the outside of the heating unit 3230. Hand over by means of transport. According to an example, three lift pins 3238 may be provided. The cover 3234 has a space in which the lower part is open. The cover 3234 is located above the heating plate 3232 and is moved in the vertical direction by the driver 3236. When the cover 3234 comes into contact with the heating plate 3232, the space surrounded by the cover 3234 and the heating plate 3232 is provided as a heating space for heating the substrate W.

반송 플레이트(3240)는 대체로 원판 형상을 제공되고, 기판(W)과 대응되는 직경을 가진다. 반송 플레이트(3240)의 가장자리에는 노치(3244)가 형성된다. 노치(3244)는 상술한 반송 로봇(3422)의 핸드(3420)에 형성된 지지 돌기(3421b)와 대응되는 형상을 가질 수 있다. 또한, 노치(3244)는 핸드(3420)에 형성된 지지 돌기(3421b)와 대응되는 수로 제공되고, 지지 돌기(3421b)와 대응되는 위치에 형성된다. 핸드(3420)와 반송 플레이트(3240)가 상하 방향으로 정렬된 위치에서 핸드(3420)와 반송 플레이트(3240)의 상하 위치가 변경하면 핸드(3420)와 반송 플레이트(3240) 간에 기판(W)의 전달이 이루어진다. 반송 플레이트(3240)는 가이드 레일(3249) 상에 장착되고, 구동기(3246)에 의해 가이드 레일(3249)을 따라 제1영역(3212)과 제2영역(3214) 간에 이동될 수 있다. 반송 플레이트(3240)에는 슬릿 형상의 가이드 홈(3242)이 복수 개 제공된다. 가이드 홈(3242)은 반송 플레이트(3240)의 끝단에서 반송 플레이트(3240)의 내부까지 연장된다. 가이드 홈(3242)은 그 길이 방향이 제2 방향(14)을 따라 제공되고, 가이드 홈(3242)들은 제1 방향(12)을 따라 서로 이격되게 위치된다. 가이드 홈(3242)은 반송 플레이트(3240)와 가열 유닛(3230) 간에 기판(W)의 인수인계가 이루어질 때 반송 플레이트(3240)와 리프트 핀(1340)이 서로 간섭되는 것을 방지한다. The transfer plate 3240 has a generally disk shape and has a diameter corresponding to the substrate W. A notch 3244 is formed at the edge of the transfer plate 3240. The notch 3244 may have a shape corresponding to the support protrusion 3421b formed on the hand 3420 of the transfer robot 3422 described above. In addition, the notch 3244 is provided in a number corresponding to the support protrusion 3421b formed on the hand 3420 and is formed at a position corresponding to the support protrusion 3421b. When the vertical position of the hand 3420 and the transfer plate 3240 is changed in the position where the hand 3420 and the transfer plate 3240 are aligned in the vertical direction, the substrate W between the hand 3420 and the transfer plate 3240 is changed. Delivery takes place. The transfer plate 3240 is mounted on the guide rail 3249 and may be moved between the first area 3212 and the second area 3214 along the guide rail 3249 by a driver 3246. The conveying plate 3240 is provided with a plurality of slit-shaped guide grooves 3242. The guide groove 3242 extends from the end of the transfer plate 3240 to the inside of the transfer plate 3240. The guide groove 3242 has its longitudinal direction provided along the second direction 14, and the guide grooves 3242 are positioned to be spaced apart from each other along the first direction 12. The guide groove 3242 prevents the transfer plate 3240 and the lift pin 1340 from interfering with each other when the transfer of the substrate W is made between the transfer plate 3240 and the heating unit 3230.

기판(W)의 가열은 기판(W)이 지지 플레이트(1320) 상에 직접 놓인 상태에서 이루어지고, 기판(W)의 냉각은 기판(W)이 놓인 반송 플레이트(3240)가 냉각판(3222)에 접촉된 상태에서 이루어진다. 냉각판(3222)과 기판(W) 간에 열전달이 잘 이루어지도록 반송 플레이트(3240)은 열전달율이 높은 재질로 제공된다. 일 예에 의하면, 반송 플레이트(3240)은 금속 재질로 제공될 수 있다. The substrate W is heated while the substrate W is directly placed on the support plate 1320, and the substrate W is cooled by the transfer plate 3240 on which the substrate W is placed. It is made while in contact with. The transfer plate 3240 is made of a material having a high heat transfer rate so that heat transfer between the cooling plate 3222 and the substrate W is well performed. According to an example, the transfer plate 3240 may be made of a metal material.

열처리 챔버들(3200) 중 일부의 열처리 챔버에 제공된 가열 유닛(3230)은 기판(W) 가열 중에 가스를 공급하여 포토레지스트의 기판(W) 부착률을 향상시킬 수 있다. 일 예에 의하면, 가스는 헥사메틸디실란(hexamethyldisilane) 가스일 수 있다. The heating unit 3230 provided in some of the heat treatment chambers 3200 may supply gas while heating the substrate W to improve the adhesion rate of the photoresist to the substrate W. According to an example, the gas may be hexamethyldisilane gas.

액처리 챔버(3600)는 복수 개로 제공된다. 액처리 챔버들(3600) 중 일부는 서로 적층되도록 제공될 수 있다. 액 처리 챔버들(3600)은 반송 챔버(3402)의 일측에 배치된다. 액 처리 챔버들(3600)은 제1방향(12)을 따라 나란히 배열된다. 액 처리 챔버들(3600) 중 일부는 인덱스 모듈(20)과 인접한 위치에 제공된다. 이하, 이들 액처리 챔버를 전단 액처리 챔버(3602)(front liquid treating chamber)라 칭한다. 액 처리 챔버들(3600)은 중 다른 일부는 인터페이스 모듈(40)과 인접한 위치에 제공된다. 이하, 이들 액처리 챔버를 후단 액처리 챔버(3604)(rear heat treating chamber)라 칭한다. The liquid processing chamber 3600 is provided in plural. Some of the liquid treatment chambers 3600 may be provided to be stacked on each other. The liquid processing chambers 3600 are disposed on one side of the transfer chamber 3402. The liquid processing chambers 3600 are arranged side by side along the first direction 12. Some of the liquid processing chambers 3600 are provided at positions adjacent to the index module 20. Hereinafter, these liquid treatment chambers are referred to as a front liquid treatment chamber 3602 (front liquid treating chamber). Other portions of the liquid processing chambers 3600 are provided at positions adjacent to the interface module 40. Hereinafter, these liquid treatment chambers are referred to as rear heat treating chambers 3604.

전단 액처리 챔버(3602)는 기판(W)상에 제1액을 도포하고, 후단 액처리 챔버(3604)는 기판(W) 상에 제2액을 도포한다. 제1액과 제2액은 서로 상이한 종류의 액일 수 있다. 일 실시예에 의하면, 제1액은 반사 방지막이고, 제2액은 포토레지스트이다. 포토레지스트는 반사 방지막이 도포된 기판(W) 상에 도포될 수 있다. 선택적으로 제1액은 포토레지스트이고, 제2액은 반사방지막일 수 있다. 이 경우, 반사방지막은 포토레지스트가 도포된 기판(W) 상에 도포될 수 있다. 선택적으로 제1액과 제2액은 동일한 종류의 액이고, 이들은 모두 포토레지스트일 수 있다.The front-end liquid treatment chamber 3602 applies the first liquid onto the substrate W, and the rear-end liquid treatment chamber 3604 applies the second liquid onto the substrate W. The first liquid and the second liquid may be different types of liquids. According to an embodiment, the first liquid is an antireflection film, and the second liquid is a photoresist. The photoresist may be applied on the substrate W on which the antireflection film is applied. Optionally, the first liquid may be a photoresist, and the second liquid may be an antireflection film. In this case, the antireflection film may be applied on the substrate W on which the photoresist is applied. Optionally, the first liquid and the second liquid are of the same type, and they may all be photoresists.

도 10은 도 3의 액 처리 챔버의 일 예를 개략적으로 보여주는 도면이다. 도 10을 참조하면, 액 처리 챔버(3600)는 하우징(3610), 컵(3620), 기판 지지 유닛(3640), 그리고 액 공급 유닛(1000)을 가진다. 하우징(3610)은 대체로 직육면체의 형상으로 제공된다. 하우징(3610)의 측벽에는 기판(W)이 출입되는 반입구(도시되지 않음)가 형성된다. 반입구는 도어(도시되지 않음)에 의해 개폐될 수 있다. 컵(3620), 지지유닛(3640), 그리고 액 공급 유닛(1000)은 하우징(3610) 내에 제공된다. 하우징(3610)의 상벽에는 하우징(3260) 내에 하강 기류를 형성하는 팬 필터 유닛(3670)이 제공될 수 있다. 컵(3620)은 상부가 개방된 처리 공간을 가진다. 기판 지지 유닛(3640)은 처리 공간 내에 배치되며, 기판(W)을 지지한다. 기판 지지 유닛(3640)은 액 처리 도중에 기판(W)이 회전 가능하도록 제공된다. 액 공급 유닛(1000)은 기판 지지 유닛(3640)에 지지된 기판(W)으로 액을 공급한다. 10 is a diagram schematically illustrating an example of the liquid processing chamber of FIG. 3. Referring to FIG. 10, a liquid processing chamber 3600 includes a housing 3610, a cup 3620, a substrate support unit 3640, and a liquid supply unit 1000. The housing 3610 is generally provided in the shape of a rectangular parallelepiped. A carrying port (not shown) through which the substrate W enters and exits is formed on the sidewall of the housing 3610. The entrance may be opened and closed by a door (not shown). The cup 3620, the support unit 3640, and the liquid supply unit 1000 are provided in the housing 3610. A fan filter unit 3670 for forming a downward airflow in the housing 3260 may be provided on an upper wall of the housing 3610. The cup 3620 has a processing space with an open top. The substrate support unit 3640 is disposed in the processing space and supports the substrate W. The substrate support unit 3640 is provided so that the substrate W is rotatable during liquid processing. The liquid supply unit 1000 supplies liquid to the substrate W supported by the substrate support unit 3640.

노즐(1100)은 기판 지지 유닛에 지지된 기판과 마주하는 공정 위치에서 기판 상에 액을 공급한다. 예컨대, 액은 포토레지스트와 같은 감광액일 수 있다. 공정 위치는 노즐(1100)이 감광액을 기판의 중심으로 토출 가능한 위치일 수 있다.The nozzle 1100 supplies liquid onto the substrate at a process position facing the substrate supported by the substrate support unit. For example, the liquid may be a photoresist such as a photoresist. The process position may be a position in which the nozzle 1100 can eject the photoresist to the center of the substrate.

다시 도 2 및 도 3을 참조하면, 버퍼 챔버(3800)는 복수 개로 제공된다. 버퍼 챔버들(3800) 중 일부는 인덱스 모듈(20)과 반송 챔버(3400) 사이에 배치된다. 이하, 이들 버퍼 챔버를 전단 버퍼(3802)(front buffer)라 칭한다. 전단 버퍼들(3802)은 복수 개로 제공되며, 상하 방향을 따라 서로 적층되게 위치된다. 버퍼 챔버들(3802, 3804) 중 다른 일부는 반송 챔버(3400)와 인터페이스 모듈(40) 사이에 배치된다 이하. 이들 버퍼 챔버를 후단 버퍼(3804)(rear buffer)라 칭한다. 후단 버퍼들(3804)은 복수 개로 제공되며, 상하 방향을 따라 서로 적층되게 위치된다. 전단 버퍼들(3802) 및 후단 버퍼들(3804) 각각은 복수의 기판들(W)을 일시적으로 보관한다. 전단 버퍼(3802)에 보관된 기판(W)은 인덱스 로봇(2200) 및 반송 로봇(3422)에 의해 반입 또는 반출된다. 후단 버퍼(3804)에 보관된 기판(W)은 반송 로봇(3422) 및 제1로봇(4602)에 의해 반입 또는 반출된다. Referring back to FIGS. 2 and 3, a plurality of buffer chambers 3800 are provided. Some of the buffer chambers 3800 are disposed between the index module 20 and the transfer chamber 3400. Hereinafter, these buffer chambers are referred to as a front buffer 3802 (front buffer). The shear buffers 3802 are provided in plural and are positioned to be stacked on each other along the vertical direction. Other portions of the buffer chambers 3802 and 3804 are disposed between the transfer chamber 3400 and the interface module 40 below. These buffer chambers are referred to as a rear buffer 3804. The rear buffers 3804 are provided in plural, and are positioned to be stacked with each other along the vertical direction. Each of the front buffers 3802 and the rear buffers 3804 temporarily stores a plurality of substrates W. The substrate W stored in the shear buffer 3802 is carried in or taken out by the index robot 2200 and the transfer robot 3422. The substrate W stored in the rear buffer 3804 is carried in or taken out by the transfer robot 3422 and the first robot 4602.

현상 블럭(30b)은 열처리 챔버(3200), 반송 챔버(3400), 그리고 액처리 챔버(3600)를 가진다. 현상 블럭(30b)의 열처리 챔버(3200), 그리고 반송 챔버(3400)는 도포 블럭(30a)의 열처리 챔버(3200), 그리고 반송 챔버(3400)와 대체로 유사한 구조 및 배치로 제공되므로, 이에 대한 설명은 생략한다. The developing block 30b has a heat treatment chamber 3200, a transfer chamber 3400, and a liquid treatment chamber 3600. Since the heat treatment chamber 3200 of the developing block 30b and the transfer chamber 3400 are provided in a structure and arrangement substantially similar to the heat treatment chamber 3200 of the coating block 30a and the transfer chamber 3400, the description Is omitted.

현상 블록(30b)에서 액처리 챔버들(3600)은 모두 동일하게 현상액을 공급하여 기판을 현상 처리하는 현상 챔버(3600)로 제공된다. In the developing block 30b, the liquid processing chambers 3600 are all provided as a developing chamber 3600 for developing and processing a substrate by supplying a developer.

인터페이스 모듈(40)은 처리 모듈(30)을 외부의 노광 장치(50)와 연결한다. 인터페이스 모듈(40)은 인터페이스 프레임(4100), 부가 공정 챔버(4200), 인터페이스 버퍼(4400), 그리고 반송 부재(4600)를 가진다. The interface module 40 connects the processing module 30 to an external exposure apparatus 50. The interface module 40 has an interface frame 4100, an additional process chamber 4200, an interface buffer 4400, and a conveying member 4600.

인터페이스 프레임(4100)의 상단에는 내부에 하강기류를 형성하는 팬필터유닛이 제공될 수 있다. 부가 공정 챔버(4200), 인터페이스 버퍼(4400), 그리고 반송 부재(4600)는 인터페이스 프레임(4100)의 내부에 배치된다. 부가 공정 챔버(4200)는 도포 블럭(30a)에서 공정이 완료된 기판(W)이 노광 장치(50)로 반입되기 전에 소정의 부가 공정을 수행할 수 있다. 선택적으로 부가 공정 챔버(4200)는 노광 장치(50)에서 공정이 완료된 기판(W)이 현상 블럭(30b)으로 반입되기 전에 소정의 부가 공정을 수행할 수 있다. 일 예에 의하면, 부가 공정은 기판(W)의 에지 영역을 노광하는 에지 노광 공정, 또는 기판(W)의 상면을 세정하는 상면 세정 공정, 또는 기판(W)의 하면을 세정하는 하면 세정공정일 수 있다. 부가 공정 챔버(4200)는 복수 개가 제공되고, 이들은 서로 적층되도록 제공될 수 있다. 부가 공정 챔버(4200)는 모두 동일한 공정을 수행하도록 제공될 수 있다. 선택적으로 부가 공정 챔버(4200)들 중 일부는 서로 다른 공정을 수행하도록 제공될 수 있다.A fan filter unit may be provided at an upper end of the interface frame 4100 to form a downward airflow therein. The additional process chamber 4200, the interface buffer 4400, and the transfer member 4600 are disposed inside the interface frame 4100. The additional process chamber 4200 may perform a predetermined additional process before the substrate W, which has been processed in the coating block 30a, is carried into the exposure apparatus 50. Optionally, the additional process chamber 4200 may perform a predetermined additional process before the substrate W, which has been processed by the exposure apparatus 50, is carried into the developing block 30b. According to an example, the additional process is an edge exposure process of exposing the edge region of the substrate W, a top surface cleaning process of cleaning the upper surface of the substrate W, or a bottom surface cleaning process of cleaning the lower surface of the substrate W. I can. A plurality of additional process chambers 4200 may be provided, and they may be provided to be stacked on each other. All of the additional process chambers 4200 may be provided to perform the same process. Optionally, some of the additional process chambers 4200 may be provided to perform different processes.

인터페이스 버퍼(4400)는 도포 블럭(30a), 부가 공정챔버(4200), 노광 장치(50), 그리고 현상 블럭(30b) 간에 반송되는 기판(W)이 반송도중에 일시적으로 머무르는 공간을 제공한다. 인터페이스 버퍼(4400)는 복수 개가 제공되고, 복수의 인터페이스 버퍼들(4400)은 서로 적층되게 제공될 수 있다.The interface buffer 4400 provides a space in which the substrate W transferred between the coating block 30a, the additional process chamber 4200, the exposure apparatus 50, and the developing block 30b temporarily stays during the transfer process. A plurality of interface buffers 4400 may be provided, and a plurality of interface buffers 4400 may be provided to be stacked on each other.

일 예에 의하면, 반송 챔버(3400)의 길이 방향의 연장선을 기준으로 일 측면에는 부가 공정 챔버(4200)가 배치되고, 다른 측면에는 인터페이스 버퍼(4400)가 배치될 수 있다.According to an example, an additional process chamber 4200 may be disposed on one side and an interface buffer 4400 may be disposed on the other side based on an extension line in the longitudinal direction of the transfer chamber 3400.

반송 부재(4600)는 도포 블럭(30a), 부가 공정챔버(4200), 노광 장치(50), 그리고 현상 블럭(30b) 간에 기판(W)을 반송한다. 반송 부재(4600)는 1개 또는 복수 개의 로봇으로 제공될 수 있다. 일 예에 의하면, 반송 부재(4600)는 제1로봇(4602) 및 제2로봇(4606)을 가진다. 제1로봇(4602)은 도포 블럭(30a), 부가 공정챔버(4200), 그리고 인터페이스 버퍼(4400) 간에 기판(W)을 반송하고, 인터페이스 로봇(4606)은 인터페이스 버퍼(4400)와 노광 장치(50) 간에 기판(W)을 반송하고, 제2로봇(4604)은 인터페이스 버퍼(4400)와 현상 블럭(30b) 간에 기판(W)을 반송하도록 제공될 수 있다.The conveying member 4600 conveys the substrate W between the coating block 30a, the addition process chamber 4200, the exposure apparatus 50, and the developing block 30b. The transfer member 4600 may be provided as one or a plurality of robots. According to an example, the carrying member 4600 has a first robot 4602 and a second robot 4606. The first robot 4602 transfers the substrate W between the coating block 30a, the additional process chamber 4200, and the interface buffer 4400, and the interface robot 4606 includes the interface buffer 4400 and the exposure apparatus ( 50), and the second robot 4604 may be provided to transport the substrate W between the interface buffer 4400 and the developing block 30b.

제1로봇(4602) 및 제2로봇(4606)은 각각 기판(W)이 놓이는 핸드를 포함하며, 핸드는 전진 및 후진 이동, 제3 방향(16)에 평행한 축을 기준으로 한 회전, 그리고 제3 방향(16)을 따라 이동 가능하게 제공될 수 있다. Each of the first robot 4602 and the second robot 4606 includes a hand on which the substrate W is placed, and the hand moves forward and backward, rotates about an axis parallel to the third direction 16, and It may be provided to be movable along the three directions 16.

인덱스 로봇(2200), 제1로봇(4602), 그리고 제2 로봇(4606)의 핸드는 모두 반송 로봇(3422)의 핸드(3420)와 동일한 형상으로 제공될 수 있다. 선택적으로 열처리 챔버의 반송 플레이트(3240)와 직접 기판(W)을 주고받는 로봇의 핸드는 반송 로봇(3422)의 핸드(3420)와 동일한 형상으로 제공되고, 나머지 로봇의 핸드는 이와 상이한 형상으로 제공될 수 있다.The hand of the index robot 2200, the first robot 4602, and the second robot 4606 may all be provided in the same shape as the hand 3420 of the transfer robot 3422. Optionally, the hand of the robot that directly exchanges the substrate W with the transfer plate 3240 of the heat treatment chamber is provided in the same shape as the hand 3420 of the transfer robot 3422, and the hands of the other robots are provided in a different shape. Can be.

일 실시예에 의하면, 인덱스 로봇(2200)은 도포 블럭(30a)에 제공된 전단 열처리 챔버(3200)의 가열 유닛(3230)과 직접 기판(W)을 주고받을 수 있도록 제공된다. According to an embodiment, the index robot 2200 is provided to directly exchange the substrate W with the heating unit 3230 of the shear heat treatment chamber 3200 provided in the coating block 30a.

또한, 도포 블럭(30a) 및 현상 블럭(30b)에 제공된 반송 로봇(3422)은 열처리 챔버(3200)에 위치된 반송 플레이트(3240)와 직접 기판(W)을 주고받을 수 있도록 제공될 수 있다. In addition, the transfer robot 3422 provided in the coating block 30a and the developing block 30b may be provided to directly exchange the substrate W with the transfer plate 3240 positioned in the heat treatment chamber 3200.

다음은 상술한 기판 처리 장치(1)를 이용하여 기판을 처리하는 방법의 일 실시예에 대해 설명한다. Next, an embodiment of a method of processing a substrate using the substrate processing apparatus 1 described above will be described.

기판(W)에 대해 도포 처리 공정(S20), 에지 노광 공정(S40), 노광 공정(S60), 그리고 현상 처리 공정(S80)이 순차적으로 수행된다. A coating process (S20), an edge exposure process (S40), an exposure process (S60), and a developing process (S80) are sequentially performed on the substrate W.

도포 처리 공정(S20)은 열처리 챔버(3200)에서 열처리 공정(S21), 전단 액처리 챔버(3602)에서 반사방지막 도포 공정(S22), 열처리 챔버(3200)에서 열처리 공정(S23), 후단 액처리 챔버(3604)에서 포토레지스트막 도포 공정(S24), 그리고 열처리 챔버(3200)에서 열처리 공정(S25)이 순차적으로 이루어짐으로써 수행된다. The coating treatment process (S20) is a heat treatment process (S21) in the heat treatment chamber 3200, an antireflective coating process (S22) in the front liquid treatment chamber 3602, a heat treatment process (S23) in the heat treatment chamber 3200, and a liquid treatment at the subsequent stage. A photoresist film application process (S24) in the chamber 3604 and a heat treatment process (S25) in the heat treatment chamber 3200 are sequentially performed.

이하, 용기(10)에서 노광 장치(50)까지 기판(W)의 반송 경로의 일 예를 설명한다. Hereinafter, an example of a conveyance path of the substrate W from the container 10 to the exposure apparatus 50 will be described.

인덱스 로봇(2200)은 기판(W)을 용기(10)에서 꺼내서 전단 버퍼(3802)로 반송한다. 반송 로봇(3422)은 전단 버퍼(3802)에 보관된 기판(W)을 전단 열처리 챔버(3200)로 반송한다. 기판(W)은 반송 플레이트(3240)에 의해 가열 유닛(3230)에 기판(W)을 반송한다. 가열 유닛(3230)에서 기판의 가열 공정이 완료되면, 반송 플레이트(3240)는 기판을 냉각 유닛(3220)으로 반송한다. 반송 플레이트(3240)는 기판(W)을 지지한 상태에서, 냉각 유닛(3220)에 접촉되어 기판(W)의 냉각 공정을 수행한다. 냉각 공정이 완료되면, 반송 플레이트(3240)가 냉각 유닛(3220)의 상부로 이동되고, 반송 로봇(3422)은 열처리 챔버(3200)에서 기판(W)을 반출하여 전단 액처리 챔버(3602)로 반송한다. The index robot 2200 takes out the substrate W from the container 10 and transfers the substrate W to the front end buffer 3802. The transfer robot 3422 transfers the substrate W stored in the front end buffer 3802 to the front end heat treatment chamber 3200. The substrate W transfers the substrate W to the heating unit 3230 by the transfer plate 3240. When the heating process of the substrate in the heating unit 3230 is completed, the transfer plate 3240 transfers the substrate to the cooling unit 3220. The transfer plate 3240 is in contact with the cooling unit 3220 while supporting the substrate W to perform a cooling process of the substrate W. When the cooling process is completed, the transfer plate 3240 is moved to the upper portion of the cooling unit 3220, and the transfer robot 3422 carries out the substrate W from the heat treatment chamber 3200 to the front end liquid treatment chamber 3602. Return.

전단 액처리 챔버(3602)에서 기판(W) 상에 반사 방지막을 도포한다. An anti-reflection film is applied on the substrate W in the shear liquid processing chamber 3602.

반송 로봇(3422)이 전단 액처리 챔버(3602)에서 기판(W)을 반출하여 열처리 챔버(3200)로 기판(W)을 반입한다. 열처리 챔버(3200)에는 상술한 가열 공정 및 냉각 공정 순차적으로 진행되고, 각 열처리 공정이 완료되면, 반송 로봇(3422)은 기판(W)을 반출하여 후단 액처리 챔버(3604)로 반송한다. The transfer robot 3422 carries the substrate W from the front end liquid processing chamber 3602 and carries the substrate W into the heat treatment chamber 3200. The above-described heating process and cooling process are sequentially performed in the heat treatment chamber 3200, and when each heat treatment process is completed, the transfer robot 3422 carries out the substrate W and transfers the substrate W to the subsequent liquid treatment chamber 3604.

이후, 후단 액처리 챔버(3604)에서 기판(W) 상에 포토레지스트막을 도포한다. Thereafter, a photoresist film is applied on the substrate W in a liquid processing chamber 3604 at a later stage.

반송 로봇(3422)이 후단 액처리 챔버(3604)에서 기판(W)을 반출하여 열처리 챔버(3200)으로 기판(W)을 반입한다. 열처리 챔버(3200)에는 상술한 가열 공정 및 냉각 공정이 순차적으로 진행되고, 각 열처리 공정이 완료되면, 반송 로봇(3422)은 기판(W)을 후단 버퍼(3804)로 반송한다. 인터페이스 모듈(40)의 제1로봇(4602)이 후단 버퍼(3804)에서 기판(W)을 반출하여 보조 공정챔버(4200)로 반송한다. The transfer robot 3422 carries the substrate W out of the liquid processing chamber 3604 at the rear stage, and carries the substrate W into the heat treatment chamber 3200. The above-described heating process and cooling process are sequentially performed in the heat treatment chamber 3200, and when each heat treatment process is completed, the transfer robot 3422 transfers the substrate W to the rear buffer 3804. The first robot 4602 of the interface module 40 carries out the substrate W from the rear buffer 3804 and transfers the substrate W to the auxiliary process chamber 4200.

보조 공정챔버(4200)에서 기판(W)에 대해 에지 노광 공정이 수행된다.An edge exposure process is performed on the substrate W in the auxiliary process chamber 4200.

이후, 제1로봇(4602)이 보조 공정챔버(4200)에서 기판(W)을 반출하여 인터페이스 버퍼(4400)로 기판(W)을 반송한다.Thereafter, the first robot 4602 carries out the substrate W from the auxiliary process chamber 4200 and transfers the substrate W to the interface buffer 4400.

이후, 제2로봇(4606)은 인터페이스 버퍼(4400)에서 기판(W)을 반출하여 노광 장치(50)로 반송한다.Thereafter, the second robot 4606 takes out the substrate W from the interface buffer 4400 and transfers it to the exposure apparatus 50.

현상 처리 공정(S80)은 열처리 챔버(3200)에서 열처리 공정(S81), 액처리 챔버(3600)에서 현상 공정(S82), 그리고 열처리 챔버(3200)에서 열처리 공정(S83)이 순차적으로 이루어짐으로써 수행된다. The development treatment process (S80) is performed by sequentially performing a heat treatment process (S81) in the heat treatment chamber 3200, a development process (S82) in the liquid treatment chamber 3600, and a heat treatment process (S83) in the heat treatment chamber 3200 do.

이하, 노광 장치(50)에서 용기(10)까지 기판(W)의 반송 경로의 일 예를 설명한다, Hereinafter, an example of a conveyance path of the substrate W from the exposure apparatus 50 to the container 10 will be described.

제2로봇(4606)이 노광 장치(50)에서 기판(W)을 반출하여 인터페이스 버퍼(4400)로 기판(W)을 반송한다.The second robot 4606 unloads the substrate W from the exposure apparatus 50 and transfers the substrate W to the interface buffer 4400.

이후, 제1로봇(4602)이 인터페이스 버퍼(4400)에서 기판(W)을 반출하여 후단 버퍼(3804)로 기판(W)을 반송한다. 반송 로봇(3422)은 후단 버퍼(3804)에서 기판(W)을 반출하여 열처리 챔버(3200)로 기판(W)을 반송한다. 열처리 챔버(3200)에는 기판(W)의 가열 공정 및 냉각 공정이 순차적으로 수행한다. 냉각 공정이 완료되면, 기판(W)은 반송 로봇(3422)에 의해 현상 챔버(3600)로 반송한다. Thereafter, the first robot 4602 removes the substrate W from the interface buffer 4400 and transfers the substrate W to the rear buffer 3804. The transfer robot 3422 carries out the substrate W from the rear buffer 3804 and transfers the substrate W to the heat treatment chamber 3200. In the heat treatment chamber 3200, a heating process and a cooling process of the substrate W are sequentially performed. When the cooling process is completed, the substrate W is transferred to the developing chamber 3600 by the transfer robot 3422.

현상 챔버(3600)에는 기판(W) 상에 현상액을 공급하여 현상 공정을 수행한다. A developing process is performed by supplying a developer onto the substrate W to the developing chamber 3600.

기판(W)은 반송 로봇(3422)에 의해 현상 챔버(3600)에서 반출되어 열처리 챔버(3200)로 반입된다. 기판(W)은 열처리 챔버(3200)에서 가열 공정 및 냉각 공정이 순차적으로 수행된다. 냉각 공정이 완료되면, 기판(W)은 반송 로봇(3422)에 의해 열처리 챔버(3200)에서 기판(W)을 반출되어 전단 버퍼(3802)로 반송한다. The substrate W is carried out from the developing chamber 3600 by the transfer robot 3422 and carried into the heat treatment chamber 3200. A heating process and a cooling process are sequentially performed on the substrate W in the heat treatment chamber 3200. When the cooling process is completed, the substrate W is carried out from the heat treatment chamber 3200 by the transfer robot 3422 and transferred to the front end buffer 3802.

이후, 인덱스 로봇(2200)이 전단 버퍼(3802)에서 기판(W)을 꺼내어 용기(10)로 반송한다. Thereafter, the index robot 2200 takes out the substrate W from the shear buffer 3802 and transfers it to the container 10.

상술한 기판 처리 장치(1)의 처리 블럭은 도포 처리 공정과 현상 처리 공정을 수행하는 것으로 설명하였다. 그러나 이와 달리 기판 처리 장치(1)는 인터페이스 모듈 없이 인덱스 모듈(20)과 처리 블럭(37)만을 구비할 수 있다. 이 경우, 처리 블럭(37)은 도포 처리 공정만을 수행하고, 기판(W) 상에 도포되는 막은 스핀 온 하드마스크막(SOH)일 수 있다.The processing block of the above-described substrate processing apparatus 1 has been described as performing a coating treatment process and a development treatment process. However, unlike this, the substrate processing apparatus 1 may include only the index module 20 and the processing block 37 without an interface module. In this case, the processing block 37 performs only a coating process, and a film applied on the substrate W may be a spin-on hard mask film SOH.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 상술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The detailed description above is illustrative of the present invention. In addition, the above description shows and describes preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications and environments. That is, changes or modifications may be made within the scope of the concept of the invention disclosed in the present specification, the scope equivalent to the disclosed contents, and/or the skill or knowledge of the art. The above-described embodiments describe the best state for implementing the technical idea of the present invention, and various changes required in the specific application fields and uses of the present invention are possible. Therefore, the detailed description of the invention is not intended to limit the invention to the disclosed embodiment. In addition, the appended claims should be construed as including other embodiments.

5100: 하우징 5102: 구동 공간
5120: 타공판 5200: 케이블 부재
5300: 배기 유닛 5310: 배기 덕트
5312: 배기 공간
5100: housing 5102: drive space
5120: perforated plate 5200: cable member
5300: exhaust unit 5310: exhaust duct
5312: exhaust space

Claims (6)

기판을 처리하는 장치에 있어서,
기판을 처리하는 처리 챔버와;
상기 처리 챔버에 인접하게 배치되는 반송 챔버를 포함하되,
상기 반송 챔버는,
기판을 반송하는 반송 로봇과;
상기 반송 로봇에 연결되며, 상기 반송 로봇과 함께 이동되는 케이블 부재와;
상기 케이블 부재의 아래에 배치되고, 상하 방향으로 홀이 형성되며, 상기 케이블 부재가 제공되는 구동 공간과 그 아래의 배기 공간을 구획하는 타공판과;
상기 배기 공간을 강제 배기하는 배기 유닛을 포함하는 기판 처리 장치.
In the apparatus for processing a substrate,
A processing chamber for processing a substrate;
Comprising a transfer chamber disposed adjacent to the processing chamber,
The transfer chamber,
A transfer robot that transfers the substrate;
A cable member connected to the transfer robot and moved together with the transfer robot;
A perforated plate disposed below the cable member, having a hole formed in the vertical direction, and partitioning a driving space provided with the cable member and an exhaust space below the cable member;
A substrate processing apparatus comprising an exhaust unit that forcibly exhausts the exhaust space.
제1항에 있어서,
상기 반송 챔버는,
상기 구동 공간을 가지며, 바닥면이 상기 타공판으로 제공되는 하우징과;
내부에 상기 배기 공간을 가지며, 상기 하우징과 적층되게 제공되는 배기 덕트를 더 포함하되,
상기 배기 덕트는 상기 하우징과 탈착 가능하도록 제공되는 기판 처리 장치.
The method of claim 1,
The transfer chamber,
A housing having the driving space and having a bottom surface provided as the perforated plate;
Further comprising an exhaust duct provided to be stacked with the housing and having the exhaust space therein,
The exhaust duct is provided to be detachable from the housing.
제2항에 있어서,
상기 배기 유닛은,
상기 배기 덕트에 연결되는 배출 라인과;
상기 배출 라인에 설치되는 팬을 더 포함하되,
상기 배기 공간은 상기 팬의 회전에 의해 강제 배기되는 기판 처리 장치.
The method of claim 2,
The exhaust unit,
An exhaust line connected to the exhaust duct;
Further comprising a fan installed on the discharge line,
The substrate processing apparatus forcibly exhausting the exhaust space by rotation of the fan.
제3항에 있어서,
상기 배출 라인은 상기 배기 덕트에 비해 작은 직경으로 제공되는 기판 처리 장치.
The method of claim 3,
The exhaust line is provided with a smaller diameter than the exhaust duct.
제1항 내지 제4항 중 어느 한 항에 있어서,
상기 반송 챔버는,
상기 반송 로봇이 설치되며, 제1방향을 향하는 길이 방향을 가지는 반송 레일을 더 포함하되,
상기 하우징은 상기 반송 레일의 일측에 위치되며, 상기 제1방향과 평행한 길이 방향을 가지는 기판 처리 장치.
The method according to any one of claims 1 to 4,
The transfer chamber,
The transfer robot is installed, further comprising a transfer rail having a longitudinal direction toward the first direction,
The housing is located on one side of the transfer rail, and has a length direction parallel to the first direction.
제5항에 있어서,
상기 하우징은 상기 반송 레일과 마주하는 측면이 개방되게 제공되며,
상기 케이블 부재는 반송 로봇에 전력을 공급하는 케이블 체인을 포함하는 기판 처리 장치.


The method of claim 5,
The housing is provided so that the side facing the transport rail is open,
The cable member includes a cable chain for supplying electric power to a transfer robot.


KR1020190088339A 2019-07-22 2019-07-22 Apparatus for treating substrate KR20210011197A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020190088339A KR20210011197A (en) 2019-07-22 2019-07-22 Apparatus for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190088339A KR20210011197A (en) 2019-07-22 2019-07-22 Apparatus for treating substrate

Publications (1)

Publication Number Publication Date
KR20210011197A true KR20210011197A (en) 2021-02-01

Family

ID=74571387

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190088339A KR20210011197A (en) 2019-07-22 2019-07-22 Apparatus for treating substrate

Country Status (1)

Country Link
KR (1) KR20210011197A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230095768A (en) 2021-12-22 2023-06-29 세메스 주식회사 Substrate processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230095768A (en) 2021-12-22 2023-06-29 세메스 주식회사 Substrate processing apparatus

Similar Documents

Publication Publication Date Title
US10908503B2 (en) Apparatus for treating substrate
KR102573602B1 (en) Apparatuse for treating substrate
KR20220089562A (en) Apparatus for treating a substrate
KR20210011197A (en) Apparatus for treating substrate
KR102397850B1 (en) Apparatus for treating substrate
KR102119685B1 (en) substrate processing apparatus
KR102315666B1 (en) Transferring unit and Apparatus for treating substrate
KR102010265B1 (en) Apparatus and method for treating substrates
KR20220058146A (en) Transfer hand and substrate processing apparatus
KR20210000355A (en) Apparatus and Method for treating substrate
KR102259066B1 (en) Apparatus and Method for treating substrate
KR102280034B1 (en) Transfer unit and Apparatus for treaitngsubstrate
KR102281045B1 (en) Apparatus and Method for treating substrate
KR102583261B1 (en) Apparatus and method for treating substrates
KR20200040380A (en) cleaning jig and substrate processing apparatus
KR102243066B1 (en) Apparatus for treating substrate
KR20220094021A (en) Transfer unit and substrate treating apparatus including the same
KR102616130B1 (en) Apparatuse for treating substrate
KR102175076B1 (en) Apparatus and Method for treating substrate
KR102119682B1 (en) Liquid supply unit and substrate processing apparatus
KR102222458B1 (en) Docking assembly, Apparatus for treating substrate with the assembly, and Docking method using the apparatus
KR102289939B1 (en) Apparatus and Method for treating substrate
KR102624576B1 (en) Apparatuse for treating substrate
KR102081707B1 (en) Valve unit and liquid supplying unit
US20220390859A1 (en) Substrate treating apparatus

Legal Events

Date Code Title Description
E601 Decision to refuse application