KR20200125942A - Euv 리소그래피 시스템 내에서의 광학 요소 수명 연장 - Google Patents

Euv 리소그래피 시스템 내에서의 광학 요소 수명 연장 Download PDF

Info

Publication number
KR20200125942A
KR20200125942A KR1020207025275A KR20207025275A KR20200125942A KR 20200125942 A KR20200125942 A KR 20200125942A KR 1020207025275 A KR1020207025275 A KR 1020207025275A KR 20207025275 A KR20207025275 A KR 20207025275A KR 20200125942 A KR20200125942 A KR 20200125942A
Authority
KR
South Korea
Prior art keywords
gas
oxygen
vacuum chamber
mitigating
partial pressure
Prior art date
Application number
KR1020207025275A
Other languages
English (en)
Inventor
웨 마
안토니우스 테오도루스 빌헬무스 켐펜
클라우스 마틴 흄러
요하네스 후베르투스 요세피나 무어스
예룬 휘버르트 로멀즈
데 비엘 후베르투스 요하네스 반
앤드류 데이비드 라포르주
페르난도 브리주엘라
롭 까를로 비헐즈
우메시 프라사드 고메즈
엘레나 네다노브스카
세랄 코르크마즈
알렉산더 다운 킴
로드리게스 누니스 루이 미구엘 두아르테
에이크 헨드리쿠스 알폰수스 루도비쿠스 반
드렌트 빌리엄 피터 반
피터 헤라르뒤스 욘거스
치우시 주
파르햄 약후비
얀 스티븐 크리스티안 웨스터라칸
마티누스 헨드리쿠스 안토니우스 린더스
알렉산더 이고르비치 에르쇼프
이고르 블라디미로비치 포멘코프
페이 류
요하네스 헨리쿠스 빌헬무스 야콥스
알렉세이 세르기비치 쿠츠넷소브
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200125942A publication Critical patent/KR20200125942A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70166Capillary or channel elements, e.g. nested extreme ultraviolet [EUV] mirrors or shells, optical fibers or light guides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0006Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 with means to keep optical surfaces clean, e.g. by preventing or removing dirt, stains, contamination, condensation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Environmental & Geological Engineering (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • X-Ray Techniques (AREA)

Abstract

EUV 방사선을 생성하기 위한 시스템(SO) 내의 하나 이상의 반사 광학 요소의 반사율 열화는 광학 요소를 포함하는 진공 챔버(26) 내로의 가스의 제어된 도입에 의하여 감소된다. 가스는 수소와 같은 또 다른 가스의 흐름에 추가될 수 있거나 수소 라디칼의 도입과 번갈아 가며 추가될 수 있다.

Description

EUV 리소그래피 시스템 내에서의 광학 요소 수명 연장
관련 출원에 대한 상호 참조
본 출원은 2019년 2월 8일에 출원된 미국특허출원 제62/803,057호, 2018년 9월 25일에 출원된 미국특허출원 제62/736,108호, 및 2018년 3월 5일에 출원된 미국특허출원 제62/638,778호의 우선권을 주장하며, 이들은 그 전체 내용이 원용되어 본 명세서에 통합된다.
본 발명은 극자외 방사선의 생성을 위한 시스템에 관한 것이다. 이러한 시스템은 전형적으로 진공 환경에 배열된 반사 광학 요소를 사용한다. 이러한 시스템에서 EUV 방사선을 생성하고 제어하는 공정은 시간이 지남에 따라 이 광학 요소의 반사율을 저하시키는 경향이 있다. 이러한 환경의 예는 타겟 또는 소스 물질의 방전 또는 레이저 절제를 통해 플라즈마가 생성되는 EUV 소스의 진공 챔버이다. 이 적용에서, 광학 요소는 예를 들어 반도체 포토리소그래피를 위하여, 진공 챔버 외부에서 사용하기 위해, 예를 들어 방사선을 모으고 지향시키는데 사용된다. 또 다른 예는 이러한 장치의 투영 시스템을 위한 광학계를 수용하는 진공 챔버이다.
EUV 방사선, 예를 들어 약 50㎚ 이하의 파장(때때로 소프트 X 선으로도 지칭됨)을 갖고 약 13.5㎚ 파장의 방사선을 포함하는 전자기 방사선은 포토리소그래피 공정에서 사용되어 실리콘 웨이퍼와 같은 기판에 매우 작은 피처를 생성할 수 있다.
EUV 방사선을 생성하기 위한 일부 방법은 타겟 물질(소스 물질로도 지칭됨)을 액체 상태에서 플라즈마 상태로 변환시키는 것을 포함하고 있다. 타겟 물질은 바람직하게는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 포함하면서 EUV 범위 내의 하나 이상의 방출선을 갖는다. 이러한 방법의 하나인 레이저 생성 플라즈마("LPP")에서, 요구되는 플라즈마는 레이저 빔을 사용함으로써 생성되어 필요한 선-방출 요소를 갖는 타겟 물질을 조사할 수 있다.
하나의 LPP 기술은 타겟 물질 액적의 흐름을 생성하는 것 및 액적의 적어도 일부를 레이저 방사선 펄스로 조사하는 것을 포함한다. 보다 이론적인 용어에서, LPP 소스는 레이저 에너지를 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 적어도 하나의 EUV 방출 요소를 갖는 타겟 물질에 증착시킴으로써 EUV 방사선을 생성하여, 수십 전자 볼트의 전자 온도를 갖는 고이온화된 플라즈마를 생성한다.
이 이온의 탈여기 및 재결합 중에 생성된 에너지 방사선(energetic radiation)은 플라즈마로부터 모든 방향으로 방출된다. 한 일반적인 배열체에서, 근-수직 입사 미러(흔히, "컬렉터 미러" 또는 간단히 "컬렉터(collector)"로 불림)는 방사선을 모으고, 지향시키도록 그리고 일부 배열체에서는 방사선을 중간 위치로 집속시키도록 위치되어 있다. 모여진 방사선은 그 후 중간 위치에서 스캐너 광학계 세트로 그리고 궁극적으로 웨이퍼로 전달될 수 있다.
스펙트럼의 EUV 부분에서, 일반적으로 컬렉터 및 기타 EUV 광학계를 위하여 반사 광학계를 사용하는 것이 필요한 것으로 간주되고 있다. 관련된 파장에서, 컬렉터는 유리하게는 다층 미러(multi-layer mirror)("MLM")로서 구현된다. 명칭이 시사하는 바와 같이, 이 MLM은 일반적으로 기반(foundation) 또는 기판 위의 물질의 교번층들로 구성된다.
광학 요소는 플라즈마를 갖는 진공 챔버 내에 배치되어 EUV 방사선을 모아 재지향(redirect)시켜야 한다. 챔버 내의 환경은 광학 요소에 해로우며, 따라서 예를 들어 여러 메커니즘 중 임의의 하나 또는 그들의 조합에 의해 반사율을 저하시킴으로써 광학 요소의 유용한 수명을 제한한다. 환경 내의 광학 요소는 고에너지 이온 또는 광학 요소의 노출된 표면을 오염시킬 수 있는 타겟 물질의 입자에 노출될 수 있다. 타겟 물질의 입자는 또한 MLM 표면의 물리적 손상 및 국부적인 가열을 야기할 수 있다. 타겟 물질은 특히 광학 요소 표면의 적어도 하나의 층을 구성하는 물질과 반응성일 수 있다. 온도 안정성, 이온 주입 및 확산 문제는 반응성이 적은 타겟 물질, 예를 들어 주석, 인듐 또는 크세논으로도 해결될 필요가 있을 수 있다. MLM 코팅부의 블리스터링(blistering) 또한 방지되어야 한다. 타겟 물질은 또한 광학 요소의 표면에 증착될 수 있다.
컬렉터 열화율(degradation rate)의 또 다른 주요 원인은, 예를 들어 컬렉터의 중앙 구멍 주위에서의 주석 잔해 증착으로 인한 국부적인 스테인(stain) 형성이다. EUV 소스 작동 용기 압력에 따라 컬렉터 열화율에 대한 스테인 기여는 총 비율의 약 30%에서 약 60% 사이일 수 있다.
이 가혹 조건에도 불구하고 광학 요소의 수명을 증가시키기 위해 사용될 수 있는 기술이 있다. 예를 들어, 캡핑 층은 광학 요소의 표면을 보호하기 위해 광학 요소 상에 위치될 수 있다. 캡핑 층을 보다 반사적으로 만들기 위하여, 반사될 방사선의 파장에서 반사율을 증가시키기 위해 이격된 다중 층을 가질 수도 있다. 그러나 이러한 캡핑 층 자체는 환원, 수소 확산 및 블리스터링(blistering)과 같은 메커니즘을 통해 손상되기 쉽다.
일부 시스템에서, 약 0.5 내지 약 3 밀리바(mbar) 범위의 압력에서 H2 가스는 잔해 경감을 위하여 진공 챔버 내에서 사용된다. 수소는 약 13.5㎚의 파장을 갖는 EUV 방사선에 상대적으로 투명하다. H2 가스는 진공 챔버 내로 도입되어 가스 분자와의 충돌에 의한, 플라즈마에 의하여 생성된 타겟 물질의 에너지 잔해(이온, 원자 및 클러스터)의 속도를 늦춘다. 이 목적을 위하여, 잔해 궤적과 또한 반대일 수 있는 H2 가스의 흐름이 사용된다. 이는 컬렉터의 광학 코팅부 상에서의 증착, 주입 및 스퍼터링 타겟 물질의 손상을 줄이는 역할을 한다.
H2 가스를 진공 챔버 내로 도입하는 또 다른 이유는 컬렉터 표면의 세정을 용이하게 하기 위한 것이다. 플라즈마에 의해 생성된 EUV 방사선은 H2 분자를 해리함으로써 수소 라디칼(radical)(본 명세서에서 기호 H*로 표시됨)을 생성한다. 수소 라디칼은 결과적으로 컬렉터 표면으로부터 타겟 물질 침전물을 세정하는데 도움이 된다. 예를 들어, 주석을 타겟 물질로 사용하는 경우, 수소 라디칼은 컬렉터 표면에서 반응에 참여하여 펌핑될 수 있는 휘발성의 가스 상태의 스탄난(stannane)(SnH4)의 형성으로 이어진다. 이 화학적 경로가 효율적이기 위해서는 수소 라디칼이 세정 반응에 참여할 수 있도록 컬렉터 표면에 낮은 속도의 H 재결합(H2 분자로 복귀됨)이 있는 것이 바람직하다.
EUV 소스에서의 컬렉터 미러 열화의 또 다른 원인은 캡핑 층 불안정성이다. 예를 들어, 캡핑 층이 산화성, 즉 산화물 물질로 이루어지는 경우, 캡핑 층이 그러면 촉매적으로 활성적이 되어 원자 수소의 재결합(그에 의하여 세정 속도 감소)과 SnHx의 재증착 모두를 촉진하여 EUV 차단 Sn 층을 형성하기 때문에, 산화물 물질의 금속이 풍부한 아산화물(sub-oxide) 물질 또는 심지어 금속으로의 환원은 심각한 주석 오염을 야기할 수 있다. 또 다른 예로서, 캡핑 층이 질화물 물질로 이루어지는 경우, 캡핑 층이 그러면 촉매적으로 활성적이 되기 때문에, 질화물 물질의 금속이 풍부한 아질화물(sub-nitride) 물질 또는 심지어 금속으로의 환원 또한 심각한 주석 오염을 야기할 수 있다.
다음 부분은 실시예의 기본적인 이해를 제공하기 위하여 하나 이상의 실시예의 단순화된 요약을 제공한다. 이 요약은 모든 고려되는 실시예의 광범위한 개요가 아니며, 모든 실시예의 핵심적인 또는 중요한 요소를 식별하거나 임의의 실시예 또는 모든 실시예의 범위에 대한 제한을 설정하도록 의도된 것이 아니다. 이것의 유일한 목적은 이후에 제시되는 더욱 상세한 설명에 대한 전조(prelude)로서 하나 이상의 실시예의 일부 개념을 단순화된 형태로 제시하는 것이다.
실시예의 한 양태에 따르면, 절연체로 이루어진 캡핑 층을 갖는 반사 요소가 제공된다. 캡핑 층은, 예를 들어 타겟 물질 증착에 대한 높은 저항성, 입사 이온에 대한 우수한 에너지 감소 및 낮은 2차 전자 수율을 갖는 질화물 또는 산화물일 수 있다. 캡핑 층의 세정 및 안정성을 촉진하기 위해, 완화 가스, 예를 들어 산소 또는 질소를 함유하는 가스의 흐름을 캡핑 층의 적어도 일부분에 걸쳐 도입하는 가스 제어 시스템이 제공된다. 완화 가스는 또한 소스의 다른 부분 내로 도입되어 소스 물질 영향을 제어할 수 있거나 EUV 방사선이 반사율을 저하시키는 경향이 있는 시스템의 다른 진공 환경에 도입될 수 있다.
실시예의 또 다른 양태에 따르면, 진공 챔버, 진공 챔버 내에 배열되며 캡핑 층을 포함하는 반사 광학 요소, 진공 챔버에 가스를 추가하기 위한 가스 분배 시스템, 가스 분배 시스템과 선택 가능한 유체 연통 상태에 있는 완화 가스의 소스, 및 가스 분배 시스템을 제어하기 위한 것으로서 가스의 소스로부터의 완화 가스가 가스 분배 시스템에 의하여 규제된 방식으로 진공 챔버의 내부로 도입되는 상태를 갖는 가스 제어 시스템을 포함하는 장치가 개시된다. 완화 가스는 산소 함유 가스 또는 질소 함유 가스일 수 있다. 산소 함유 가스는 O2, H2O, H2O2, O3, CO2 및 공기 중 임의의 하나 또는 이의 조합을 포함할 수 있다. 캡핑 층은 절연체를 포함할 수 있으며, 절연체는 산화물을 포함할 수 있고, 산화물은 금속 산화물을 포함할 수 있다. 절연체는 질화물을 포함할 수 있다. 절연체는 탄화물을 포함할 수 있다.
완화 가스는 캡핑 층의 적어도 일부분의 위로 흐르도록 만들어질 수 있다. 가스 분배 시스템은 소정량의 완화 가스를 수소 함유 가스의 흐름에 추가함으로써 가스의 소스로부터 진공 챔버 내로 완화 가스를 도입할 수 있다. 가스 분배 시스템은 완화 가스를 수소 함유 가스의 흐름 내로 블리딩(bleeding)함으로써 완화 가스를 수소 함유 가스에 추가하도록 구성될 수 있다. 완화 가스는 불활성 가스와 혼합되어 적어도 0.1% 완화 가스를 함유하는 혼합물을 얻을 수 있다. 완화 가스는 O2일 수 있으며 불활성 가스와 혼합될 수 있다. 완화 가스는 N2일 수 있으며 불활성 가스와 혼합될 수 있다. 불활성 가스는 아르곤일 수 있다. 완화 가스는 H2O일 수 있으며 불활성 가스와 혼합될 수 있다. 완화 가스는 CO2일 수 있으며 불활성 가스와 혼합될 수 있다. 완화 가스는 O3일 수 있으며 불활성 가스와 혼합될 수 있다. 완화 가스는 공기일 수 있으며 불활성 가스와 혼합될 수 있다. 완화 가스는 수증기일 수 있으며 불활성 가스와 혼합될 수 있다. 완화 가스는 약 10-5 mbar의 불활성 가스 및 10-7 mbar의 완화 가스와 함께 불활성 가스와 혼합될 수 있다.
가스 분배 시스템은 캡핑 층의 적어도 일부분을 가로질러 완화 가스를 함유하는 가스 흐름을 생성하도록 배열된 적어도 하나의 배출구를 가질 수 있다. 가스 제어 시스템은 완화 가스를 지속적으로 추가할 수 있다. 가스 제어 시스템은 플라즈마가 생성되고 있는 동안 인라인(in-line)으로 완화 가스를 추가할 수 있다. 가스 제어 시스템은 완화 가스의 투여량들을 간헐적으로 추가할 수 있다.
본 장치는 광학 요소의 반사율을 감지하고 반사율을 나타내는 신호를 생성하도록 배열된 센서를 더 포함할 수 있으며, 가스 제어 시스템은 신호를 수신할 수 있고 반사율에 적어도 부분적으로 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어할 수 있다. 센서는 캡핑 층에 인접한 완화 가스 농도를 감지하고 완화 가스 농도를 나타내는 신호를 생성하도록 배열될 수 있으며, 가스 제어 시스템은 신호를 수신할 수 있고 캡핑 층에 인접한 완화 가스 농도에 적어도 부분적으로 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어할 수 있다. 가스 제어 시스템은 광학 요소를 포함하는 EUV 소스의 작동 기간에 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어할 수 있다. 가스 제어 시스템은 광학 요소를 포함하는 EUV 소스에 의하여 생성된 EUV 방사선의 펄스들의 수에 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어할 수 있다.
본 장치는 진공 챔버 내에서 가스 흐름을 지향시키기 위해 진공 챔버 내에 위치된 복수의 구조체를 더 포함할 수 있으며, 구조체 위로 완화 가스를 흐르게 하도록 배열된 가스 분배 시스템을 더 포함한다.
실시예의 또 다른 양태에 따르면, 진공 챔버, 진공 챔버 내에 배열되며 캡핑 층을 포함하는 반사 광학 요소, 질소 가스를 진공 챔버에 추가하기 위한 일차 가스 분배 시스템, 완화 가스를 진공 챔버에 추가하기 위한 이차 가스 분배 시스템, 및 일차 가스 분배 시스템과 이차 가스 분배 시스템을 제어하기 위한 것으로서 완화 가스가 이차 가스 분배 시스템에 의하여 규제된 방식으로 진공 챔버의 내부로 도입되는 상태를 갖는 가스 제어 시스템을 포함하는 장치가 개시된다.
실시예의 또 다른 양태에 따르면, 진공 챔버 및 진공 챔버 내에 위치된 반사 광학 요소를 포함하는 EUV 광 소스의 작동 매개변수를 측정하는 단계, 및 측정된 바와 같은 작동 매개변수에 적어도 부분적으로 기초하여 완화 가스의 진공 챔버로의 추가를 제어하는 단계를 포함하는 방법이 개시된다. 완화 가스의 추가를 제어하는 단계는 완화 가스의 농도를 제어하는 것을 포함할 수 있다. 완화 가스의 추가를 제어하는 단계는 완화 가스의 유속을 제어하는 것을 포함할 수 있다. 완화 가스의 추가를 제어하는 단계는 완화 가스의 조성을 제어하는 것을 포함할 수 있다. 작동 매개 변수는 광학 요소의 반사율, 광학 요소에 인접한 완화 가스의 농도, EUV 광 소스의 작동 기간, 또는 사전 규정된 시작 시간 이후 EUV 광 소스에 의해 생성된 다수의 EUV 펄스일 수 있다.
실시예의 또 다른 양태에 따르면, 진공 챔버, 진공 챔버 내에 배열된 반사 광학 요소, 진공 챔버 내로의 가스의 흐름을 선택적으로 허용하는 유입구를 갖는 가스 분배 시스템, 유입구와 선택 가능한 유체 연통 상태에 있는 완화 가스의 소스, 유입구와 선택 가능한 유체 연통 상태에 있는 라디칼을 함유하는 가스의 소스, 및 가스 분배 시스템을 제어하도록 구성된 가스 제어 시스템을 포함하는 장치가 또한 개시되며, 여기서 가스 제어 시스템은 가스 제어 시스템이 완화 가스를 유입구를 통해 진공 챔버 내로 유입되게 하는 제1 상태와 가스 제어 시스템이 라디칼을 함유하는 가스를 유입구를 통해 진공 챔버 내로 도입되게 하는 제2 상태를 갖는다. 라디칼을 함유하는 가스의 소스는, 유입구와 열 연통 상태에 있으며 유입구를 통해 흐르는 가스를 가스의 흐름 내의 가스의 적어도 일부로부터 라디칼을 생성하기에 충분한 온도로 가열하도록 배열된 적어도 하나의 가열 요소를 포함할 수 있다. 라디칼을 함유하는 가스의 소스는 가스가 유입구에 도달하기 전에 가스 내에 라디칼을 생성하도록 배열된 플라즈마 소스를 포함할 수 있다.
실시예의 또 다른 양태에 따르면, 극자외선 소스 내의 진공 챔버 내의 반사 광학 요소의 반사 표면의 타겟 물질 오염을 감소시키는 방법이 또한 제공되며, 본 방법은 (a) 진공 챔버 내로의 완화 가스의 흐름을 시작하는 단계; (b) 진공 챔버 내로의 완화 가스의 흐름을 중단하는 단계; (c) 진공 챔버 내로의 라디칼을 함유하는 가스의 흐름을 시작하는 단계; 및 (d) 진공 챔버 내로의 라디칼을 함유하는 가스의 흐름을 중단시키는 단계를 포함하며; 여기서 단계 (a) 내지 단계 (d)는 순서대로 복수 회 반복된다.
실시예의 또 다른 양태에 따르면, 진공 챔버, 진공 챔버 내에 배열된 반사 광학 요소, 적어도 제1 가스와 산소를 포함하는 제2 가스를 진공 챔버에 공급하도록 동작하는 가스 공급 시스템, 및 진공 챔버 내에서 감지된, 제1 가스와 제2 가스 중 적어도 하나의 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하기 위해 가스 공급 시스템을 제어하도록 동작하는 제어 시스템을 포함하는 장치가 개시된다. 반사 광학 요소는 산화물을 포함할 수 있는 캡핑 층을 포함할 수 있다. 산화물은 금속 산화물일 수 있다. 제어 시스템은 진공 챔버 내에서 감지된, 제2 가스의 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하기 위해 가스 공급 시스템을 제어하도록 동작할 수 있다. 제어 시스템은 진공 챔버 내에서 감지된, 제1 가스의 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하기 위해 가스 공급 시스템을 제어하도록 동작할 수 있다. 제2 가스는 O2를 포함할 수 있다. 제2 가스는 H2O를 포함할 수 있다. 제2 가스는 H2O2를 포함할 수 있다. 제2 가스는 O3를 포함할 수 있다. 제2 가스는 CO2를 포함할 수 있다. 제2 가스는 공기를 포함할 수 있다. 제2 가스는 불활성 가스를 포함할 수 있다. 불활성 가스는 아르곤을 포함할 수 있다. 불활성 가스는 헬륨을 포함할 수 있다. 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함할 수 있다. 본 장치는 진공 챔버 내의 제2 가스의 부분 압력을 감지하도록 그리고 제어 시스템으로의 부분 압력을 나타내는 제1 신호를 생성하도록 배열된 가스 압력 센서를 더 포함할 수 있으며, 제어 시스템은 제1 신호에 적어도 부분적으로 기초하여 제2 가스의 공급을 제어한다. 가스 압력 센서는 제2 가스의 부분 압력을 직접적으로 감지할 수 있다. 가스 압력 센서는 제2 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 산소 함유 가스의 부분 압력을 간접적으로 감지할 수 있다.
실시예의 또 다른 양태에 따르면, 진공 챔버, 진공 챔버 내에 배열된 반사 광학 요소, 진공 챔버에 가스를 추가하기 위한 것으로서 선택 가능한 유체 연통 상태에 있는 산소 함유 가스의 소스와 접속(interfacing)하기 위한 인터페이스를 갖는 가스 분배 시스템, 및 산소 함유 가스의 부분 압력이 제1 값에 도달할 때까지 산소 함유 가스를 진공 챔버에 공급하기 위해 가스 분배 시스템을 제어하도록 구성되어, 산소 함유 가스의 부분 압력이 제1 값보다 작은 제2 값에 도달할 때까지 진공 챔버로의 산소 함유 가스의 공급을 중단시키고, 그리고 산소 함유 가스의 부분 압력이 제2 값보다 큰 제3 값에 도달할 때까지 진공 챔버로의 산소 함유 가스의 공급을 다시 시작하는 가스 제어 시스템을 포함하는 장치가 개시된다. 반사 광학 요소는 캡핑 층 및 복수의 하부 층을 포함하는 다층 미러를 포함할 수 있으며, 캡핑 층은 손상으로부터 하부 층을 보호하도록 구성되고 배열되며, 캡핑 층은 산화물을 포함한다. 산화물은 금속 산화물일 수 있다. 제3 값은 제1 값과 실질적으로 동일할 수 있다. 산소 함유 가스는 O2를 포함할 수 있다. 산소 함유 가스는 H2O를 포함할 수 있다. 산소 함유 가스는 H2O2를 포함할 수 있다. 산소 함유 가스는 O3를 포함할 수 있다. 산소 함유 가스는 CO2를 포함할 수 있다. 산소 함유 가스는 공기를 포함할 수 있다. 제2 가스는 불활성 가스를 포함할 수 있다. 불활성 가스는 아르곤을 포함할 수 있다. 불활성 가스는 헬륨을 포함할 수 있다. 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함할 수 있다. 본 장치는 또한 진공 챔버 내의 산소 함유 가스의 부분 압력을 감지하도록 그리고 가스 제어 시스템으로의 부분 압력을 나타내는 제1 신호를 생성하도록 배열된 가스 압력 센서를 더 포함할 수 있으며, 가스 제어 시스템은 제1 신호에 적어도 부분적으로 기초하여 산소 함유 가스의 공급을 제어한다. 가스 압력 센서는 산소 함유 가스의 부분 압력을 직접적으로 감지할 수 있다. 가스 압력 센서는 산소 함유 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 산소 함유 가스의 부분 압력을 간접적으로 감지하는 장치. 가스 압력 센서는 반사 광학 요소에 근접하여 산소 함유 가스의 부분 압력을 감지하도록 배열될 수 있다.
실시예의 또 다른 양태에 따르면, EUV 소스의 진공 챔버 내의 반사 표면의 작동 수명을 연장시키는 방법이 개시되며, 본 방법은 적어도 제1 가스와 산소를 포함하는 제2 가스를 진공 챔버에 공급하도록 동작하는 가스 공급 시스템을 제공하는 단계; 제1 가스와 제2 가스 중 적어도 하나의 진공 챔버 내에서의 부분 압력을 감지하는 단계; 및 감지된 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하도록 가스 공급 시스템을 제어하는 단계를 포함한다. 감지 단계는 제2 가스의 부분 압력을 감지하는 것을 포함할 수 있다. 감지 단계는 제1 가스의 부분 압력을 감지하는 것을 포함할 수 있다. 제2 가스는 O2를 포함할 수 있다. 제2 가스는 H2O를 포함할 수 있다. 제2 가스는 H2O2를 포함할 수 있다. 제2 가스는 O3를 포함할 수 있다. 제2 가스는 CO2를 포함할 수 있다. 제2 가스는 공기를 포함할 수 있다. 제2 가스는 불활성 가스를 포함할 수 있다. 불활성 가스는 아르곤을 포함할 수 있다. 불활성 가스는 헬륨을 포함할 수 있다. 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함할 수 있다.
실시예의 또 다른 양태에 따르면, EUV 소스의 진공 챔버 내의 반사 표면의 작동 수명을 연장시키는 방법이 개시되며, 본 방법은 (a) 산소 함유 가스를 진공 챔버에 공급하는 단계: (b) 산소 함유 가스의 부분 압력이 제1 값에 도달할 때 진공 챔버로 산소 함유 가스를 공급하는 것을 중단하는 단계: (c) 산소 함유 가스의 부분 압력이 제1 값보다 작은 제2 값에 도달할 때 더 많은 산소 함유 가스를 진공 챔버에 공급하는 단계: (d) 산소 함유 가스의 부분 압력이 제2 값보다 큰 제3 값에 도달할 때 진공 챔버로 산소 함유 가스를 공급하는 것을 중단하는 단계: 및 (e) 단계 (c) 및 단계 (d)를 반복하여 산소 함유 가스의 부분 압력을 제1 값과 제2 값 사이에서 유지하는 단계를 포함한다. 제3 값은 제1 값과 실질적으로 동일할 수 있다. 산소 함유 가스는 O2를 포함할 수 있다. 산소 함유 가스는 H2O를 포함할 수 있다. 산소 함유 가스는 H2O2를 포함할 수 있다. 산소 함유 가스는 O3를 포함할 수 있다. 산소 함유 가스는 CO2를 포함할 수 있다. 산소 함유 가스는 공기를 포함할 수 있다. 제2 가스는 불활성 가스를 포함할 수 있다. 불활성 가스는 아르곤을 포함할 수 있다. 불활성 가스는 헬륨을 포함할 수 있다. 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함할 수 있다. 단계 (b), 단계 (c) 및 단계 (d) 각각은 진공 챔버 내의 산소 함유 가스의 부분 압력을 감지하는 것을 포함할 수 있다. 단계 (b), 단계 (c) 및 단계 (d)는 진공 챔버 내의 산소 함유 가스의 부분 압력을 직접적으로 감지하는 것을 포함할 수 있다. 단계 (b), 단계 (c) 및 단계 (d) 각각은 산소 함유 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 진공 챔버 내의 산소 함유 가스의 부분 압력을 간접적으로 감지하는 것을 포함할 수 있다. 단계 (b), (c) 및 (d) 각각은 반사 표면에 근접하여 진공 챔버 내에서의 산소 함유 가스의 부분 압력을 감지하는 것을 포함할 수 있다.
본 발명의 추가 실시예, 특징 및 장점뿐만 아니라 다양한 실시예의 구조 및 작동이 첨부된 도면을 참조하여 이하에서 상세히 설명된다.
본 명세서에 통합되고 명세서의 일부를 형성하는 첨부 도면은 제한이 아닌 예로서 본 발명의 실시예의 방법 및 시스템을 도시하고 있다. 상세한 설명과 함께, 도면은 본 명세서에 제시된 방법 및 시스템의 원리를 설명하고 관련 기술(들)의 숙련된 자들이 이를 만들고 사용할 수 있게 하는 역할을 추가로 한다. 도면에서, 유사한 참조 번호는 동일한 또는 기능적으로 유사한 요소를 나타낸다.
도 1은 EUV 리소그래피 시스템에 대한 전반적인 넓은 개념의 축척에 맞지 않는 개략도를 보여주고 있다.
도 2는 본 발명의 실시예의 양태에 따른 레이저 생성 플라즈마 EUV 방사선 소스 시스템에 대한 전체적인 넓은 개념의 축척에 맞지 않는 개략도를 보여주고 있다.
도 3은 본 발명의 실시예의 양태에 따른 EUV 광학 요소의 횡단면도이다.
도 4는 본 발명의 실시예의 양태에 따른 EUV 광학 요소에 걸친 가스 흐름의 단면도이다.
도 5는 본 발명의 실시예의 양태에 따른 EUV 리소그래피 시스템에서 하나 이상의 진공 챔버에 가스를 추가하기 위한 시스템의 기능 블록도이다.
도 6은 본 발명의 실시예의 양태에 따른 흐름 콘(flow cone)의 사시도이다.
도 7은 본 발명의 실시예의 양태에 따른 흐름 콘 가열 요소의 평면도이다.
도 8a 내지 도 8c 각각은 본 발명의 실시예의 양태에 따른 흐름 콘을 갖는 컬렉터의 평면도, 측면도 및 사시도이다.
도 9는 본 발명의 실시예의 양태에 따른 가스 분배 및 제어 시스템과 함께 흐름 콘의 부분 사시적인 부분 개략도이다.
도 10은 본 발명의 실시예의 양태에 따른 가스 분배 및 제어 시스템의 특정 작동 원리를 도시하는 타이밍도이다.
도 11은 본 발명의 실시예의 양태에 따른 가스 분배 및 제어 시스템을 사용하기 위한 특정 절차를 도시하는 흐름도이다.
도 12는 본 발명의 실시예의 양태에 따른 EUV 리소그래피 시스템에서 하나 이상의 진공 챔버에 산소 함유 가스를 추가하기 위한 시스템의 부분적으로 개략적인 기능 블록도이다.
도 13은 본 발명의 실시예의 양태에 따른 가스 분배 및 제어 시스템의 특정 작동 원리를 도시하는 타이밍도이다.
도 14는 본 발명의 실시예의 양태에 따른 가스 분배 및 제어 시스템을 사용하기 위한 특정 절차를 도시하는 흐름도이다.
도 15는 본 발명의 실시예의 양태에 따른 가스 분배 및 제어 시스템의 특정 작동 원리를 도시하는 타이밍도이다.
도 16은 본 발명의 실시예의 양태에 따른 가스 분배 및 제어 시스템을 사용하기 위한 특정 절차를 도시하는 흐름도이다.
본 발명의 다양한 실시예의 구조 및 작동뿐만 아니라 본 발명의 추가 특징 및 이점이 첨부된 도면을 참조하여 아래에서 상세히 설명된다. 본 발명은 본 명세서에서 설명된 특정 실시예에 제한되지 않는다는 점이 주목된다. 이러한 실시예는 단지 예시 목적으로 본 명세서에 제시된다. 부가적인 실시예는 본 명세서에 포함된 교시에 기초하여 관련 기술 분야의 숙련된 자에게 명백할 것이다.
이제 도면을 참조하여 다양한 실시예가 설명되며, 여기서 동일한 참조 번호는 전체에 걸쳐 동일한 요소를 지칭하기 위해 사용된다. 다음의 설명에서, 설명의 목적을 위하여, 하나 이상의 실시예의 완전한 이해를 촉진하기 위해 다수의 특정 세부 사항이 제시된다. 그러나 아래에 설명된 특정 설계 세부 사항을 채택하지 않고도 아래에 설명된 임의의 실시예가 실행될 수 있다는 점이 일부 또는 모든 경우에서 명백할 수 있다. 다른 예에서, 잘 알려진 구조 및 디바이스는 하나 이상의 실시예의 설명을 용이하게 하기 위하여 블록도 형태로 보여진다.
도 1은 본 발명의 실시예에 따른 리소그래피 장치를 개략적으로 도시하고 있다. 본 장치는 방사선의 방사선 빔(B)을 조절하도록 구성된 조명 시스템(IL)을 포함하고 있다. 본 장치는 또한 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되어 있으며 특정 매개변수에 따라 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결되어 있는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트 코팅된 기판)(W)을 유지하도록 구성되어 있으며 특정 매개변수에 따라 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결되어 있는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여되는 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 투영하도록 구성된, 또한 투영 광학계 박스 또는 POB로 지칭되는 투영 시스템(예를 들어, 굴절형 또는 반사형 투영 렌즈 시스템)(PS)을 포함하고 있다.
조명 시스템(IL)은 방사선을 지향시키고 성형하고 또는 제어하기 위하여, 굴절형, 반사형, 전자기, 정전기 또는 다른 유형의 광학 구성 요소 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 요소를 포함할 수 있다.
지지 구조체(MT)는 패터닝 디바이스의 배향, 리소그래피 장치의 설계 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 좌우되는 방식으로 패터닝 디바이스를 유지시킨다. 지지 구조체(MT)는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기 또는 기타 클램핑 기술을 사용할 수 있다. 지지 구조체(MT)는, 예를 들어 필요에 따라 고정될 수 있거나 이동 가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체(MT)는 패터닝 장치가, 예를 들어 투영 시스템에 대해 원하는 위치에 있는 것을 보장할 수 있다.
도 1을 참고하면, 조명 시스템(IL)은 방사선 소스(SO)로부터 방사선 빔을 받아들인다. 소스(SO) 및 조명 시스템(IL)은 필요하다면 빔 전달 시스템과 함께 방사선 시스템으로 지칭될 수 있다. 조명 시스템(IL)은 방사선 빔의 각도 세기 분포를 조정하기 위한 조정기를 포함할 수 있다. 일반적으로, 조명 시스템의 퓨필 평면에서 세기 분포의 적어도 외부 및/또는 내부 반경 방향 범위가 조정될 수 있다. 또한, 조명 시스템(IL)은 적분기와 컨덴서와 같은 다양한 다른 구성 요소를 포함할 수 있다. 조명 시스템은 방사선 빔을 그의 횡단면에서 원하는 균일성 및 세기 분포를 갖도록 조정하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 가로지른 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 빔을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 포지셔너(PW) 및 위치 센서(IF2)(예를 들어, 간섭계 디바이스, 선형 인코더, 또는 정전용량 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 상이한 타겟 부분(C)들을 방사선 빔(B)의 경로 내에 위치시키기 위하여 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 또 다른 위치 센서(IF1)는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔 중에 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시키기 위해 사용될 수 있다.
도 2는 본 발명의 실시예의 한 양태에 따른 예시적인 EUV 방사선 소스(SO), 예를 들어 레이저 생성 플라즈마 EUV 방사선 소스의 개략도이다. 보여지는 바와 같이, EUV 방사선 소스(SO)는 펄스형 또는 연속 레이저 소스(22)를 포함할 수 있으며, 이는 예를 들어 10.6㎛에서 방사선을 생성하는 펄스형 가스 방전 CO2 레이저 소스일 수 있다. 펄스형 가스 방전 CO2 레이저 소스는 높은 전력 및 높은 펄스 반복률에서 작동하는 DC 또는 RF 여기를 가질 수 있다.
EUV 방사선 소스(20)는 또한 액체 액적 또는 연속적인 액체 흐름의 형태로 타겟 물질을 전달하기 위한 타겟 전달 시스템(24)을 포함하고 있다. 타겟 물질은 주석 또는 주석 화합물로 구성될 수 있지만, 다른 물질이 사용될 수 있다. 타겟 물질 전달 시스템(24)은 타겟 물질이 플라즈마를 생성하기 위해 조사될 수 있는 조사 영역(28)에 챔버(26)의 내부로 타겟 물질을 유입시킨다. 일부 경우에, 타겟 물질이 조사 영역(28)을 향하여 또는 멀어지게 나아가는 것을 가능하게 하기 위해 전하가 타겟 물질 상에 위치된다. 본 명세서에서 사용된 바와 같이 조사 영역은 타겟 물질 조사가 발생할 수 있는 영역이며 또한 실제로 조사가 발생하지 않는 경우에도 조사 영역이라는 점이 주목되어야 한다. 보여지는 바와 같이, 타겟 물질 전달 시스템(24)은 타겟 물질 전달 제어 시스템(90) 및 타겟 물질 디스펜서(92)를 포함할 수 있다.
계속해서 도 2를 참고하면, 방사선 소스(SO)는 또한 하나 이상의 광학 요소를 포함할 수 있다. 다음 논의에서, 컬렉터(30)는 이러한 광학 요소의 예로서 사용되지만, 논의는 다른 광학 요소에도 적용된다. 컬렉터(30)는, 예를 들어 MLM으로 즉, 열 유도 층간 확산을 효율적으로 차단하기 위하여 각 계면에 증착된 부가적인 박형 베리어 층, 예를 들어 B4C, ZrC, Si3N4 또는 C를 갖는 몰리브덴/실리콘(Mo/Si) 다층으로 코팅된 실리콘 카바이드(SiC) 기판으로 구현된 수직 입사 반사체(reflector)일 수 있다. 알루미늄(Al) 또는 실리콘(Si)과 같은 다른 기판 물질 또한 사용될 수 있다. 컬렉터(30)는 레이저 방사선이 통과하여 조사 영역(28)에 도달하는 것을 허용하는 구멍을 갖는 장축 타원체의 형태일 수 있다. 컬렉터(30)는, 예를 들어 조사 영역(28)에서의 제1 주 초점 및 소위 중간점(40)(중간 초점(40)으로도 불림)에서의 제2 초점을 갖는 타원체 형상일 수 있으며, 여기에서 도 1에서 보여지는 바와 같이 EUV 방사선은 EUV 방사선 소스(SO)로부터 출력되고, 조명 시스템(IL)으로 입력될 수 있다. 챔버(26)에는 또한 챔버(26) 내의 가스 흐름을 제어하기 위하여 베인(94)과 같은 부가적인 구조체가 제공될 수 있다.
다시 도 1을 참조하면,(투영 광학계 박스 또는 "POB"로 지칭될 수 있는) 투영 시스템(PS)은 방사선을 패터닝 디바이스(MA)로부터 웨이퍼(W) 상으로 지향시키는 다수의 반사 EUV 광학 요소를 포함하는 진공 챔버를 포함하고 있다. 투영 시스템(PS)은, 예를 들어 패터닝된 방사선을 웨이퍼(W) 상으로 집속하기 위한 집속 미러(보이지 않음)를 포함할 수 있다. 이 반사 EUV 광학 요소는 소위 그레이징(grazing) 입사 미러를 포함할 수 있다.
위에서 설명한 바와 같이, EUV 시스템에 사용되는 광학 요소 설계의 기술적 과제 중 하나는 그의 수명을 연장하는 것이다. 광학 요소의 수명을 연장하는 한 방법은 최외측 캡핑 층을 사용함으로써 손상으로부터 광학 요소를 보호하는 것을 포함한다. 이러한 캡핑 층을 갖는 EUV 광학 요소의 예가 도 3에서 보여지고 있으며, 도 3은 이러한 컬렉터(30)의 일부분을 횡단면도이다. 여기서 볼 수 있는 바와 같이, 컬렉터(30)는 기판(100)을 포함하고 있다. 다층 코팅부(110)는 기판(100) 상에 위치되어 있다. 다층 코팅부(110)는 공지된 방식으로 물질, 예를 들어 몰리브덴과 실리콘의 교번 층으로 구성된다. 다층 코팅부(110) 상에는 최외측 층 및 일련의 반복 이중 층(bilayer)으로 구성될 수 있는 캡핑 층(120)이 위치되어 있다. 본 명세서에서 사용되는 바와 같이, 캡핑 층은 관심 파장, 예를 들어 13.5㎚에서 컬렉터(30)의 전체 반사율을 과도하게 감소시키지 않으면서 컬렉터(30)를 보호하는 목적을 위하여 추가된 층이다. 타겟 물질(예를 들어, 주석) 증착, 수소 이온 침투, 수소 확산 및 수소 또는 산소 유도 블리스터링(blistering)으로부터 컬렉터(30) 표면을 보호하는 캡핑 층 시스템을 제공하는 것이 유리하다. 블리스터링에 저항할 캡핑 층(120)을 위한 물질을 선택하는 것 또한 유리하다.
도 3을 다시 참조하면, 캡핑 층(120)(또는 캡핑 층(120)이 다층 캡인 경우 캡핑 층(120)의 최상부 층)은 타겟 물질 증착에 대한 높은 저항성을 갖는 세라믹 또는 질화물 또는 산화물과 같은 절연 물질일 수 있다. 즉, 이들은 높은 스탄난 형성 속도를 가능하게 하기 위해 원자 수소에 대한 낮은 재결합률을 갖는 물질일 수 있다. 이들은 전형적으로 약 10-4 내지 약 10-3 범위의 수소 재결합 계수를 갖는 물질일 것이다. 효과적으로 이는 H가 H2로 재결합되기 전에 Sn과 반응할 수 있기 때문에 바람직한 물질이 양호한 주석 세정 속도를 나타낸다는 것을 의미한다. 캡핑 층(120)의 최상부 층(130)을 위한 바람직한 물질은 또한 바람직하게는 입사 이온에 대해 양호한 에너지 감소 및 낮은 2차 전자 수율을 나타낸다. 적합한 물질의 예는 ZrN, TiO2, Ta2O5 및 ZrO2와 같은 절연체를 포함한다. 일반적으로, 아래의 다층 코팅부에 영향을 주지 않고 정해진 두께로 산화될 수 있는 금속 및 세라믹 화합물도 사용할 수 있다. 절연체는 수소 라디칼 재결합과 SnH3 및 SnH4 분해를 최소화하도록 선택될 수 있다.
일반적으로 EUV 소스 진공 품질은, 컬렉터 열화를 야기할 수 있기 때문에 임의의 산화 위험이나 주석 또는 플라즈마와의 원치 않는 화학 반응을 방지하기 위해 가능한 한 깨끗하도록 (가능한 가장 낮은 수준의 O2, N2, H2O 등) 의도된다. 또한, 예를 들어, 산소는 바람직하지 않게는 액적 발생기의 노즐과 같은 진공 챔버 내의 다른 구성 요소의 산화를 야기할 수 있다. 그러나 불순물로 간주되는 낮은 수준의 가스의 존재는 시스템 내의 광학 요소의 반사율을 보존하거나 향상시키는 데 긍정적인 영향을 미칠 수 있다는 것이 밝혀졌다. 소스 내의 컬렉터의 경우, 한 메커니즘은 캡핑 층으로부터의 산소의 고갈이며, 이는 캡핑 층 상에서의 증가된 주석 축적을 야기한다.
따라서, 제어 가능한 양의 이러한 가스 또는 이러한 가스들의 혼합물을, 예를 들어 소스(SO) 진공 챔버 또는 투영 시스템(PS) 내로 의도적으로 공급하는 것이 유리하다. 의도적으로 진공 챔버로 도입되는 경우 이러한 비수소 기체 또는 비수소 기체를 포함하는 가스들의 혼합물은 본 명세서에서 완화 가스로 지칭될 수 있으며, 이는 이들의 의도적 도입이 화학적 및/또는 물리적 반응 및 그렇지 않으면 반사율을 저하시키는 공정을 통해 경감시키는 역할을 하기 때문이다. 이 완화 가스는, 예를 들어 공기 혼합물(여분의 깨끗한 건조 공기 또는 XCDA) 상태, 또는 비활성 또는 불활성 가스(예를 들어, Ar)와 혼합된 상태로 공급될 수 있다.
완화 가스를 진공 챔버에 추가하는 한 가지 방법은 완화 가스를 챔버 내로의 수소 가스 흐름으로 블리딩(bleed)하는 것이다. 예를 들어, 산소/물 함유 가스는 이를 컬렉터에 가까이 지나가는 수소 흐름에 추가함으로써 진공 챔버에 추가되어 물-가스 반응에서 상당한 양의 H*을 소비하지 않고서도 캡핑 층을 안정화시키는 수준으로 완화 가스의 국소 농도를 증가시킬 수 있다. 따라서 완화 가스는, 예를 들어 원치 않는 반응 및 영향을 억제하고 원하는 반응 및 효과를 촉진하기 위해 상대적인 비율의 O2, H2O, H2O2, O3 및 N2 중 임의의 하나 또는 이들의 혼합물일 수 있다. 물은 EUV 하에서 H2와 O2로 광-해리된다는 점에 유의해야 한다. 예를 들어, 아르곤과 산소의 혼합물을 추가될 수 있다. 아르곤과 O2의 혼합물은 약 10-5 mbar의 아르곤 및 10-7의 O2, 예를 들어 2E-5 mbar의 아르곤 및 4E-7 mbar의 O2일 수 있다. 사용될 혼합물은 반사율 측정을 기반으로 결정될 수 있다. 완화 가스 농도의 상한은 캡핑 층 하부의 심도 산화(deep oxidation)에 의해 부분적으로 결정된다. 정확한 제한은 EUV 광에 의해 구동되는 플라즈마 부하에 좌우된다.
산소 함유 가스를 도입하는 것과 관련된 공학적 위험이 있으며, 산소 함유 가스는 O2 가스 또는 H2O과 같은 산소 화합물을 함유하는 가스를 지칭하기 위해 사용된다. 본 명세서에서 사용된 바와 같이, 문구 "수소를 포함하는 가스"는 또한 O2 또는 H2O와 같은 산소 화합물을 함유하는 가스를 의미한다. 예를 들어, 산소 함유 가스의 존재는 액적 발생기의 노즐의 산화를 유발할 수 있다. 이러한 위험은 액적 발생기 시동 동안 산소 함유 가스의 추가를 끔으로써 그리고 액적 발생기를 재가동하는 성공률을 모니터링함으로써 경감될 수 있다.
위의 논의는 주로 소스 진공 챔버 내에 위치된 반사 광학계에 관해서 이루어진다. 진공 챔버 내에서 완화 가스를 가스 혼합물에 추가하는 것의 유익한 효과는 또한 POB 내의 반사 광학 요소와 같은, 시스템의 다른 부분에 위치된 반사 EUV 광학계까지 미친다. 예를 들어, 완화 가스가 POB의 진공 챔버 내의 가스 혼합물에 추가되어 그곳에 위치된 반사 광학 요소의 표면의 에칭을 억제할 수 있고 SiH4 형성을 경감시킬 수 있다. 이 진공 환경에 대한 완화 가스 유입구에서의 완화 가스 압력은 약 1E-8 mbar일 수 있다. 또 다른 예로서, 패터닝 디바이스(MA)는 또한 때때로 레티클 스테이지 또는 레티클 마이크로-환경으로 지칭되는 진공 환경에 있다. 다시, 저농도의 완화 가스를 여기에 추가하여 SiH4 형성을 경감시킬 수 있다. 완화 가스는 산소일 수 있으며, 질소와 혼합될 수 있다. 이 진공 환경에 대한 완화 가스 유입구에서의 완화 가스의 압력은 약 4E-8 mbar 일 수 있다. 이 양 환경 모두에서, 완화 가스 농도 범위의 하한은 주로 SiOX 경감의 이점을 얻는데 필요한 최소량에 의하여 결정된다. 완화 가스 농도 범위의 하한은 주로 심도 산화를 피해야 하는 필요성에 의해 결정된다. 완화 가스의 원하는 농도는 반사 EUV 광학계의 SiOX 함유 표면이 받는 이온 플럭스에 어느 정도까지 의존하며, 낮은 이온 플럭스는 완화 가스의 존재에 대한 더 낮은 요구를 초래한다.
구체적인 예로서, 금속 산화물은 그의 제한된 H* 재결합 SnH4 재증착을 위한 컬렉터 캡 물질로서 선택될 수 있다. 금속 산화물의 금속(또는 아산화물(sub-oxide) 또는 아질화물(sub-nitride))으로의 환원은 바람직하지 않게 캡핑 층의 표면이 H* 재결합 및 SnH4 재증착을 촉진하게 할 것이다. 캡핑 층 위에 산소 또는 질소를 함유하는 가스를 흐르게 하는 것은 금속 산화물 또는 질화물 캡핑 층을 안정화시켜, 더 많은 주석 세정을 야기한다. (물을 형성하는) H*의 과도한 양을 소비하지 않으면서 이러한 효과를 촉진하기 위해 산소 또는 질소 농도가 선택될 수 있으며, 이는 주석 세정 반응에 사용할 수 있는 H*를 덜 남길 것이다. 산소의 중간 레벨에서, 주석은 산화될 수 있으며, 이는 세정을 위해 더 많은 H*을 필요로 하지만 SnH4 재증착을 감소시킨다. 목적은 주석 세정(Sn+xH*→*SnHX) 및 금속 산화(금속+½XO2→금속)OX)와 같은 바람직한 반응을 촉진하면서 환원((금속)OX+2xH*→금속+H2O), 물 형성(2H*+O*→H2O), H* 재결합(2H**→H2), 및 SnH4 재증착(SnHx→Sn+½XH2)과 같은 원치 않는 반응을 억제하는 것이다. 동일한 사항이 질소에 적용된다.
절연체를 위한 다른 후보 물질은 질화물을 포함한다. 이들은, 예를 들어 완화 가스로 질소 또는 암모니아 혼합물을 추가함으로써 안정화될 수 있다. 산소가 질소가 고갈된 캡핑 층의 영역을 산화시키고 따라서 원하지 않는 반응을 억제하고 원하는 반응을 촉진하기 위한 조건을 복원하므로 이들은 또한 산소로 안정화될 수 있다. 절연체를 위한 추가 후보 물질은 탄화물을 포함한다. 이들은, 예를 들어 CH4 및/또는 경질 탄화수소를 추가함으로써 안정화될 수 있다.
소스에서, 가스 혼합물의 흐름이 EUV 반사 광학계의 표면의 적어도 일부를 가로질러(즉, 이 일부에 인접하게 그리고 이 일부에 평행한 속도 성분을 갖고) 흐르도록 설정되는 것이 바람직하다. 이는, 예를 들어 챔버 내에서 수소를 흐르게 하기 위하여 시스템에 배출구를 추가하고 그후 수소의 흐름에 완화 가스를 추가함으로써 이루어질 수 있다. 언급된 바와 같이, 컬렉터(30)는 레이저 방사선이 관통하고 조사 영역(28)에 도달하는 것을 허용하도록 중앙 구멍(306)을 갖는 장축 타원체의 형태일 수 있다. 컬렉터와 가스 흐름 조립체(302)가 도 4에서 보여지고 있다. 중앙 구멍(306)은 컬렉터 미러(30)의 반사 표면(304)을 통하여 연장되어 있다. 중앙 구멍(306)은 컬렉터(30)의 축 대칭 라인(AX) 상에 위치되어 있다. 중앙 구멍(306)은 에지(308)를 갖고 있다. 조립체(302)는 중앙 구멍(306)을 통해 연장되는 관형 몸체(310)(흐름 콘(flow cone)(310)으로도 지칭됨)를 구비하고 있다. 관형 몸체(310)는 내부 표면(312 및 318)과 외부 표면(314)을 갖고 있다.
용어 "관형(tubular)"은 다양한 상이한 구조체를 포함할 수 있거나 이와 동의어일 수 있는 광범위한 용어로서 당업자에 의해 이해될 것이다. 예를 들어, 관형 몸체에는 평행한 벽이 없을 수 있지만, 대신 원추형 또는 플레어형(flared)일 수 있다. 관형 몸체는 컬렉터의 일측(예를 들어, 비수집 표면)에서 컬렉터의 또 다른, 반대 측(예를 들어, 수집 표면)으로 도관을 형성하는 임의의 구조체일 수 있다. 관형 몸체는 원형 횡단면, 타원형 횡단면 또는 기타 적절한 횡단면을 가질 수 있다.
사용시, 주 가스 흐름(GF)은 이 실시예에서 반사 표면(304)을 가로지르는 방향으로 가스 흐름(GF)을 안내하도록 구성되고 배열되는 관형 몸체(310)를 통해 지향된다. 예를 들어, 관형 몸체(310)의 내부 표면(312)은 반사 표면(304)을 가로지르는 방향으로 가스 흐름(GF)을 안내하도록 구성되고 배열되어 있다. 전형적으로, 가스 흐름(GF)은 제1 초점 및 제2 초점 중 하나 또는 둘 모두를 향한다. 개구(316)는 관형 몸체(310)의 외부 표면(314)과 중앙 구멍(306)의 에지(308) 사이에 위치될 수 있다. 도 4의 실시예에서, 외부 표면(314)과 에지(308)는 개구(316)를 형성하거나 한정한다.
(개구(316)의 적어도 일부, 예를 들어 관형 몸체(310)의 외부 표면(314) 및 에지(308)를 형성하는 하나 이상의 구조체를 포함하는) 개구(316)는 실질적으로 반사 표면(304)을 따라 그리고 보여지는 바와 같이 관형 몸체(310)로부터 떨어져 추가 가스 흐름(GF')을 안내하도록 배열될 수 있다. 노즐(320 및 322)은 실질적으로 컬렉터(30)의 원주로부터 반사 표면(304)을 따라 그리고 보여지는 바와 같이 관형 몸체(310)를 향해 추가 가스 흐름(GF)을 안내하도록 배열될 수 있다. 중심과 원주 모두로부터 반경 방향 흐름들이 있는 경우, 흐름들이 만나지만 흐름들이 만나는 곳을 제외하고는 여전히 컬렉터(30)의 표면에 실질적으로 인접하게 흐르도록 노즐들이 배열될 수 있다.
관형 몸체(310)를 통한 흐름은 콘 흐름(cone flow)으로 지칭될 수 있다. 컬렉터(30)의 표면을 따라 중심으로부터 원주로 향하는 흐름은 우산형 흐름(umbrella flow)으로 지칭될 수 있다. 원주로부터 중심을 향한 컬렉터(30)의 표면을 따른 흐름은 주변 흐름(perimeter flow)으로 지칭될 수 있다. 수소/완화 가스 혼합물에 대한 콘 흐름의 속도는 약 50 SLM 내지 약 165 SLM 범위 내에 있을 수 있다. 수소/완화 가스 혼합물에 대한 우산형 흐름의 속도는 약 40 SLM 내지 약 90 SLM 범위 내에 있을 수 있다. 수소/완화 기체 혼합물에 대한 주변 흐름의 속도는 약 60 SLM 내지 약 160 SLM 범위 내에 있을 수 있다. 이 유형의 H2 흐름 하에서, 순수 완화 가스만의 흐름은 약 0.002 내지 약 0.8 SCCM이다. 불활성 가스와 같은 캐리어 가스가 있다면, 유속은 크기조정(scale)된다. 예를 들어, 완화 가스 혼합물이 2% 완화 가스와 98% 불활성 가스인 경우, 총 흐름은 약 0.1 내지 약 40 SCCM이다. 궁극적으로 중요한 것은 완화 가스 부분 압력이며, 이는 완화 가스와 H2(용기 내의 지배적 흐름) 비율 및 두 가스의 펌프 속도 차이에 좌우된다. 용기 총 압력은 약 1.2 mbar 내지 약 2.2 mbar의 범위 내에 있을 수 있다는 점이 주목된다.
대안적으로, EUV 반사 광학계의 표면을 가로질러 흐르는 완화 가스 전용의 가스 매니폴드 시스템이 있을 수 있으며, 이 반사 광학계에서는 컬렉터(30)의 (속도 성분이 실질적으로 국부적으로 평행한) 국부적인 표면의 표면을 따라 가스를 흐르도록 향하는 구멍/노즐에는 완화 가스만이 공급된다.
도 5는 EUV 방사선을 생성하고 활용하기 위한 시스템의 하나 이상의 진공 챔버 내로의 완화 가스의 도입을 제어하기 위한 시스템을 보여주고 있다. 도 5에서 보여지는 바와 같이, EUV 소스(SO)의 진공 챔버(26)는 수소와 같은 소스 가스의 가스 소스(400)에 연결되어 있다. 소스 가스를 진공 챔버(26)로 전달하는 도관은 가스 제어 시스템(420)의 제어 하에 있는 혼합 노드(410)를 갖고 있다. 혼합 노드(410)는 또한 완화 가스의 소스(430)에 연결되어 있다. 가스 제어 시스템(420)은 완화 가스가 챔버(26)로 들어가는 소스 가스의 흐름에 추가되는지 여부를 제어한다. 가스 제어 시스템(420)은 또한 소스 가스에 대한 완화 가스의 혼합 비율을 제어한다. 대안적으로 또는 추가적으로, 완화 가스는 직접적으로 그리고 가스 제어 시스템(420)의 제어 하에서 챔버(26)로 흐를 수 있다.
도 5는 또한 POB의 진공 챔버(440) 내로의 완화 가스의 도입을 제어하기 위한 시스템을 보여주고 있다. 도 5에서 보여지는 바와 같이, POB의 진공 챔버(440)는 가스의 소스(450)에 연결되어 있다. 가스를 진공 챔버(26)로 전달하는 도관은 가스 제어 시스템(420)의 제어 하에 있는 혼합 노드(460)를 갖고 있다. 혼합 노드(460)는 또한 완화 가스의 소스(470)에 연결되어 있다. 가스 제어 시스템(420)은 완화 가스가 챔버(440)로 들어가는 가스의 흐름에 추가되는지 여부를 제어한다. 가스 제어 시스템(420)은 또한 가스에 대한 완화 가스의 혼합 비율을 제어한다. 대안적으로 또는 추가적으로, 가스는 직접적으로 그리고 가스 제어 시스템(420)의 제어 하에 챔버(440)로 흐를 수 있다.
가스 제어 시스템은 소스가 작동하고 동안 완화 가스를 연속적으로 인라인으로(in-line) 추가하도록 구성될 수 있다. 가스 제어 시스템은 완화 가스를 간헐적으로 추가하도록 구성될 수 있다. 가스 제어 시스템은 소스가 작동하지 않는(offline) 동안 완화 가스를 추가하도록 구성될 수 있다. 가스 제어 시스템은 센서(480 또는 490)에 의해 측정된 바와 같이 챔버 내의 EUV 반사 요소의 반사율 측정에 기초하여 완화 가스를 추가하도록 및/또는 완화 가스의 농도 및/또는 조성을 제어하도록 구성될 수 있다. 가스 제어 시스템은 센서(480 또는 490)에 의해 측정된 바와 같이 EUV 반사 요소에 인접한 완화 가스 농도의 측정에 기초하여 완화 가스를 추가하도록 및/또는 완화 가스의 농도 및/또는 조성을 제어하도록 구성될 수 있다. 가스 제어 시스템은 챔버가 작동된 시간의 양 또는 소스가 생성한 펄스 수의 측정에 기초하여 완화 가스를 추가하도록 및/또는 완화 가스의 농도 및/또는 조성을 제어하도록 구성될 수 있다.
관형 몸체의 내부 부분과 외부 부분 사이의 원주 갭 형태의 우산형 흐름 콘(310)은 컬렉터(30)의 반사 표면(304)을 가로질러 수소의 우산형 흐름을 제공한다. 이는 도 5 및 도 8a 내지 도 8c에 보여지고 있다.
도 9에서 보여지는 바와 같이, 완화 가스, 예를 들어 산소의 소스(430)는 혼합 노드(410), 예를 들어 밸브 또는 흐름 콘(310)에 대한 제어 가능한 유입구를 통해 우산형 흐름 콘(310)에 연결되어 미리설정된 양, 예를 들어 미량의 완화 가스를 가스 소스(400)로부터 우산형 흐름으로 제공할 수 있다. 밸브(490) 또는 유입구가 열리면, 제어된 양의 완화 가스는 우산형 흐름 내의 수소 가스에 혼합된다. 유입구가 닫히면, H2만이 우산형 흐름 콘(310)을 통해 흐른다. 밸브(490) 또는 유입구는 가스 제어 시스템(420)으로부터의 제어 신호에 의해 제어된다.
실시예의 또 다른 양태에 따르면, 관형 몸체(310)는 하나 이상의 가열 요소(500)를 구비하고 있다. 도 6 및 도 7을 참조하면, 이 가열 요소(500)들은 관형 몸체(310)의 외측 부분의 원주 주위에 배열될 수 있다. 가열 요소(500)들의 각각은 하나 이상의 필라멘트(510)로 구성될 수 있으며 가스 제어 시스템(420)에 의해 선택적으로 활성화(energize)될 수 있다. 히터(500)는 활성화될 때 우산형 흐름 콘(310) 내의 수소 흐름에서 수소 라디칼을 생성한다. 히터가 예를 들어 1,300℃보다 큰, 흐름 내의 수소의 원하는 해리 분율을 달성하기에 충분한 온도에 도달하도록 가스 제어 시스템(420)은 배치되어 히터에 에너지를 공급한다. 이는 우산형 콘(310) 주위의 H2 흐름에서 H*의 농도를 증가시킨다. 이는 결과적으로 반사 표면(304)으로부터의 Sn 에칭 및 반응에 따라 가스 상태의 스탄난(SnH4)의 형성을 향상시킨다:
Figure pct00001
또 다른 양태에 따르면, H2 흐름 내에서의 H* 농도의 이 증가는 O2와 같은 완화 가스의 도입과 번갈아 가며 나타난다. O2가 도입되면, 이는 스탄난의 자기 촉매적 분해에 나쁜 영향을 줌으로써 역반응(기체 상태 스탄난의 고체 주석으로의 전환)을 감소시켜, 부가적인 Sn 형성을 방지한다. 질소 라디칼 생성을 산소 추가와 교대로 하는 것은 반사 표면(304) 상의 주석 스테이닝(staining)의 전체적인 제거를 야기한다.
방금 설명된 배열체에서 수소 라디칼은 관형 본체에 제공된 가열된 필라멘트를 사용하여 생성되지만, 다른 또는 부가적인 소스로부터 수소 라디칼을 도입하는 것이 또한 가능하다. 예를 들어, 동일한 효과를 얻기 위해 아래의 도 8에서 보여지는 바와 같이 원격 플라즈마 소스(315)와 같은 원격 소스를 통해 H*를 관형 몸체(310)에 전달하는 것도 가능하다.
도 8a는 컬렉터(30) 및 그 반사 표면(304) 그리고 중앙 구멍(306)의 정면 평면도이다. 우산형 흐름 콘(310)은 관형 몸체(310)의 내부 및 외부 부분에 의해 한정된다. 도 8b는 도 8a의 배열체의 측면도이며, 도 8c는 도 8a의 배열체의 사시도이며 또한 컬렉터(30)의 반사 표면(304) 위에 우산형 흐름을 설정하기 위해 우산형 흐름 콘(310)과 협력하도록 제공될 수 있는 원주 방향 가스 벤트(540)를 갖는 컬렉터(30)를 위한 원주 지지체(530)를 보여주고 있다.
따라서, 도 9 및 도 10에서 보여지는 바와 같이, 가스 제어 시스템(420)은 대안적으로 (1) 가열 요소(500)를 활성화시켜 수소 라디칼을 생성하도록 그리고 (2) 완화 가스를 주입하도록 구성될 수 있다. 도 10에서 보여지는 바와 같이, 완화 가스가 우산형 흐름에 추가될 때의 시간 간격(560)들과 번갈아 나타나는, 히터가 활성화될 때의 시간 간격(580)들이 있다.
도 11은 이 절차를 설명하는 흐름도이다. 단계 S10에서, 수소는 우산형 흐름 콘에 공급되어 수소의 우산형 흐름을 구축한다. 단계 S20에서, 가스 제어 시스템(420)은 히터(500)를 활성화시켜 우산형 흐름 내의 수소 일부를 시간 간격(A) 동안 라디칼로 전환시킨다. 시간 간격(A)이 종료된 후, 단계 S30에서 가스 제어 시스템은 밸브(490)를 개방하여 미리설정된 양의 완화 가스, 예를 들어 산소를 시간 간격(B) 동안 우산형 흐름에 추가한다. 그 후, 흐름 콘(310)과 동일한 환경에서 반사 광학 요소의 반사율을 유지하거나 개선하기 위해 공정이 원하는 대로 반복된다.
또한, 소스가 가동함에 따라 용융된 주석 잔해가 베인(94)의 표면과 같은 챔버(26) 내의 표면에 지속적으로 도달한다. 소스의 작동 중에 생성된 수소 라디칼은 용융된 주석과 상호 작용하여 주석의 작은 입자가 용융된 주석 표면에서 방출(ejected)시킨다. 이 입자는 약 1 마이크론의 전형적인 크기를 가지며 초당 몇 미터의 속도로 표면을 떠난다. 이 현상은 "주석 스피팅(tin spitting)" 이라고 불린다. 주석 스피팅에 의해 생성된 입자는 컬렉터 미러의 EUV 반사율 손실에 또한 기여하는 컬렉터에서 그칠 수 있다.
주석 스피팅을 줄이거나 심지어 완전히 제거하기 위하여, 완화 가스, 예를 들어 설명된 바와 같은 산소의 주기적인 추가는 베인에 도달하는 주석을 산화시킬 수 있다. 약 10E-5 mbar의 산소 농도가 이 목적을 위하여 충분할 수 있다. 산소 노출은 간격을 두고, 예를 들어 소스의 높은 듀티 사이클 작동의 약 1 내지 2 시간마다 수행될 수 있다. 컬렉터 표면의 Sn 자기 세정에 대한 산소 노출의 부정적인 영향을 경감하기 위하여 이 산소 추가는 작동하지 않을 때 즉, 플라즈마가 생성되고 있지 않을 때 발생할 수 있다. 따라서 레이저는 액적이 생성되지 않고 소량의 산소가 용기에 도입될 수 있는 작동 모드로 전환된다. 이는, 예를 들어 2% 산소와 98%의 아르곤의 혼합물을 포함하는 별도의 가스 공급을 통해 수행될 수 있다. 분자 수소의 존재가 산소에 의한 스피팅 억제를 방해하지 않기 때문에 규칙적인 수소 흐름이 중단없이 계속되는 것이 허용될 수 있다. 가스 혼합물은 1 slm의 O2/Ar 혼합물 및 (예를 들어, 약 200 slm일 수 있는) 정상적인 수소 흐름일 수 있다. 더 많은 또는 더 적은 양도 사용될 수 있다. 또한, 절차 중에 수소 공급 장치는 꺼질 수 있다. 산소 노출 시간의 지속은 조정될 수 있지만, 몇 분 정도, 예를 들어 약 5분일 수 있다. 노출 후, 소스의 정상 작동이 다시 시작될 수 있다.
산소 함유 가스는 연속적으로 또는 간헐적으로 용기에 추가될 수 있다. 그러나 산소 함유 가스의 연속적인 공급은 컬렉터 표면에 존재하는 Sn 층의 산화를 야기할 수 있다. 이 경우 컬렉터 표면에 SnOX 층이 형성되며, 이는 반사 손실로 이어질 수 있다. 산소 함유 가스의 연속적인 공급은 또한 다층 미러 컬렉터의 실리콘 층의 산화를 초래할 수 있다. 이 경우 컬렉터 표면에 산화물층이 형성되며, 이 또한 반사 손실로 이어질 수 있다. 또한, 산소 함유 가스의 지속적인 공급은 컬렉터를 오염시키는 주석 캐처(tin catcher)의 백스플래시(backsplash)를 크게 증가시킬 수 있다. 따라서, 일부 적용의 경우, 도입이 또 다른 가스와 번갈아 나타나고 있는지 여부에 관계없이 산소 함유 가스를 연속적인 것보다는 간헐적으로 용기에 추가하는 것이 바람직할 수 있다.
따라서, 실시예의 양태에 따르면, 도 12를 참조하면, 부분 압력 측정 디바이스(610)는 컬렉터 반사 표면(304)의 반사율이 한정된 사양 내에 있을 때, 예를 들어, O2의 부분 압력, N2의 부분 압력 및 H2O의 부분 압력과 같은, 용기(440) 내의 소스 작동 조건의 매개변수를 판독한다. 이 매개변수들은 그후 임계값으로서 설정되고 기록될 수 있다. 측정 디바이스(610)는, 예를 들어 잔류 기체 질량 분석계에 의해 구현될 수 있다. 바람직하게는, 측정 디바이스(610)는 측정값을 지속적으로 공급할 것이다. 또한, 측정 디바이스(610)에 의해 측정된 부분 압력 값이 반사 표면(304)에 인접한 부분 압력을 나타내도록 반사 표면(304)에 충분히 근접한 측정 디바이스(610)를 갖는 것이 유리할 수 있다.
사양 내 값이 결정되면, 소스가 작동되고 매개변수 중 적어도 하나의 작동 값, 예를 들어 산소 함유 가스의 부분 압력이 모니터링된다. 산소 함유 가스의 부분 압력이 임계값 미만으로 감소한 것으로 결정되면, 그후 제어 시스템(420)은 주입 밸브(dosing valve)(600)를 켬으로써 가스 소스(430)로부터의 산소 함유 가스의 공급을 전환시킨다. 산소 함유 가스의 공급은 산소 함유 가스의 부분 압력이 임계값을 초과한 것으로 결정될 때까지 계속 유지된다. 그 시점에서, 제어 시스템(420)은 산소 함유 가스의 공급을 차단된다.
이 공정이 도 13에서 그래프적으로 보여지고 있다. 도 13에서, 라인 650으로 보여지는 산소 함유 가스의 부분 압력은 시간 간격(T1) 동안 임계 레벨(660)보다 높은 초기 레벨까지 증가된다. 이 시간 간격(T1) 후, 용기로의 산소 함유 가스 공급은 차단된다. 그 후, 시간 간격(T2) 동안, 산소 함유 가스가 고갈됨에 따라 산소 함유 가스의 부분 압력은 용기 내에서 점차적으로 떨어진다. 이 시간 간격(T2)의 끝에서, 레벨은 임계값(660) 아래로 떨어질 정도로 충분히 떨어진다. 이때, 제어 시스템(420)은 산소 함유 가스의 레벨이 임계 레벨(660) 이상이 될 때까지 산소 함유 가스를 용기에 공급하는 것을 다시 시작한다. 공정은 이러한 방식으로 계속된다. 임계값이 만족될 때 가스 흐름이 반드시 즉시 차단할 필요는 없으며 또한 산소 함유 가스의 부분 압력이 임계값 아래로 떨어질 때 가스 흐름이 반드시 즉시 재개될 필요는 없다는 점이 주목될 것이다.
시간 간격(T1) 동안, 산소 함유 가스의 흐름은, 예를 들어 약 30분 동안 계속될 수 있다. 이는 컬렉터 표면을 산화된 상태로 유지한다. 예를 들어 3 내지 8시간의 시간 프레임 동안 지속될 수 있는 시간 간격(T2) 동안, 용기 내에 과도한 산소 함유 가스는 없다. 그러나 부분 압력이 임계값 아래로 떨어질 때까지 산소 함유 가스의 점진적인 고갈이 있다. 임계값은 일반적으로 적용에 따라 설정될 것이지만, 예시적인 값은 약 4E-8 mbar일 수 있다.
도 14는 이 공정을 설명하는 흐름도이다. 단계 S100에서, 컬렉터의 사양 내 반사율을 산출하는 부분 압력 값이 결정된다. 단계 S110에서, 산소 함유 가스의 부분 압력이 임계값 미만인지 여부가 판단된다. 단계 S110의 결정이 부정적이면 그후 단계 S120에서 주입이 차단된다. 즉, 용기 내로의 산소 함유 가스의 흐름이 중지되며, 공정은 단계 S110에서의 산소 함유 가스의 부분 압력을 결정하는 것으로 되돌아간다. 단계 S110에서의 결정이 긍정이면, 그후 단계 S130에서 산소 함유 가스가 공급되어 임계값에 도달한다. 즉, 주입(dosing)이 작동 중이다.
또 다른 양태에 따르면, 그리고 도 15에서 보여지는 바와 같이, 시스템은 단일 임계값 이상보다는 주어진 범위 내에서 산소 함유 가스의 부분 압력을 유지하도록 작동될 수 있다. 이러한 실시예에서, 상한 및 하한 임계값(TU 및 TL)은 컬렉터 미러의 사양 내 성능에 대해 결정된다. 소스가 작동되며 소스 매개 변수의 작동 값, 예를 들어 산소 함유 가스의 부분 압력이 모니터링된다. 산소 함유 가스의 부분 압력이 하한 임계값 미만인 것으로 결정되면, 제어 디바이스는 시간 간격(T2)의 끝에서 발생하는 것과 같은 산소 함유 가스의 공급을 켠다. 산소 함유 가스의 공급은 산소 함유 가스의 부분 압력이 시간 간격(T3)의 끝에서 발생하는 것과 같은 상한 임계값을 충족하거나 초과한 것으로 결정될 때까지 계속 유지된다. 이 시점에서 제어 시스템은 산소 함유 가스의 공급을 차단한다.
도 16은 이 공정을 설명하는 흐름도이다. 단계 S150에서, 사양 내 반사율을 산출하는 부분 압력 범위가 결정된다. 단계 S160에서, 산소 함유 가스의 부분 압력이 범위 내에 있는지 여부가 결정된다. 만약 그렇다면, 단계 S170에서 주입이 중단된다. 즉, 용기로의 산소 함유 가스의 공급이 차단되고 공정은 단계 S160으로 되돌아간다. 결정 단계 및 S160이 부정적이면, 단계 S180에서 주입이 켜진다. 언급된 바와 같이 산소 함유 가스의 부분 압력은 직접 측정될 수 있거나, 용기 내의 다른 가스의 부분 압력을 측정함으로써 추론될 수 있다.
또 다른 양태에 따르면, 제어 시스템은 산소 함유 가스의 분분 압력을 모니터링할 수 있다. 산소 함유 가스의 부분 압력이 특정 임계값 아래로 떨어지면 제어 시스템은 산소 함유 가스의 부분 압력을 특정 임계값 이상으로 증가시키기에 충분한 것으로 선험적으로 알려진 일정 기간 동안 용기로의 산소 함유 가스의 공급을 켤 수(turned on) 있다. 그 후 제어 시스템은 고갈이 산소 함유 가스의 부분 압력을 미리설정된 임계값 아래로 떨어지게 하기 전의 시간 양인 것으로 선험적으로 알려진 설정 시간 동안 가스 공급을 차단할 수 있다.
언급된 바와 같이, 반사 요소는 캡핑 층을 가질 수 있으며, 캡핑 층은 금속 산화물과 같은 산화물을 포함할 수 있다. 산소 함유 가스는 위에 나열된 산소 함유 가스, 즉 O2, H2O, H2O2, O3, CO2 및 공기 중 임의의 하나 또는 이들의 조합을 포함할 수 있다. 산소 함유 가스는 아르곤 또는 헬륨과 같은 불활성 가스와 혼합될 수 있다. 예를 들어, 추가된 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스일 수 있다.
위의 예는 산소에 관한 것이지만, 질소와 같은 다른 가스가 그 자체로 또는 산소와 함께 사용될 수 있다는 것이 이해될 것이다. 또한, 컬렉터의 면을 가로지르는 흐름 방향은 임의의 방향일 수 있다. 동일한 효과를 달성하기 위한 대안적인 구현은 중심으로부터 림(rim)으로 대신에, 동일한 효과를 위해 컬렉터 림으로부터 중심을 향하여, 또는 양 위치로부터, 또는 대안적으로 예를 들어, 먼저 중심으로부터 림으로 그리고 그후에 중심으로부터 림으로 완화 가스 및/또는 라디칼을 전달하는 것이다.
본 발명은 특정 기능들 및 그들의 관계의 구현을 예시하는 기능적 구성 요소들의 도움으로 이루어진다. 이러한 기능적 구성 요소들의 경계는 설명의 편의를 위해 본 명세서에서 임의적으로 한정되어 있다. 지정된 기능들과 그들의 관계가 적절하게 수행되는 한 대체 경계가 한정될 수 있다.
위의 설명은 하나 이상의 실시예의 예를 포함하고 있다. 물론, 위에서 언급된 실시예를 설명하는 목적을 위하여 구성 요소들 또는 방법론의 모든 가능한 조합을 설명하는 것은 가능하지 않지만, 당업자는 다양한 실시예의 많은 추가 조합 및 순열(permutations)이 가능하다는 것을 인식할 수 있다. 따라서, 설명된 실시예는 첨부된 청구 범위의 사상 및 범위 내에 있는 이러한 모든 변경, 수정 및 변형을 포함하도록 의도된다. 더욱이, 용어 "포함하다"는 상세한 설명 또는 청구범위에서 사용되는 경우에, 이 용어는, "이루어지는"이 사용될 때 청구범위에서 이행어(transitional word)로서 해석되기 때문에 용어 "이루어지는"과 유사한 방식으로 포괄적인 것이 되도록 의도된다. 더욱이, 설명된 양태 및/또는 실시예의 요소가 단수형으로 설명되거나 청구될 수 있지만, 단수형에 대한 제한이 명시적으로 언급되지 않는 한 복수형이 고려된다. 부가적으로, 임의의 양태 및/또는 실시예의 전부 또는 일부는 달리 언급되지 않는 한 임의의 다른 측면 및/또는 실시예의 전부 또는 일부와 함께 사용될 수 있다.
본 발명의 다른 양태는 하기의 번호가 부여된 항목에서 제시된다.
1. 본 장치는,
진공 챔버;
진공 챔버 내에 배열된 반사 광학 요소;
진공 챔버에 가스를 추가하기 위한 것으로서, 화학 반응에 의하여 반사 광학 요소의 오염을 경감시키는 완화 가스의 소스와 접속하기 위한 인터페이스를 갖는 가스 분배 시스템; 및
가스 분배 시스템을 제어하기 위한 것으로서, 가스의 소스로부터의 완화 가스가 가스 분배 시스템에 의하여 규제된 방식으로 진공 챔버의 내부로 도입되는 상태를 갖는 가스 제어 시스템을 포함한다.
2. 항목 1의 장치에서, 완화 가스는 산소 함유 가스를 포함한다.
3. 항목 2의 장치에서, 산소 함유 가스는 O2를 포함한다.
4. 항목 2의 장치에서, 산소 함유 가스는 H2O를 포함한다.
5. 항목 2의 장치에서, 산소 함유 가스는 O3를 포함한다.
6. 항목 2의 장치에서, 산소 함유 가스는 CO2를 포함한다.
7. 항목 2의 장치에서, 산소 함유 가스는 공기를 포함한다.
8. 항목 1의 장치에서, 반사 광학 요소는 캡핑 층과 복수의 하부 층을 포함하는 다층 미러를 포함하며, 캡핑 층은 하부 층을 손상으로부터 보호하도록 배열된다.
9. 항목 8의 장치에서, 캡핑 층은 산화물을 포함한다.
10. 항목 9의 장치에서, 산화물은 금속 산화물을 포함한다.
11. 항목 8의 장치에서, 완화 가스는 산소 함유 가스를 포함하며 캡핑 층은 질화물을 포함한다.
12. 항목 8의 장치에서, 캡핑 층은 탄화물을 포함한다.
13. 항목 1의 장치에서, 가스 분배 시스템은 캡핑 층의 적어도 일부분을 가로질러 완화 가스의 흐름을 지향시키도록 구성된다.
14. 항목 1의 장치에서, 가스 분배 시스템은 소정량의 완화 가스를 수소 함유 가스의 흐름에 추가함으로써 가스의 소스로부터 진공 챔버 내로 완화 가스를 추가하도록 구성된다.
15. 항목 1의 장치에서, 가스 분배 시스템은 완화 가스를 수소 함유 가스의 흐름 내로 블리딩(bleeding)함으로써 완화 가스를 수소 함유 가스에 추가하도록 구성된다.
16. 항목 1의 장치에서, 가스 분배 시스템은 완화 가스를 불활성 가스와 혼합시켜 적어도 0.1% 완화 가스를 함유하는 혼합물을 얻도록 구성된다.
17. 항목 1의 장치에서, 가스 분배 시스템은 완화 가스를 불활성 가스와 혼합시키도록 구성된다.
18. 항목 17의 장치에서, 완화 가스는 O2를 포함하며 불활성 가스는 아르곤을 포함한다.
19. 항목 17의 장치에서, 완화 가스는 H2O를 포함한다.
20. 항목 17의 장치에서, 완화 가스는 CO2를 포함한다.
21. 항목 17의 장치에서, 완화 가스는 O3를 포함한다.
22. 항목 17의 장치에서, 완화 가스는 공기를 포함한다.
23. 항목 17의 장치에서, 완화 가스는 수증기를 포함한다.
24. 항목 1의 장치에서, 가스 분배 시스템은 완화 가스를 불활성 가스와 혼합하여 약 10-5 밀리바(mbar)의 불활성 가스와 10-7 mbar의 완화 가스를 포함하는 혼합물을 형성하도록 구성된다.
25. 항목 1의 장치에서, 반사 광학 요소는 캡핑 층을 가지며 가스 분배 시스템은 캡핑 층의 적어도 일부분을 가로질러 완화 가스를 함유하는 가스의 흐름을 생성하도록 배열된 적어도 하나의 배출구를 갖는다.
26. 항목 1의 장치에서, 가스 제어 시스템은 완화 가스를 연속적으로 추가하도록 구성된다.
27. 항목 1의 장치에서, 가스 제어 시스템은 플라즈마가 생성되고 있는 동안 인라인으로(in-line)으로 완화 가스를 추가하도록 구성된다.
28. 항목 1의 장치에서, 가스 제어 시스템은 완화 가스의 투여량들을 간헐적으로 추가하도록 구성된다.
29. 항목 1의 장치는 광학 요소의 반사율을 감지하고 반사율을 나타내는 신호를 생성하도록 배열된 센서를 더 포함하며, 가스 제어 시스템은 신호를 수신하도록 배열되고 감지된 반사율에 적어도 부분적으로 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어하도록 구성된다.
30. 항목 1의 장치는 반사 광학 요소에 인접한 완화 가스 농도를 감지하고 완화 가스 농도를 나타내는 신호를 생성하도록 배열된 센서를 더 포함하며, 가스 제어 시스템은 신호를 수신하고 반사 광학 요소에 인접한 완화 가스 농도에 적어도 부분적으로 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어하도록 배열된다.
31. 항목 1의 장치에서, 반사 광학 요소는 EUV 방사선의 EUV 소스의 일부이며, 가스 제어 시스템은 EUV 소스의 작동 기간에 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어하도록 구성된다.
32. 항목 1의 장치에서, 반사 광학 요소는 EUV 방사선의 EUV 소스의 일부이며, 가스 제어 시스템은 EUV 소스에 의하여 생성된 EUV 방사선의 펄스들의 수에 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어하도록 동작한다.
33. 항목 1의 장치는 진공 챔버 내에서 가스 흐름을 지향시키기 위해 진공 챔버 내에 위치된 복수의 구조체를 더 포함하며, 구조체 위로 완화 가스를 흐르게 하도록 배열된 제2 가스 분배 시스템을 더 포함한다.
34. 본 장치는,
진공 챔버;
진공 챔버 내에 배열된 반사 광학 요소;
질소 가스를 진공 챔버에 추가하기 위한 일차 가스 분배 시스템;
화학 반응에 의하여 반사 광학 요소의 오염을 경감시키는 완화 가스를 진공 챔버에 추가하기 위한 이차 가스 분배 시스템; 및
일차 가스 분배 시스템과 이차 가스 분배 시스템을 제어하기 위한 것으로서, 완화 가스가 이차 가스 분배 시스템에 의하여 규제된 방식으로 진공 챔버의 내부로 도입되는 상태를 갖는 가스 제어 시스템을 포함한다.
35. 본 방법은,
진공 챔버 및 진공 챔버 내에 위치된 반사 광학 요소를 포함하는 EUV 광 소스의 작동 매개변수를 측정하는 단계; 및
측정된 바와 같은 작동 매개변수에 적어도 부분적으로 기초하여, 화학 반응에 의하여 반사 광학 요소의 오염을 경감하는 완화 가스의 진공 챔버로의 추가를 제어하는 단계를 포함한다.
36. 항목 35의 방법에서, 완화 가스의 추가를 제어하는 단계는 완화 가스의 농도를 제어하는 것을 포함한다.
37. 항목 35의 방법에서, 완화 가스의 추가를 제어하는 단계는 완화 가스의 유속을 제어하는 것을 포함한다.
38. 항목 35의 방법에서, 완화 가스의 추가를 제어하는 단계는 완화 가스의 조성을 제어하는 것을 포함한다.
39. 항목 35의 방법에서, 작동 매개변수는 광학 요소의 반사율이다.
40. 항목 35의 방법에서, 작동 매개변수는 광학 요소에 인접한 완화 가스의 농도이다.
41. 항목 35의 방법에서, 작동 매개변수는 EUV 광 소스의 작동 기간이다.
42. 항목 35의 방법에서, 작동 매개변수는 사전 규정된 시작 시간 이후 EUV 광 소스에 의하여 생성된 EUV 펄스들의 수이다.
43. 장치는,
진공 챔버;
진공 챔버 내에 배열된 반사 광학 요소;
진공 챔버 내로의 가스의 흐름을 선택적으로 허용하는 유입구를 갖는 가스 분배 시스템;
유입구와 선택 가능한 유체 연통 상태에 있는 상기 반사 광학 요소의 오염을 화학 반응에 의하여 경감시키는 완화 가스의 소스;
유입구와 열 연통 상태에 있으며, 유입구를 통해 흐르는 가스를 가스의 흐름 내의 가스의 적어도 일부 내에서 라디칼을 생성하기에 충분한 온도로 가열하도록 배열된 적어도 하나의 가열 요소; 및
가스 분배 시스템과 적어도 하나의 가열 요소를 제어하도록 구성된 가스 제어 시스템을 포함하며,
가스 제어 시스템은 가스 제어 시스템이 완화 가스를 유입구를 통해 진공 챔버로 유입되게 하는 제1 상태와 가스 제어 시스템이 적어도 하나의 가열 요소를 활성화되게 하는 제2 상태를 갖는다.
44. 항목 43의 장치에서, 적어도 하나의 가열 요소는 적어도 하나의 필라멘트를 포함한다.
45. 항목 43의 장치에서, 완화 가스는 산소 함유 가스를 포함한다.
46. 항목 45의 장치에서, 산소 함유 가스는 O2를 포함한다.
47. 항목 45의 장치에서, 산소 함유 가스는 H2O를 포함한다.
48. 항목 45의 장치에서, 산소 함유 가스는 O3를 포함한다.
49. 항목 45의 장치에서, 산소 함유 가스는 CO2를 포함한다.
50. 항목 45의 장치에서, 산소 함유 가스는 공기를 포함한다.
51. 항목 43의 장치에서, 완화 가스는 반사 광학 요소의 반사 표면의 적어도 일부분을 가로질러 흐르도록 동작한다.
52. 항목 43의 장치에서, 가스 분배 시스템은 소정량의 완화 가스를 수소 함유 가스의 흐름에 추가함으로써 완화 가스를 가스의 소스로부터 진공 챔버 내로 도입하도록 동작한다.
53. 항목 43의 장치에서, 가스 분배 시스템은 완화 가스를 수소 함유 가스의 흐름 내로 블리딩함으로써 완화 가스를 가스의 소스로부터 진공 챔버로 도입하도록 구성된다.
54. 항목 43의 장치에서, 유입구는 반사 광학 요소의 중앙 구멍과 동축적으로 그리고 그 주위에 배치된 원추형 요소를 포함한다.
55. 항목 54의 장치는 원추형 요소의 외측 원주 주위에 둘레로 배열된 다수의 가열 요소를 더 포함한다.
56. 항목 54의 장치에서, 복수의 가열 요소의 각각은 적어도 하나의 필라멘트를 포함한다.
57. 극자외선 소스 내의 반사 광학 요소의 반사 표면의 타겟 물질 오염을 감소시키는 방법은,
반사 표면을 가로질러 수소의 우산형 흐름을 구축하는 단계;
제1 시간 간격 동안 우산형 흐름 내의 수소의 적어도 일부분을 이온화시키는 단계;
제1 시간 간격과 구별되는 제2 시간 간격 동안 미리설정된 양의 완화 가스를 상기 우산형 흐름에 추가하는 단계; 및
이온화 단계와 추가 단계를 여러 번 교대로 반복하는 단계를 포함한다.
58. 본 장치는,
진공 챔버;
진공 챔버 내에 배열된 반사 광학 요소;
진공 챔버 내로의 가스의 흐름을 선택적으로 허용하는 유입구를 가지며, 유입구와 선택 가능한 유체 연통 상태에 라디칼을 함유하는 가스의 소스를 위치시키도록 구성된 가스 분배 시스템;
유입구와 선택 가능한 유체 연통 상태에 있는 반사 광학 요소의 오염을 화학 반응에 의하여 경감시키는 완화 가스의 소스; 및
가스 분배 시스템을 제어하도록 구성된 가스 제어 시스템을 포함하며,
가스 제어 시스템은 가스 제어 시스템이 완화 가스를 유입구를 통해 진공 챔버 내로 유입되게 하는 제1 상태와 가스 제어 시스템이 라디칼을 함유하는 가스를 유입구를 통해 진공 챔버 내로 도입되게 하는 제2 상태를 갖는다.
59. 항목 58의 장치에서, 라디칼을 함유하는 가스의 소스는, 유입구와 열 연통 상태에 있으며 유입구를 통해 흐르는 가스의 흐름을 가스의 흐름 내의 가스의 적어도 일부 내에서 라디칼을 생성하기에 충분한 온도로 가열하도록 배열된 적어도 하나의 가열 요소를 포함하여 라디칼을 함유하는 가스를 생성한다.
60. 항목 58의 장치에서, 라디칼을 함유하는 가스의 소스는 가스가 유입구에 도달하기 전에 가스 내에 라디칼을 생성하도록 배열된 플라즈마 소스를 포함한다.
61. 극자외선 소스 내의 진공 챔버 내의 반사 광학 요소의 반사 표면의 타겟 물질 오염을 감소시키는 방법은,
(a) 진공 챔버 내로의 완화 가스의 흐름을 시작하는 단계;
(b) 진공 챔버 내로의 완화 가스의 흐름을 중단하는 단계;
(c) 진공 챔버 내로의 라디칼을 함유하는 가스의 흐름을 시작하는 단계; 및
(d) 진공 챔버 내로의 라디칼을 함유하는 가스의 흐름을 중단시키는 단계를 포함하며,
단계 (a) 내지 단계 (d)는 순서대로 복수 회 반복된다.
62. 본 장치는,
진공 챔버;
진공 챔버 내에 배열된 반사 광학 요소;
적어도 제1 가스와 산소를 포함하는 제2 가스를 진공 챔버에 공급하도록 동작하는 가스 공급 시스템; 및
진공 챔버 내에서 감지된, 제1 가스와 상기 제2 가스 중 적어도 하나의 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하기 위해 가스 공급 시스템을 제어하도록 동작하는 제어 시스템을 포함한다.
63. 항목 62의 장치에서, 반사 광학 요소는 산화물을 포함하는 캡핑 층을 포함한다.
64. 항목 63의 장치에서, 산화물은 금속 산화물을 포함한다.
65. 항목 62의 장치에서, 제어 시스템은 진공 챔버 내에서 감지된, 제2 가스의 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하기 위해 가스 공급 시스템을 제어하도록 동작한다.
66. 항목 62의 장치에서, 제어 시스템은 진공 챔버 내에서 감지된, 제1 가스의 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하기 위해 가스 공급 시스템을 제어하도록 동작한다.
67. 항목 62의 장치에서, 제2 가스는 O2를 포함한다.
68. 항목 62의 장치에서, 제2 가스는 H2O를 포함한다.
69. 항목 62의 장치에서, 제2 가스는 H2O2를 포함한다.
70. 항목 62의 장치에서, 제2 가스는 O3를 포함한다.
71. 항목 62의 장치에서, 제2 가스는 CO2를 포함한다.
72. 항목 62의 장치에서, 제2 가스는 공기를 포함한다.
73. 항목 62 내지 항목 72 중 어느 한 항목의 장치에서, 제2 가스는 불활성 가스를 포함한다.
74. 항목 73의 장치에서, 불활성 가스는 아르곤을 포함한다.
75. 항목 73의 장치에서, 불활성 가스는 헬륨을 포함한다.
76. 항목 62 내지 항목 72 중 어느 한 항목의 장치에서, 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함한다.
77. 항목 62의 장치는 진공 챔버 내의 제2 가스의 부분 압력을 감지하도록 그리고 제어 시스템으로의 부분 압력을 나타내는 제1 신호를 생성하도록 배열된 가스 압력 센서를 더 포함하며, 제어 시스템은 제1 신호에 적어도 부분적으로 기초하여 제2 가스의 공급을 제어한다.
78. 항목 77의 장치에서, 가스 압력 센서는 제2 가스의 부분 압력을 직접적으로 감지한다.
79. 항목 77의 장치에서, 가스 압력 센서는 제2 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 산소 함유 가스의 부분 압력을 간접적으로 감지한다.
80. 본 장치는
진공 챔버;
진공 챔버 내에 배열된 반사 광학 요소;
진공 챔버에 가스를 추가하기 위한 것으로서, 산소 함유 가스의 소스와 접속하기 위한 인터페이스를 포함하는 가스 분배 시스템; 및
산소 함유 가스의 부분 압력이 제1 값에 도달할 때까지 산소 함유 가스를 진공 챔버에 공급하기 위해 가스 분배 시스템을 제어하도록 구성되어, 산소 함유 가스의 부분 압력이 제1 값보다 작은 제2 값에 도달할 때까지 진공 챔버로의 산소 함유 가스의 공급을 중단시키고, 그리고 산소 함유 가스의 부분 압력이 제2 값보다 큰 제3 값에 도달할 때까지 진공 챔버로의 산소 함유 가스의 공급을 다시 시작하는 가스 제어 시스템을 포함한다.
81. 항목 80의 장치에서, 반사 광학 요소는 캡핑 층 및 복수의 하부 층을 포함하는 다층 미러를 포함하고, 캡핑 층은 손상으로부터 하부 층을 보호하도록 구성되고 배열되며, 캡핑 층은 산화물을 포함한다.
82. 항목 81의 장치에서, 산화물은 금속 산화물을 포함한다.
83. 항목 80의 장치에서, 제3 값은 제1 값과 실질적으로 동일하다.
84. 항목 80의 장치에서, 산소 함유 가스는 O2를 포함한다.
85. 항목 80의 장치에서, 산소 함유 가스는 H2O를 포함한다.
86. 항목 80의 장치에서, 산소 함유 가스는 H2O2를 포함한다.
87. 항목 80의 장치에서, 산소 함유 가스는 O3를 포함한다.
88. 항목 80의 장치에서, 산소 함유 가스는 CO2를 포함한다.
89. 항목 80의 장치에서, 산소 함유 가스는 공기를 포함한다.
90. 항목 80항 내지 항목 89중 어느 한 항목의 장치에서, 제2 가스는 불활성 가스를 포함한다.
91. 항목 90의 장치에서, 불활성 가스는 아르곤을 포함한다.
92. 항목 90의 장치에서, 불활성 가스는 헬륨을 포함한다.
93. 항목 80 내지 항목 92중 어느 한 항목의 장치에서, 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함한다.
94. 항목 80의 장치는 진공 챔버 내의 산소 함유 가스의 부분 압력을 감지하도록 그리고 가스 제어 시스템으로의 부분 압력을 나타내는 제1 신호를 생성하도록 배열된 가스 압력 센서를 더 포함하며, 가스 제어 시스템은 제1 신호에 적어도 부분적으로 기초하여 산소 함유 가스의 공급을 제어한다.
95. 항목 94의 장치에서, 가스 압력 센서는 산소 함유 가스의 부분 압력을 직접적으로 감지한다.
96. 항목 94의 장치에서, 가스 압력 센서는 산소 함유 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 산소 함유 가스의 부분 압력을 간접적으로 감지한다.
97. 항목 94의 장치에서, 가스 압력 센서는 반사 광학 요소에 근접하여 산소 함유 가스의 부분 압력을 감지하도록 배열된다.
98. EUV 소스의 진공 챔버 내의 반사 표면의 작동 수명을 연장시키는 방법은,
적어도 제1 가스와 산소를 포함하는 제2 가스를 진공 챔버에 공급하도록 동작하는 가스 공급 시스템을 제공하는 단계;
제1 가스와 제2 가스 중 적어도 하나의 진공 챔버 내에서의 부분 압력을 감지하는 단계; 및
감지된 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하도록 가스 공급 시스템을 제어하는 단계를 포함한다.
99. 항목 98의 방법에서, 감지 단계는 제2 가스의 부분 압력을 감지하는 것을 포함한다.
100. 항목 98의 방법에서, 감지 단계는 제1 가스의 부분 압력을 감지하는 것을 포함한다.
101. 항목 98의 방법에서, 제2 가스는 O2를 포함한다.
102. 항목 98의 방법에서, 제2 가스는 H2O를 포함한다.
103. 항목 98의 방법에서, 제2 가스는 H2O2를 포함한다.
104. 항목 98의 방법에서, 제2 가스는 O3를 포함한다.
105. 항목 98의 방법에서, 제2 가스는 CO2를 포함한다.
106. 항목 98의 방법에서, 제2 가스는 공기를 포함한다.
107. 항목 98 내지 항목 106 중 어느 한 항목의 방법에서, 제2 가스는 불활성 가스를 포함한다.
108. 항목 107의 방법에서, 불활성 가스는 아르곤을 포함한다.
109. 항목 107의 방법에서, 불활성 가스는 헬륨을 포함한다.
110. 항목 98 내지 항목 109 중 어느 한 항목의 방법에서, 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함한다.
111. EUV 소스의 진공 챔버 내의 반사 표면의 작동 수명을 연장시키는 방법은,
(a) 산소 함유 가스를 상기 진공 챔버에 공급하는 단계:
(b) 산소 함유 가스의 부분 압력이 제1 값에 도달할 때 진공 챔버로 산소 함유 가스를 공급하는 것을 중단하는 단계:
(c) 산소 함유 가스의 부분 압력이 제1 값보다 작은 제2 값에 도달할 때 더 많은 산소 함유 가스를 진공 챔버에 공급하는 단계:
(d) 산소 함유 가스의 부분 압력이 상기 제2 값보다 큰 제3 값에 도달할 때 진공 챔버로 산소 함유 가스를 공급하는 것을 중단하는 단계: 및
(e) 단계 (c) 및 단계 (d)를 반복하여 산소 함유 가스의 부분 압력을 제1 값과 제2 값 사이에서 유지하는 단계를 포함한다.
112. 항목 111의 방법에서, 제3 값은 제1 값과 실질적으로 동일하다.
113. 항목 111의 방법에서, 산소 함유 가스는 O2를 포함한다.
114. 항목 111의 방법에서, 산소 함유 가스는 H2O를 포함한다.
115. 항목 111의 방법에서, 산소 함유 가스는 H2O2를 포함한다.
116. 항목 111의 방법에서, 산소 함유 가스는 O3를 포함한다.
117. 항목 111의 방법에서, 산소 함유 가스는 CO2를 포함한다.
118. 항목 111의 방법에서, 산소 함유 가스는 공기를 포함한다.
119. 항목 111 내지 항목 118 중 어느 한 항목의 방법에서, 제2 가스는 불활성 가스를 포함한다.
120. 항목 111의 방법에서, 불활성 가스는 아르곤을 포함한다.
121. 항목 111의 방법에서, 불활성 가스는 헬륨을 포함한다.
122. 항목 111 내지 항목 119 중 어느 한 항목의 방법에서, 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함한다.
123. 항목 111의 방법에서, 단계 (b), 단계 (c) 및 단계 (d)의 각각은 진공 챔버 내의 산소 함유 가스의 부분 압력을 감지하는 것을 포함한다.
124. 항목 111의 방법에서, 단계 (b), 단계 (c) 및 단계 (d)의 각각은 진공 챔버 내의 산소 함유 가스의 부분 압력을 직접적으로 감지하는 것을 포함한다.
125. 항목 111의 방법에서, 단계 (b), 단계 (c) 및 단계 (d)의 각각은 산소 함유 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 진공 챔버 내의 산소 함유 가스의 부분 압력을 간접적으로 감지하는 것을 포함한다.
126. 항목 111의 방법에서, 단계 (b), 단계 (c) 및 단계 (d)의 각각은 반사 표면에 근접하여 진공 챔버 내의 산소 함유 가스의 부분 압력을 감지하는 것을 포함한다.

Claims (126)

  1. 진공 챔버;
    상기 진공 챔버 내에 배열된 반사 광학 요소;
    상기 진공 챔버에 가스를 추가하기 위한 가스 분배 시스템으로서, 화학 반응에 의하여 상기 반사 광학 요소의 오염을 경감시키는 완화 가스의 소스와 접속(interfacing)하기 위한 인터페이스를 갖는 가스 분배 시스템; 및
    상기 가스 분배 시스템을 제어하기 위한 가스 제어 시스템으로서, 상기 가스의 소스로부터의 완화 가스가 상기 가스 분배 시스템에 의하여 규제된 방식으로 상기 진공 챔버의 내부로 도입되는 상태를 갖는 가스 제어 시스템을 포함하는 장치.
  2. 제1항에 있어서, 상기 완화 가스는 산소 함유 가스를 포함하는 장치.
  3. 제2항에 있어서, 상기 산소 함유 가스는 O2를 포함하는 장치.
  4. 제2항에 있어서, 상기 산소 함유 가스는 H2O를 포함하는 장치.
  5. 제2항에 있어서, 상기 산소 함유 가스는 O3를 포함하는 장치.
  6. 제2항에 있어서, 상기 산소 함유 가스는 CO2를 포함하는 장치.
  7. 제2항에 있어서, 상기 산소 함유 가스는 공기를 포함하는 장치.
  8. 제1항에 있어서, 상기 반사 광학 요소는 캡핑 층과 복수의 하부 층을 포함하는 다층 미러를 포함하며, 상기 캡핑 층은 상기 하부 층을 손상으로부터 보호하도록 배열된 장치.
  9. 제8항에 있어서, 상기 캡핑 층은 산화물을 포함하는 장치.
  10. 제9항에 있어서, 상기 산화물은 금속 산화물을 포함하는 장치.
  11. 제8항에 있어서, 상기 완화 가스는 산소 함유 가스를 포함하며 상기 캡핑 층은 질화물을 포함하는 장치.
  12. 제8항에 있어서, 상기 캡핑 층은 탄화물을 포함하는 장치.
  13. 제1항에 있어서, 상기 가스 분배 시스템은 상기 캡핑 층의 적어도 일부분을 가로질러 상기 완화 가스의 흐름을 지향시키도록 구성된 장치.
  14. 제1항에 있어서, 상기 가스 분배 시스템은 소정량의 완화 가스를 수소 함유 가스의 흐름에 추가함으로써 상기 가스의 소스로부터 상기 진공 챔버 내로 완화 가스를 추가하도록 구성된 장치.
  15. 제1항에 있어서, 상기 가스 분배 시스템은 상기 완화 가스를 상기 수소 함유 가스의 흐름 내로 블리딩(bleeding)함으로써 상기 완화 가스를 상기 수소 함유 가스에 추가하도록 구성된 장치.
  16. 제1항에 있어서, 상기 가스 분배 시스템은 상기 완화 가스를 불활성 가스와 혼합시켜 적어도 0.1% 완화 가스를 함유하는 혼합물을 얻도록 구성된 장치.
  17. 제1항에 있어서, 상기 가스 분배 시스템은 상기 완화 가스를 불활성 가스와 혼합시키도록 구성된 장치.
  18. 제17항에 있어서, 상기 완화 가스는 O2를 포함하며 상기 불활성 가스는 아르곤을 포함하는 장치.
  19. 제17항에 있어서, 상기 완화 가스는 H2O를 포함하는 장치.
  20. 제17항에 있어서, 상기 완화 가스는 CO2를 포함하는 장치.
  21. 제17항에 있어서, 상기 완화 가스는 O3를 포함하는 장치.
  22. 제17항에 있어서, 상기 완화 가스는 공기를 포함하는 장치.
  23. 제1항에 있어서, 상기 완화 가스는 수증기를 포함하는 장치.
  24. 제1항에 있어서, 상기 가스 분배 시스템은 상기 완화 가스를 불활성 가스와 혼합하여 약 10-5 밀리바(mbar)의 불활성 가스와 10-7 mbar의 완화 가스를 포함하는 혼합물을 형성하도록 구성된 장치.
  25. 제1항에 있어서, 상기 반사 광학 요소는 캡핑 층을 가지며 상기 가스 분배 시스템은 캡핑 층의 적어도 일부분을 가로질러 상기 완화 가스를 함유하는 가스의 흐름을 생성하도록 배열된 적어도 하나의 배출구를 갖는 장치.
  26. 제1항에 있어서, 상기 가스 제어 시스템은 완화 가스를 연속적으로 추가하도록 구성된 장치.
  27. 제1항에 있어서, 상기 가스 제어 시스템은 플라즈마가 생성되고 있는 동안 인라인으로(in-line)으로 상기 완화 가스를 추가하도록 구성된 장치.
  28. 제1항에 있어서, 상기 가스 제어 시스템은 상기 완화 가스의 투여량들을 간헐적으로 추가하도록 구성된 장치.
  29. 제1항에 있어서, 상기 장치는 상기 광학 요소의 반사율을 감지하고 상기 반사율을 나타내는 신호를 생성하도록 배열된 센서를 더 포함하며, 상기 가스 제어 시스템은 신호를 수신하도록 배열되고 상기 감지된 반사율에 적어도 부분적으로 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어하도록 구성된 장치.
  30. 제1항에 있어서, 상기 장치는 상기 반사 광학 요소에 인접한 완화 가스 농도를 감지하고 완화 가스 농도를 나타내는 신호를 생성하도록 배열된 센서를 더 포함하며, 상기 가스 제어 시스템은 신호를 수신하고 상기 반사 광학 요소에 인접한 상기 완화 가스 농도에 적어도 부분적으로 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어하도록 배열된 장치.
  31. 제1항에 있어서, 상기 반사 광학 요소는 EUV 방사선의 EUV 소스의 일부이며, 상기 가스 제어 시스템은 상기 EUV 소스의 작동 기간에 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어하도록 구성된 장치.
  32. 제1항에 있어서, 상기 반사 광학 요소는 EUV 방사선의 EUV 소스의 일부이며, 상기 가스 제어 시스템은 상기 EUV 소스에 의하여 생성된 EUV 방사선의 펄스들의 수에 기초하여 완화 가스 농도와 완화 가스 조성 중 적어도 하나를 제어하도록 동작하는 장치.
  33. 제1항에 있어서, 상기 진공 챔버 내에서 가스 흐름을 지향시키기 위해 상기 진공 챔버 내에 위치된 복수의 구조체를 더 포함하며, 상기 구조체 위로 완화 가스를 흐르게 하도록 배열된 제2 가스 분배 시스템을 더 포함하는 장치.
  34. 진공 챔버;
    상기 진공 챔버 내에 배열된 반사 광학 요소;
    질소 가스를 상기 진공 챔버에 추가하기 위한 일차 가스 분배 시스템;
    화학 반응에 의하여 상기 반사 광학 요소의 오염을 경감시키는 완화 가스를 상기 진공 챔버에 추가하기 위한 이차 가스 분배 시스템; 및
    상기 일차 가스 분배 시스템과 상기 이차 가스 분배 시스템을 제어하기 위한 가스 제어 시스템으로서, 상기 완화 가스가 상기 이차 가스 분배 시스템에 의하여 규제된 방식으로 상기 진공 챔버의 내부로 도입되는 상태를 갖는 가스 제어 시스템을 포함하는 장치.
  35. 진공 챔버 및 상기 진공 챔버 내에 위치된 반사 광학 요소를 포함하는 EUV 광 소스의 작동 매개변수를 측정하는 단계; 및
    측정된 바와 같은 상기 작동 매개변수에 적어도 부분적으로 기초하여, 화학 반응에 의하여 상기 반사 광학 요소의 오염을 경감시키는 완화 가스의 상기 진공 챔버로의 추가를 제어하는 단계를 포함하는 방법.
  36. 제35항에 있어서, 완화 가스의 추가를 제어하는 단계는 상기 완화 가스의 농도를 제어하는 것을 포함하는 방법.
  37. 제35항에 있어서, 완화 가스의 추가를 제어하는 단계는 완화 가스의 유속을 제어하는 것을 포함하는 방법.
  38. 제35항에 있어서, 완화 가스의 추가를 제어하는 단계는 완화 가스의 조성을 제어하는 것을 포함하는 방법.
  39. 제35항에 있어서, 상기 작동 매개변수는 상기 광학 요소의 반사율인 방법.
  40. 제35항에 있어서, 상기 작동 매개변수는 상기 광학 요소에 인접한 상기 완화 가스의 농도인 방법.
  41. 제35항에 있어서, 상기 작동 매개변수는 상기 EUV 광 소스의 작동 기간인 방법.
  42. 제35항에 있어서, 상기 작동 매개변수는 사전 규정된 시작 시간 이후 상기 EUV 광 소스에 의하여 생성된 EUV 펄스들의 수인 방법.
  43. 진공 챔버;
    상기 진공 챔버 내에 배열된 반사 광학 요소;
    상기 진공 챔버 내로의 가스의 흐름을 선택적으로 허용하는 유입구를 갖는 가스 분배 시스템;
    상기 유입구와 선택 가능한 유체 연통 상태에 있는 상기 반사 광학 요소의 오염을 화학 반응에 의하여 경감시키는 완화 가스의 소스;
    상기 유입구와 열 연통 상태에 있으며, 상기 유입구를 통해 흐르는 가스를, 상기 가스의 흐름 내의 가스의 적어도 일부 내에서 라디칼을 생성하기에 충분한 온도로 가열하도록 배열된 적어도 하나의 가열 요소; 및
    상기 가스 분배 시스템과 상기 적어도 하나의 가열 요소를 제어하도록 구성된 가스 제어 시스템을 포함하며,
    상기 가스 제어 시스템은 상기 가스 제어 시스템이 상기 완화 가스를 상기 유입구를 통해 상기 진공 챔버로 유입되게 하는 제1 상태와 상기 가스 제어 시스템이 상기 적어도 하나의 가열 요소를 활성화되게 하는 제2 상태를 갖는 장치.
  44. 제43항에 있어서, 상기 적어도 하나의 가열 요소는 적어도 하나의 필라멘트를 포함하는 장치.
  45. 제43항에 있어서, 상기 완화 가스는 산소 함유 가스를 포함하는 장치.
  46. 제45항에 있어서, 상기 산소 함유 가스는 O2를 포함하는 장치.
  47. 제45항에 있어서, 상기 산소 함유 가스는 H2O를 포함하는 장치.
  48. 제45항에 있어서, 상기 산소 함유 가스는 O3를 포함하는 장치.
  49. 제45항에 있어서, 상기 산소 함유 가스는 CO2를 포함하는 장치.
  50. 제45항에 있어서, 상기 산소 함유 가스는 공기를 포함하는 장치.
  51. 제43항에 있어서, 상기 완화 가스는 상기 반사 광학 요소의 반사 표면의 적어도 일부분을 가로질러 흐르도록 동작하는 장치.
  52. 제43항에 있어서, 상기 가스 분배 시스템은 소정량의 완화 가스를 수소 함유 가스의 흐름에 추가함으로써 완화 가스를 가스의 소스로부터 상기 진공 챔버 내로 도입하도록 동작하는 장치.
  53. 제43항에 있어서, 상기 가스 분배 시스템은 완화 가스를 수소 함유 가스의 흐름 내로 블리딩함으로써 완화 가스를 상기 가스의 소스로부터 상기 진공 챔버로 도입하도록 구성된 장치.
  54. 제43항에 있어서, 상기 유입구는 상기 반사 광학 요소의 중앙 구멍과 동축적으로 그리고 그 주위에 배치된 원추형 요소를 포함하는 장치.
  55. 제54항에 있어서, 상기 원추형 요소의 외측 원주 주위에 둘레로 배열된 다수의 가열 요소를 더 포함하는 장치.
  56. 제54항에 있어서, 상기 복수의 가열 요소의 각각은 적어도 하나의 필라멘트를 포함하는 장치.
  57. 극자외선 소스 내의 반사 광학 요소의 반사 표면의 타겟 물질 오염을 감소시키는 방법에 있어서,
    상기 반사 표면을 가로질러 수소의 우산형 흐름을 구축하는 단계;
    제1 시간 간격 동안 상기 우산형 흐름 내의 수소의 적어도 일부분을 이온화시키는 단계;
    상기 제1 시간 간격과 구별되는 제2 시간 간격 동안 미리설정된 양의 완화 가스를 상기 우산형 흐름에 추가하는 단계; 및
    상기 이온화 단계와 상기 추가 단계를 여러 번 교대로 반복하는 단계를 포함하는 방법.
  58. 진공 챔버;
    상기 진공 챔버 내에 배열된 반사 광학 요소;
    상기 진공 챔버 내로의 가스의 흐름을 선택적으로 허용하는 유입구를 가지며, 상기 유입구와 선택 가능한 유체 연통 상태에 라디칼을 함유하는 가스의 소스를 위치시키도록 구성된 가스 분배 시스템;
    상기 유입구와 선택 가능한 유체 연통 상태에 있는 상기 반사 광학 요소의 오염을 화학 반응에 의하여 경감시키는 완화 가스의 소스; 및
    상기 가스 분배 시스템을 제어하도록 구성된 가스 제어 시스템을 포함하며,
    상기 가스 제어 시스템은 상기 가스 제어 시스템이 상기 완화 가스를 상기 유입구를 통해 상기 진공 챔버 내로 유입되게 하는 제1 상태와 상기 가스 제어 시스템이 라디칼을 함유하는 가스를 상기 유입구를 통해 상기 진공 챔버 내로 도입되게 하는 제2 상태를 갖는 장치.
  59. 제58항에 있어서, 라디칼을 함유하는 가스의 소스는, 상기 유입구와 열 연통 상태에 있으며 상기 유입구를 통해 흐르는 가스의 흐름을 상기 가스의 흐름 내의 가스의 적어도 일부 내에서 라디칼을 생성하기에 충분한 온도로 가열하여 라디칼을 함유하는 가스를 생성하도록 배열된 적어도 하나의 가열 요소를 포함하는 장치.
  60. 제58항에 있어서, 라디칼을 함유하는 상기 가스의 소스는 가스가 상기 유입구에 도달하기 전에 가스 내에 라디칼을 생성하도록 배열된 플라즈마 소스를 포함하는 장치.
  61. 극자외선 소스 내의 진공 챔버 내의 반사 광학 요소의 반사 표면의 타겟 물질 오염을 감소시키는 방법에 있어서,
    (a) 상기 진공 챔버 내로의 완화 가스의 흐름을 시작하는 단계;
    (b) 상기 진공 챔버 내로의 완화 가스의 흐름을 중단하는 단계;
    (c) 상기 진공 챔버 내로의 라디칼을 함유하는 가스의 흐름을 시작하는 단계; 및
    (d) 상기 진공 챔버 내로의 라디칼을 함유하는 가스의 흐름을 중단시키는 단계를 포함하며,
    단계 (a) 내지 단계 (d)는 순서대로 복수 회 반복되는 방법.
  62. 진공 챔버;
    상기 진공 챔버 내에 배열된 반사 광학 요소;
    적어도 제1 가스와 산소를 포함하는 제2 가스를 상기 진공 챔버에 공급하도록 동작하는 가스 공급 시스템; 및
    상기 진공 챔버 내에서 감지된, 상기 제1 가스와 상기 제2 가스 중 적어도 하나의 부분 압력에 적어도 부분적으로 기초하여 상기 제2 가스를 공급하기 위해 상기 가스 공급 시스템을 제어하도록 동작하는 제어 시스템을 포함하는 장치.
  63. 제62항에 있어서, 상기 반사 광학 요소는 산화물을 포함하는 캡핑 층을 포함하는 장치.
  64. 제63항에 있어서, 상기 산화물은 금속 산화물을 포함하는 장치.
  65. 제62항에 있어서, 상기 제어 시스템은 상기 진공 챔버 내에서 감지된, 상기 제2 가스의 부분 압력에 적어도 부분적으로 기초하여 상기 제2 가스를 공급하기 위해 상기 가스 공급 시스템을 제어하도록 동작하는 장치.
  66. 제62항에 있어서, 상기 제어 시스템은 상기 진공 챔버 내에서 감지된, 상기 제1 가스의 부분 압력에 적어도 부분적으로 기초하여 상기 제2 가스를 공급하기 위해 상기 가스 공급 시스템을 제어하도록 동작하는 장치.
  67. 제62항에 있어서, 상기 제2 가스는 O2를 포함하는 장치.
  68. 제62항에 있어서, 상기 제2 가스는 H2O를 포함하는 장치.
  69. 제62항에 있어서, 상기 제2 가스는 H2O2를 포함하는 장치.
  70. 제62항에 있어서, 상기 제2 가스는 O3를 포함하는 장치.
  71. 제62항에 있어서, 상기 제2 가스는 CO2를 포함하는 장치.
  72. 제62항에 있어서, 상기 제2 가스는 공기를 포함하는 장치.
  73. 제62항 내지 제72항 중 어느 한 항에 있어서, 상기 제2 가스는 불활성 가스를 포함하는 장치.
  74. 제73항에 있어서, 상기 불활성 가스는 아르곤을 포함하는 장치.
  75. 제73항에 있어서, 상기 불활성 가스는 헬륨을 포함하는 장치.
  76. 제62항 내지 제72항 중 어느 한 항에 있어서, 상기 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함하는 장치.
  77. 제62항에 있어서, 상기 장치는 상기 진공 챔버 내의 상기 제2 가스의 부분 압력을 감지하도록 그리고 상기 제어 시스템으로의 부분 압력을 나타내는 제1 신호를 생성하도록 배열된 가스 압력 센서를 더 포함하며, 상기 제어 시스템은 상기 제1 신호에 적어도 부분적으로 기초하여 상기 제2 가스의 공급을 제어하는 장치.
  78. 제77항에 있어서, 상기 가스 압력 센서는 상기 제2 가스의 부분 압력을 직접적으로 감지하는 장치.
  79. 제77항에 있어서, 상기 가스 압력 센서는 상기 제2 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 산소 함유 가스의 부분 압력을 간접적으로 감지하는 장치.
  80. 진공 챔버;
    상기 진공 챔버 내에 배열된 반사 광학 요소;
    상기 진공 챔버에 가스를 추가하기 위한 가스 분배 시스템으로서, 산소 함유 가스의 소스와 접속하기 위한 인터페이스를 포함하는 가스 분배 시스템; 및
    산소 함유 가스의 부분 압력이 제1 값에 도달할 때까지 산소 함유 가스를 상기 진공 챔버에 공급하고, 산소 함유 가스의 부분 압력이 상기 제1 값보다 작은 제2 값에 도달할 때까지 상기 진공 챔버로의 산소 함유 가스의 공급을 중단시키고, 그리고 산소 함유 가스의 부분 압력이 상기 제2 값보다 큰 제3 값에 도달할 때까지 상기 진공 챔버로의 산소 함유 가스의 공급을 다시 시작하기 위해 상기 가스 분배 시스템을 제어하도록 구성되는 가스 제어 시스템을 포함하는 장치.
  81. 제80항에 있어서, 상기 반사 광학 요소는 캡핑 층 및 복수의 하부 층을 포함하는 다층 미러를 포함하고, 상기 캡핑 층은 손상으로부터 상기 하부 층을 보호하도록 구성되고 배열되며, 상기 캡핑 층은 산화물을 포함하는 장치.
  82. 제81항에 있어서, 상기 산화물은 금속 산화물을 포함하는 장치.
  83. 제80항에 있어서, 상기 제3 값은 상기 제1 값과 실질적으로 동일한 장치.
  84. 제80항에 있어서, 상기 산소 함유 가스는 O2를 포함하는 장치.
  85. 제80항에 있어서, 상기 산소 함유 가스는 H2O를 포함하는 장치.
  86. 제80항에 있어서, 상기 산소 함유 가스는 H2O2를 포함하는 장치.
  87. 제80항에 있어서, 상기 산소 함유 가스는 O3를 포함하는 장치.
  88. 제80항에 있어서, 상기 산소 함유 가스는 CO2를 포함하는 장치.
  89. 제80항에 있어서, 상기 산소 함유 가스는 공기를 포함하는 장치.
  90. 제80항 내지 제89항 중 어느 한 항에 있어서, 상기 제2 가스는 불활성 가스를 포함하는 장치.
  91. 제90항에 있어서, 상기 불활성 가스는 아르곤을 포함하는 장치.
  92. 제90항에 있어서, 상기 불활성 가스는 헬륨을 포함하는 장치.
  93. 제80항 내지 제92항 중 어느 한 항에 있어서, 상기 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함하는 장치.
  94. 제80항에 있어서, 상기 장치는 상기 진공 챔버 내의 산소 함유 가스의 부분 압력을 감지하도록 그리고 상기 가스 제어 시스템으로의 부분 압력을 나타내는 제1 신호를 생성하도록 배열된 가스 압력 센서를 더 포함하며, 상기 가스 제어 시스템은 상기 제1 신호에 적어도 부분적으로 기초하여 산소 함유 가스의 공급을 제어하는 장치.
  95. 제94항에 있어서, 상기 가스 압력 센서는 상기 산소 함유 가스의 부분 압력을 직접적으로 감지하는 장치.
  96. 제94항에 있어서, 상기 가스 압력 센서는 산소 함유 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 산소 함유 가스의 부분 압력을 간접적으로 감지하는 장치.
  97. 제94항에 있어서, 상기 가스 압력 센서는 상기 반사 광학 요소에 근접하여 산소 함유 가스의 부분 압력을 감지하도록 배열된 장치.
  98. EUV 소스의 진공 챔버 내의 반사 표면의 작동 수명을 연장시키는 방법에 있어서,
    적어도 제1 가스와 산소를 포함하는 제2 가스를 상기 진공 챔버에 공급하도록 동작하는 가스 공급 시스템을 제공하는 단계;
    상기 제1 가스와 상기 제2 가스 중 적어도 하나의 상기 진공 챔버 내에서의 부분 압력을 감지하는 단계; 및
    감지된 부분 압력에 적어도 부분적으로 기초하여 제2 가스를 공급하도록 가스 공급 시스템을 제어하는 단계를 포함하는 방법.
  99. 제98항에 있어서, 상기 감지 단계는 상기 제2 가스의 부분 압력을 감지하는 것을 포함하는 방법.
  100. 제98항에 있어서, 상기 감지 단계는 상기 제1 가스의 부분 압력을 감지하는 것을 포함하는 방법.
  101. 제98항에 있어서, 상기 제2 가스는 O2를 포함하는 방법.
  102. 제98항에 있어서, 상기 제2 가스는 H2O를 포함하는 방법.
  103. 제98항에 있어서, 상기 제2 가스는 H2O2를 포함하는 방법.
  104. 제98항에 있어서, 상기 제2 가스는 O3를 포함하는 방법.
  105. 제98항에 있어서, 상기 제2 가스는 CO2를 포함하는 방법.
  106. 제98항에 있어서, 상기 제2 가스는 공기를 포함하는 방법.
  107. 제98항 내지 제106항 중 어느 한 항에 있어서, 상기 제2 가스는 불활성 가스를 포함하는 방법.
  108. 제107항 있어서, 상기 불활성 가스는 아르곤을 포함하는 방법.
  109. 제107항 있어서, 상기 불활성 가스는 헬륨을 포함하는 방법.
  110. 제98항 내지 제109항 중 어느 한 항에 있어서, 상기 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함하는 방법.
  111. EUV 소스의 진공 챔버 내의 반사 표면의 작동 수명을 연장시키는 방법에 있어서,
    (a) 산소 함유 가스를 상기 진공 챔버에 공급하는 단계:
    (b) 상기 산소 함유 가스의 부분 압력이 제1 값에 도달할 때 상기 진공 챔버로 상기 산소 함유 가스를 공급하는 것을 중단하는 단계:
    (c) 상기 산소 함유 가스의 부분 압력이 상기 제1 값보다 작은 제2 값에 도달할 때 더 많은 산소 함유 가스를 상기 진공 챔버에 공급하는 단계:
    (d) 상기 산소 함유 가스의 부분 압력이 상기 제2 값보다 큰 제3 값에 도달할 때 상기 진공 챔버로 산소 함유 가스를 공급하는 것을 중단하는 단계: 및
    (e) 상기 단계 (c) 및 단계 (d)를 반복하여 상기 산소 함유 가스의 부분 압력을 상기 제1 값과 상기 제2 값 사이에서 유지하는 단계를 포함하는 방법.
  112. 제111항에 있어서, 상기 제3 값은 상기 제1 값과 실질적으로 동일한 방법.
  113. 제111항에 있어서, 상기 산소 함유 가스는 O2를 포함하는 방법.
  114. 제111항에 있어서, 상기 산소 함유 가스는 H2O를 포함하는 방법.
  115. 제111항에 있어서, 상기 산소 함유 가스는 H2O2를 포함하는 방법.
  116. 제111항에 있어서, 상기 산소 함유 가스는 O3를 포함하는 방법.
  117. 제111항에 있어서, 상기 산소 함유 가스는 CO2를 포함하는 방법.
  118. 제111항에 있어서, 상기 산소 함유 가스는 공기를 포함하는 방법.
  119. 제111항 내지 제118항 중 어느 한 항에 있어서, 상기 제2 가스는 불활성 가스를 포함하는 방법.
  120. 제119항에 있어서, 상기 불활성 가스는 아르곤을 포함하는 방법.
  121. 제119항에 있어서, 상기 불활성 가스는 헬륨을 포함하는 방법.
  122. 제111항 내지 제119항 중 어느 한 항에 있어서, 상기 제2 가스는 적어도 0.1%의 산소 함유 가스와 혼합된 불활성 가스를 포함하는 방법.
  123. 제111항에 있어서, 상기 단계 (b), 단계 (c) 및 단계 (d)의 각각은 상기 진공 챔버 내의 상기 산소 함유 가스의 부분 압력을 감지하는 것을 포함하는 방법.
  124. 제111항에 있어서, 상기 단계 (b), 단계 (c) 및 단계 (d)의 각각은 상기 진공 챔버 내의 상기 산소 함유 가스의 부분 압력을 직접적으로 감지하는 것을 포함하는 방법.
  125. 제111항에 있어서, 상기 단계 (b), 단계 (c) 및 단계 (d)의 각각은 상기 산소 함유 가스 이외의 적어도 하나의 가스의 부분 압력을 감지함으로써 상기 진공 챔버 내의 상기 산소 함유 가스의 부분 압력을 간접적으로 감지하는 것을 포함하는 방법.
  126. 제111항에 있어서, 상기 단계 (b), 단계 (c) 및 단계 (d)의 각각은 상기 반사 표면에 근접하여 상기 진공 챔버 내의 상기 산소 함유 가스의 부분 압력을 감지하는 것을 포함하는 방법.
KR1020207025275A 2018-03-05 2019-02-28 Euv 리소그래피 시스템 내에서의 광학 요소 수명 연장 KR20200125942A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201862638778P 2018-03-05 2018-03-05
US62/638,778 2018-03-05
US201862736108P 2018-09-25 2018-09-25
US62/736,108 2018-09-25
US201962803057P 2019-02-08 2019-02-08
US62/803,057 2019-02-08
PCT/EP2019/054924 WO2019170503A1 (en) 2018-03-05 2019-02-28 Prolonging optical element lifetime in an euv lithography system

Publications (1)

Publication Number Publication Date
KR20200125942A true KR20200125942A (ko) 2020-11-05

Family

ID=65635685

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207025275A KR20200125942A (ko) 2018-03-05 2019-02-28 Euv 리소그래피 시스템 내에서의 광학 요소 수명 연장

Country Status (7)

Country Link
US (3) US11340532B2 (ko)
JP (2) JP7337819B2 (ko)
KR (1) KR20200125942A (ko)
CN (1) CN111837076A (ko)
NL (1) NL2022644A (ko)
TW (1) TWI826422B (ko)
WO (1) WO2019170503A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017207030A1 (de) * 2017-04-26 2018-10-31 Carl Zeiss Smt Gmbh Verfahren zur Reinigung von optischen Elementen für den ultravioletten Wellenlängenbereich
DE102017221143A1 (de) * 2017-11-27 2019-05-29 Carl Zeiss Smt Gmbh Anordnung für eine EUV-Lithographieanlage
NL2022644A (en) * 2018-03-05 2019-09-10 Asml Netherlands Bv Prolonging optical element lifetime in an euv lithography system
NL2024042A (en) 2018-10-22 2020-05-07 Asml Netherlands Bv Apparatus for and method of reducing contamination from source material in an euv light source
KR20220106751A (ko) * 2019-11-27 2022-07-29 에이에스엠엘 네델란즈 비.브이. 광학 시스템을 위한 억제제 물질
US11740565B2 (en) * 2019-12-23 2023-08-29 Asml Netherlands B.V. Collector flow ring
JP7359123B2 (ja) * 2020-10-12 2023-10-11 ウシオ電機株式会社 極端紫外光光源装置および受け板部材の保護方法
WO2023110313A1 (en) * 2021-12-17 2023-06-22 Asml Netherlands B.V. Method and system for preventing degradation of a material of an optical component for euv-lithography
WO2024022796A1 (en) * 2022-07-26 2024-02-01 Asml Netherlands B.V. Apparatus for and method of supplying gas to a lithography system
DE102022208239A1 (de) 2022-08-08 2024-02-08 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Sensorischen Messung Chemischer und/oder Physikalischer Eigenschaften einer Klebeschicht und/oder eines die Klebeschicht Kontaktierenden Mediums, sowie Verfahren zur Herstellung einer entsprechenden Vorrichtung und Verfahren zur Sensorischen Messung

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU3957599A (en) 1998-05-29 1999-12-20 Nikon Corporation Laser-excited plasma light source, exposure apparatus and its manufacturing method, and device manufacturing method
US6385290B1 (en) 1998-09-14 2002-05-07 Nikon Corporation X-ray apparatus
DE10061248B4 (de) 2000-12-09 2004-02-26 Carl Zeiss Verfahren und Vorrichtung zur In-situ-Dekontamination eines EUV-Lithographiegerätes
US6664554B2 (en) * 2001-01-03 2003-12-16 Euv Llc Self-cleaning optic for extreme ultraviolet lithography
US6772776B2 (en) 2001-09-18 2004-08-10 Euv Llc Apparatus for in situ cleaning of carbon contaminated surfaces
US6724460B2 (en) * 2001-11-19 2004-04-20 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing method, device manufactured thereby, cleaning unit and method of cleaning contaminated objects
DE10209493B4 (de) * 2002-03-07 2007-03-22 Carl Zeiss Smt Ag Verfahren zur Vermeidung von Kontamination auf optischen Elementen, Vorrichtung zur Regelung von Kontamination auf optischen Elementen und EUV-Lithographievorrichtung
US20040105084A1 (en) * 2002-09-30 2004-06-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10321103A1 (de) 2003-05-09 2004-12-02 Carl Zeiss Smt Ag Verfahren zur Vermeidung von Kontamination und EUV-Lithographievorrichtung
US8945310B2 (en) * 2003-05-22 2015-02-03 Koninklijke Philips Electronics N.V. Method and device for cleaning at least one optical component
JP2005332972A (ja) 2004-05-20 2005-12-02 Nikon Corp 光学素子、光学装置、及び半導体デバイスの製造方法
US20070030466A1 (en) * 2004-08-09 2007-02-08 Nikon Corporation Exposure apparatus control method, exposure method and apparatus using the control method, and device manufacturing method
US8097092B2 (en) * 2005-06-21 2012-01-17 Kninklijke Philips Electronics N.V. Method of cleaning and after treatment of optical surfaces in an irradiation unit
US7504643B2 (en) * 2005-12-22 2009-03-17 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7667820B2 (en) * 2006-01-17 2010-02-23 Asml Netherlands B.V. Method for chemical reduction of an oxidized contamination material, or reducing oxidation of a contamination material and a conditioning system for doing the same
JP2008263173A (ja) * 2007-03-16 2008-10-30 Canon Inc 露光装置
JP2009016640A (ja) * 2007-07-06 2009-01-22 Ushio Inc 極端紫外光光源装置及び極端紫外光集光鏡のクリーニング方法
JP2009295800A (ja) * 2008-06-05 2009-12-17 Komatsu Ltd Euv光発生装置における集光ミラーのクリーニング方法および装置
US20100071720A1 (en) * 2008-09-19 2010-03-25 Carl Zeiss Smt Ag Method and system for removing contaminants from a surface
US8723147B2 (en) 2009-04-02 2014-05-13 ETH Zürich Extreme ultraviolet light source with a debris-mitigated and cooled collector optics
DE102009045170A1 (de) 2009-09-30 2011-04-07 Carl Zeiss Smt Gmbh Reflektives optisches Element und Verfahren zum Betrieb einer EUV-Lithographievorrichtung
KR101790074B1 (ko) 2010-02-09 2017-10-25 에이에스엠엘 네델란즈 비.브이. 방사선 소스, 리소그래피 장치 및 디바이스 제조 방법
WO2011131431A1 (en) 2010-04-22 2011-10-27 Asml Netherlands B.V. Collector mirror assembly and method for producing extreme ultraviolet radiation
US8633459B2 (en) 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
US9516730B2 (en) * 2011-06-08 2016-12-06 Asml Netherlands B.V. Systems and methods for buffer gas flow stabilization in a laser produced plasma light source
JP2013135033A (ja) * 2011-12-26 2013-07-08 Gigaphoton Inc 極端紫外光生成装置
DE102012213927A1 (de) 2012-08-07 2013-06-06 Carl Zeiss Smt Gmbh Vorrichtung zur Erzeugung eines Gasvorhangs, Gasdüse und EUV-Lithographiesystem damit
JP2014086516A (ja) * 2012-10-22 2014-05-12 Canon Inc ラジカルを供給する供給装置、リソグラフィ装置、及び物品の製造方法
US8764905B1 (en) 2013-03-14 2014-07-01 Intel Corporation Cleaning organic residues from EUV optics and masks
US9557650B2 (en) 2013-09-09 2017-01-31 Asml Netherlands B.V. Transport system for an extreme ultraviolet light source
EP2905637A1 (en) * 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
DE102014204660A1 (de) * 2014-03-13 2015-09-17 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
DE102014114572A1 (de) * 2014-10-08 2016-04-14 Asml Netherlands B.V. EUV-Lithographiesystem und Betriebsverfahren dafür
US9776218B2 (en) 2015-08-06 2017-10-03 Asml Netherlands B.V. Controlled fluid flow for cleaning an optical element
US10459352B2 (en) * 2015-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
US9888554B2 (en) * 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
DE102016125695A1 (de) 2016-12-23 2018-01-25 Asml Netherlands B.V. Verfahren zum Betrieb eines EUV – Lithographiesystems zur Vermeidung des chemischen Angriffs von Komponenten des EUV – Lithographiesystems durch Wasserstoff
NL2020238A (en) 2017-01-06 2018-07-23 Asml Netherlands Bv Guiding device and associated system
DE102017211539A1 (de) * 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
DE102017214215A1 (de) * 2017-08-15 2019-02-21 Carl Zeiss Smt Gmbh Verfahren zum betreiben einer optischen anlage und optische anlage
WO2019150441A1 (ja) * 2018-01-30 2019-08-08 ギガフォトン株式会社 極端紫外光生成装置及び電子デバイスの製造方法
NL2022644A (en) * 2018-03-05 2019-09-10 Asml Netherlands Bv Prolonging optical element lifetime in an euv lithography system
US10631392B2 (en) * 2018-04-30 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV collector contamination prevention

Also Published As

Publication number Publication date
US11846887B2 (en) 2023-12-19
NL2022644A (en) 2019-09-10
US11340532B2 (en) 2022-05-24
JP7337819B2 (ja) 2023-09-04
TW201945856A (zh) 2019-12-01
CN111837076A (zh) 2020-10-27
US20240160109A1 (en) 2024-05-16
US20220291591A1 (en) 2022-09-15
US20210109452A1 (en) 2021-04-15
JP2023165699A (ja) 2023-11-17
WO2019170503A1 (en) 2019-09-12
TWI826422B (zh) 2023-12-21
JP2021515906A (ja) 2021-06-24

Similar Documents

Publication Publication Date Title
KR20200125942A (ko) Euv 리소그래피 시스템 내에서의 광학 요소 수명 연장
US8076655B2 (en) Method of cleaning optical surfaces of an irradiation unit in a two-step process
US8980009B2 (en) Method for removing a contamination layer from an optical surface and arrangement therefor
EP1896197B1 (en) Method of cleaning and after treatment of optical surfaces in an irradiation unit
JP5819993B2 (ja) Euv光源内の光学系洗浄のためのシステム及び方法
US10635002B2 (en) Faceted EUV optical element
US20080304031A1 (en) Exposure apparatus
US20220338333A1 (en) Euv light source and apparatus for lithography
CN110967937A (zh) 操作极紫外光产生装置的方法及极紫外辐射产生装置
US8980533B2 (en) Supply apparatus which supplies radicals, lithography apparatus, and method of manufacturing article
JP5381607B2 (ja) 極端紫外光利用装置
KR20130129899A (ko) Euv 리소그래피 장치용 구성요소들, 이러한 구성요소들을 포함하는 euv 리소그래피 장치, 및 이러한 구성요소들을 제조하는 방법
WO2020086478A1 (en) Apparatus for and method of reducing contamination from source material in an euv light source
WO2019115144A1 (en) Regeneration of a debris flux measurement system in a vacuum vessel

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal