KR20200118906A - 오버레이 및 에지 배치 에러들의 계측 및 제어 - Google Patents

오버레이 및 에지 배치 에러들의 계측 및 제어 Download PDF

Info

Publication number
KR20200118906A
KR20200118906A KR1020207028542A KR20207028542A KR20200118906A KR 20200118906 A KR20200118906 A KR 20200118906A KR 1020207028542 A KR1020207028542 A KR 1020207028542A KR 20207028542 A KR20207028542 A KR 20207028542A KR 20200118906 A KR20200118906 A KR 20200118906A
Authority
KR
South Korea
Prior art keywords
overlay
optical
target
features
tool
Prior art date
Application number
KR1020207028542A
Other languages
English (en)
Other versions
KR102450009B1 (ko
Inventor
안드레이 브이. 쉬체그로브
프랭크 라스케
나다브 구트만
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200118906A publication Critical patent/KR20200118906A/ko
Application granted granted Critical
Publication of KR102450009B1 publication Critical patent/KR102450009B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Inspection Of Paper Currency And Valuable Securities (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Paper (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

오버레이 계측 시스템은 광학적으로 분해가능한 피처(optically-resolvable features)와 디바이스-스케일 피처(device-scale features)를 포함하는 하이브리드 오버레이 타겟에 대해 광학적으로 분해가능한 피처에 기초한 광학 오버레이 측정과 디바이스-스케일 피처에 기초한 디바이스-스케일 오버레이 측정 사이의 차이를 측정함으로써 광학 툴 에러 조정을 생성하고; 디바이스 영역 내의 피처의 위치에 기초하여 하이브리드 오버레이 타겟에 대한 타겟-대-디바이스 조정을 생성하고; 상기 광학 오버레이 측정, 광학 툴 에러 조정 또는 타겟-대-디바이스 조정 중 적어도 하나에 기초하여 디바이스 영역에서 하나 이상의 위치에 대한 디바이스-관련 오버레이 측정을 결정하고; 상기 디바이스-관련 오버레이 측정에 기초하여 적어도 하나의 후속 노출에 대한 노출 조건을 수정하기 위해 리소그래피 툴에 디바이스 영역에 대한 오버레이 정정가능치들(correctables)을 제공, 하기 위한 컨트롤러를 포함할 수 있다.

Description

오버레이 및 에지 배치 에러들의 계측 및 제어
본 발명은 일반적으로 오버레이 계측에 관한 것으로, 특히 광학 계측 툴을 사용하여 디바이스-관련 오버레이 계측을 결정하는 것에 관한 것이다.
관련 출원에 대한 상호 참조
본 출원은 발명자 Andrei V. Shchegrov, Frank Laske 및 Nadav Gutman, 발명의 명칭 "반도체 디바이스의 오버레이 및 에지 배치 에러의 계측 및 제어를 위한 시스템 및 방법(SYSTEMS AND METHODS FOR METROLOGY AND CONTROL OF OVERLAY AND EDGE PLACEMENT ERRORS FOR SEMICONDUCTOR DEVICES)"인 2018년 3월 5일에 출원된 미국 가출원 No. 62/638,900에 대해 미국 특허법 35 U.S.C. §119(e)하의 이익을 주장하며, 이는 본원에 참조로 그 전체가 편입된다.
반도체 제조는 전형적으로 일부 또는 모든 층들이 제조된 피처(features)를 포함하는 구조(structure) 상에 다수의 층을 제조하는 것을 필요로 한다. 오버레이 계측(overlay metrology)은 샘플의 다양한 층에서 구조들의 상대적 위치를 측정하는 것으로, 이는 제조된 디바이스의 성능에 대단히 중요하며 일반적으로 엄격한 공차(tolerances) 내로 제어되어야 한다. 예를 들어, 오버레이 계측은 제조 툴의 층별 정렬(layer-by-layer alignment)의 척도(measure)로 서로 다른 샘플 층 상의 피처들(features)에 대한 상대적 위치를 측정할 수 있다. 다른 예로서, 오버레이 계측은 샘플 층 상에 다수 노출 단계(multiple exposure steps)의 정렬의 척도로 동일한 층 상의 피처들의 상대적 위치를 측정할 수 있다.
모든 디바이스 피처 레이아웃이 직접적인 오버레이 측정에 사용될 수 있는 것은 아니다. 또한 오버레이 측정은 디바이스 피처의 성능을 손상시키거나 영향을 끼칠 수 있다. 따라서 오버레이 측정은 보통 디바이스 피처에 직접 수행되기 보다는 예민한 오버레이 측정을 위해 설계된 피처들을 가진 전용 오버레이 타겟에 대해 수행된다. 그러나, 디바이스 피처에 대한 오버레이 타겟의 크기, 방향, 밀도 및/또는 샘플 상에 위치의 차이는, 타겟에서 측정된 오버레이와 디바이스 피처의 실제 오버레이 사이에 불일치를 야기할 수 있다. 따라서, 오버레이 타겟에서 디바이스-관련 오버레이(device-relevant overlay) 측정을 확실하게 하는 것은 오버레이 계측에서 지속적인 과제로 남아 있다.
또한, 디바이스-관련 오버레이 측정을 달성하기 위한 노력은 일반적으로 스루풋(throughput) 요구사항과 균형을 이루어야 한다. 예를 들어, 디바이스-스케일 피처(device-scale features)가 있는 오버레이 타겟은 디바이스-관련 오버레이를 제공할 수 있다. 그러나 디바이스-스케일 피처는 이에 한정되는 것은 아니지만, 일반적으로, 생산 환경에서 스루풋을 제한할 수 있는 주사 전자 현미경(SEM)과 같은, 입자-빔 계측 툴을 사용하여 분석할 수 있다. 대조적으로, 광학 오버레이 계측(optical overlay metrology)은 더 높은 스루풋을 제공할 수 있지만, 디바이스 피처보다 상당히 더 큰 오버레이 타겟 피처를 필요로 할 수 있고, 이에 따라 에러에 더 취약할 수 있다.
따라서, 스루풋 요구 사항에 균형을 맞추면서 디바이스-관련 오버레이 정확도를 제공하는 오버레이 계측을 위한 시스템 및 방법을 제공하는 것이 바람직하다.
하나 이상의 예시적인 실시형태에 따른 오버레이 계측 시스템이 개시된다. 하나의 예시적인 실시형태에서, 시스템은 컨트롤러를 포함한다. 다른 예시적인 실시형태에서, 컨트롤러는 광학적으로 분해가능한 피처(optically-resolvable features)에 기초한 광학 오버레이 측정과 디바이스-스케일 피처(device-scale features)에 기초한 디바이스-스케일 오버레이 측정 사이의 차이를 측정함으로써, 광학적으로 분해가능한 피처 및 디바이스-스케일 피처를 포함하는 하이브리드 오버레이 타겟에 대한 광학 툴 에러 조정(optical tool error adjustments)을 생성한다. 다른 예시적인 실시형태에서, 컨트롤러는 디바이스 영역 내의 피처들의 위치에 기초하여 하이브리드 오버레이 타겟에 대한 타겟-대-디바이스 조정(target-to-device adjustments)을 생성한다. 다른 예시적인 실시형태에서, 컨트롤러는 광학 오버레이 측정, 광학 툴 에러 조정, 또는 타겟-대-디바이스 조정 중 적어도 하나에 기초하여, 디바이스 영역 내의 하나 이상의 위치에 대해 디바이스-관련 오버레이 측정을 결정한다. 다른 예시적인 실시형태에서, 컨트롤러는 디바이스-관련 오버레이 측정에 기초하여 적어도 하나의 후속 노출(exposure)에 대한 노출 조건을 수정하기 위해 리소그래피 툴에 디바이스 영역에 대한 오버레이 정정가능치들(correctables)을 제공한다.
하나 이상의 예시적인 실시형태에 따른 오버레이 계측 시스템이 개시된다. 하나의 예시적인 실시형태에서, 시스템은 샘플 상의 하이브리드 오버레이 타겟의 광학적으로 분해가능한 피처(optically-resolvable features)에 기초하여 광학 오버레이 측정을 생성하도록 구성된 광학 계측 툴을 포함한다. 다른 예시적인 실시형태에서, 시스템은 하이브리드 오버레이 타겟의 디바이스-스케일 피처(device-scale features)에 기초하여 디바이스-스케일 오버레이 측정을 생성하도록 구성된 입자-빔 계측 툴을 포함한다. 다른 예시적인 실시형태에서, 시스템은 하이브리드 오버레이 타겟에 대해 샘플의 디바이스 영역 내의 피처들의 위치를 측정하도록 구성된 에지-배치 계측 툴(edge-placement metrology tool)을 포함한다. 다른 예시적인 실시형태에서, 시스템은 광학 계측 툴 및 입자-빔 계측 툴에 통신가능하게 결합된 컨트롤러를 포함한다. 다른 예시적인 실시형태에서, 컨트롤러는 광학 계측 툴에 의해 수신된 광학 오버레이 측정과 입자-빔 계측 툴에 의해 수신된 디바이스-스케일 오버레이 측정 사이의 차이에 기초하여, 하이브리드 오버레이 타겟에 대한 광학 툴 에러 조정을 생성한다. 다른 예시적인 실시형태에서, 컨트롤러는 에지-배치 계측 툴에 의해 수신된 디바이스 영역 내의 피처들의 위치에 기초하여 하이브리드 오버레이 타겟에 대한 타겟-대-디바이스 조정을 생성한다. 다른 예시적인 실시형태에서, 컨트롤러는 광학 오버레이 측정, 광학 툴 에러 조정, 또는 타겟-대-디바이스 조정 중 적어도 하나에 기초하여 디바이스 영역 내의 하나 이상의 위치에 대한 디바이스-관련 오버레이 측정을 결정한다. 다른 예시적인 실시형태에서, 컨트롤러는 디바이스-관련 오버레이 측정에 기초하여 적어도 하나의 후속 노출(exposure)에 대한 노출 조건을 수정하기 위해 리소그래피 툴에 디바이스 영역에 대한 오버레이 정정가능치들(correctables)을 제공한다.
하나 이상의 예시적인 실시형태에 따른 오버레이 계측 방법이 개시된다. 하나의 예시적인 실시형태에서, 방법은 하이브리드 오버레이 타겟의 광학적으로 분해가능한 요소(optically-resolvable elements)들에 기초하여 샘플 상의 하이브리드 오버레이 타겟의 광학 오버레이를 측정하는 단계를 포함한다. 다른 예시적인 실시형태에서, 방법은 하이브리드 오버레이 타겟의 디바이스-스케일 요소(device-scale elements)들에 기초하여 하이브리드 오버레이 타겟의 디바이스-스케일 오버레이를 측정하는 단계를 포함한다. 다른 예시적인 실시형태에서, 방법은 광학 오버레이와 디바이스-스케일 오버레이 사이의 차이에 기초하여 하이브리드 오버레이 타겟에 대한 광학 툴 에러 조정을 결정하는 단계를 포함한다. 다른 예시적인 실시형태에서, 방법은 하이브리드 오버레이 타겟에 대해 디바이스 영역 내의 하나 이상의 피처에 대한 타겟-대-디바이스 배치 에러를 측정하는 단계를 포함한다. 다른 예시적인 실시형태에서, 방법은 타겟-대-디바이스 배치 에러에 기초하여 디바이스 영역에 대한 하나 이상의 타겟-대-디바이스 조정을 생성하는 단계를 포함한다. 다른 예시적인 실시형태에서, 방법은 광학 오버레이, 광학 툴 에러 조정 및 타겟-대-디바이스 조정에 기초하여 디바이스 영역 내의 하나 이상의 위치에 대한 디바이스-관련 오버레이 측정을 결정하는 단계를 포함한다. 다른 예시적인 실시형태에서, 방법은 디바이스-관련 오버레이 측정에 기초하여 적어도 하나의 후속 샘플에 대한 노출 조건을 수정하기 위해 리소그래피 툴에 디바이스 영역에 대한 오버레이 정정가능치들(correctables)을 제공하는 단계를 포함한다.
전술한 일반적인 설명 및 다음의 상세한 설명은 단지 예시적이고 설명적인 것이며 청구된 본 발명을 제한하는 것이 아님을 이해해야 한다. 본 명세서에 포함되고 그 일부를 구성하는 첨부 도면은 본 발명의 실시형태를 예시하며 상기 일반적인 설명과 함께 본 발명의 원리를 설명하는 역할을 한다.
본 발명의 수 많은 이점들은 다음의 첨부 도면을 참조할 때 이 기술분야에서 통상의 지식을 가진 자들에게 더 잘 이해될 것이다:
도 1a는 본 개시의 하나 이상의 실시형태에 따른 오버레이 계측 시스템의 개념도이다.
도 1b는 본 개시의 하나 이상의 실시형태에 따른 광학 계측 툴의 개념도이다.
도 1c는 본 개시의 하나 이상의 실시형태에 따른 입자-빔 계측 툴의 개념도이다.
도 1d는 본 개시의 하나 이상의 실시형태에 따른 EPM 툴의 개념도이다.
도 2는 본 개시의 하나 이상의 실시형태에 따른, 디바이스-관련 광학 오버레이를 위한 방법에서 수행되는 단계들을 예시하는 흐름도이다.
도 3은 본 개시의 하나 이상의 실시형태에 따른, 디바이스-스케일 피처를 갖는 세그먼트화된(segmented) 광학적으로 분해가능한 피처를 갖는 하이브리드 오버레이 타겟의 일련의 이미지이다.
도 4는 본 개시의 하나 이상의 실시형태에 따른, 오버레이 타겟의 배치를 예시하는 웨이퍼 다이의 개념적인 평면도이다.
도 5는 본 개시의 하나 이상의 실시형태에 따른, 디바이스 피처 및 공간적으로 분리된 오버레이 타겟에 대한 오버레이 에러의 개념적인 측면도이다.
도 6은 본 개시의 하나 이상의 실시형태에 따른, 타겟-대-디바이스 조정을 생성하기 위한 서브 스텝을 예시하는 흐름도이다.
이제 첨부 도면을 참조하여 본 발명을 상세히 설명한다. 본 개시는 특정 실시형태 및 이의 특정 피처에 대해 특별히 도시되고 설명되었다. 본 명세서에 설명된 실시형태는 제한하기 보다는 예시적인 것으로 간주된다. 본 개시의 사상 및 범위를 벗어나지 않고 형태 및 세부사항에 있어서 다양한 변경 및 수정이 이루어질 수 있음은 이 분야의 통상의 기술자에게 명백할 것이다.
본 개시의 실시형태는 디바이스-관련 정정(corrections)으로 광학 오버레이 측정을 조정함으로써 디바이스-관련 오버레이 측정을 생성하기 위한 시스템 및 방법에 관한 것이다. 예를 들면, 디바이스-관련 보정은 오버레이 타겟의 측정된 광학 오버레이와 샘플 상의 관심 디바이스 피처의 실제 오버레이 사이의 불일치와 관련된 에러를 보상할 수 있다.
광학 계측 툴은 인-라인 오버레이 제어(in-line overlay control)에 적합한 높은 스루풋(high-throughput)의 오버레이 측정을 제공할 수 있다. 예를 들면, 이미징-기반 광학 오버레이는 다수의 샘플 층들 상의 피처(features)를 동시에 이미지화하고 피처들 간의 상대적인 변위(displacements)를 기초로 오버레이를 결정할 수 있다. 또 다른 예로서, 산란계측-기반(scatterometry-based) 광학 계측은 샘플에서 산란 및/또는 회절 된 빛이, 이에 국한되는 것은 아니지만, 중첩하는 층들에서의 격자구조(grating structures)와 같이 알려진 샘플 피처(features)에 기초하여 예상되는 패턴과 비교되는, 모델-기반 접근 방식을 사용하여 오버레이를 결정할 수 있다. 광학 특성화에 적합한 오버레이 타겟의 피처는 추가로 세그먼트화되어 단일 시야 내에서 여러 측정 지점을 제공할 수 있으며, 이는 반복적인 구조의 통계적 평균에 기반하여 저잡음으로 정확도가 높은 오버레이 측정을 가능하게 할 수 있다. 또한 광학 계측 툴은 그러하도록 요구되는 것은 아니지만, 사이트 당 0.2 내지 1 초 정도의 측정을 제공할 수 있으므로, 생산 라인에서 샘플 당(per sample) 및 로트 당(per lot) 측정 빈도에 대해 상당한 유연성을 제공할 수 있다.
그러나 광학 계측 툴의 해상도는 디바이스 피처보다 상당히 더 큰 오버레이 타겟 피처를 필요로 할 수 있으며, 이는 측정된 오버레이와 디바이스-관련 오버레이 간에 계통오차(systemic error)를 초래할 수 있다. 예를 들어, 디바이스-관련 오버레이(OVLdevice)는, 반드시 그러한 것은 아니지만, 다음과 같이 표시될 수 있다:
Figure pct00001
(1)
여기서 OVLtarget은 오버레이 타겟에서 측정된 광학 오버레이이고, (Optical Tool Error)target은 광학적으로 분해가능한 피처에 기초한 광학 측정과 타겟 위치에서의 디바이스-관련 오버레이 사이의 바이어스(bias)와 관련된 타겟 에러이며, ΔPPEtarget_to_device는 오버레이 타겟과 관심 디바이스 피처들 간의 물리적 간격(separation)과 관련된 공간적으로 변화하는 제조 편차(fabrication variations)와 관련된 타겟-대-디바이스 에러이다. 예를 들어, ΔPPEtarget_to_device는 오버레이 타겟과 샘플의 다른 층들에 대해 관심 디바이스 피처 간의 패턴 배치 에러(pattern placement error)(PPE) 간의 차이를 나타낼 수 있다.
본 개시의 추가 실시형태는 광학적으로 분해가능한 피처(optically-resolvable features) 및 디바이스-스케일 피처(device-scale features)를 갖는 하이브리드 오버레이 타겟의 오버레이를 다중 계측 툴로 측정하는 것에 관한 것이다. 예를 들어, 광학 계측 툴은 임의의 광학 오버레이 기술(예: 이미지-기반 광학 계측, 산란계측-기반 광학 계측 등)을 이용하여 광학적으로 분해가능한 피처에 기초한 오버레이를 측정할 수 있고, 디바이스-스케일 피처를 분석하기 위한 충분한 해상도를 갖는 추가 계측 툴은 디바이스-스케일 피처에 기초한 오버레이를 측정할 수 있다. 이와 관련하여, 수학식 (1)의 (Optical Tool Error)target은 동일한 타겟에 대한 광학 오버레이 측정과 디바이스-스케일 오버레이 측정 간의 차이를 포함할 수있다.
상기 추가 계측 툴은 디바이스-스케일 피처로부터 오버레이를 결정하는데 적합한 임의의 타입의 계측 툴을 포함할 수 있다. 예를 들면, 추가 계측 툴은, 이들에 한정되는 것은 아니지만, 주사 전자 현미경(SEM) 계측 툴(예를 들어, 임계치수 SEM (CD-SEM) 등) 또는 집중 이온 빔(focused ion beam)(FIB) 계측 툴과 같은 입자-빔 계측 툴을 포함할 수 있다. 또한, 입자-빔 계측 툴은 입자 빔 에너지를 기반으로 여러 층들의 피처를 특징지을 수 있다. 예를 들면, 저에너지 입자 빔을 사용하여 상부 층(예: 현재 층)을 특성화 할 수 있는 한편, 상대적으로 높은 에너지 입자 빔은 이전에 제조된 층들의 피처를 특성화하기 위해 샘플에 더 깊이 침투할 수 있다.
본 개시의 추가 실시형태는 필드 내에서 위치-특정 오버레이(location-specific overlay) 차이를 초래하는 타겟-대-디바이스 에러를 측정하는 것에 관한 것으로, 이는 반드시 그러할 필요는 없지만, 제조 중 필드 내 편차(intra-field variations)와 연관될 수 있다. 필드 내 편차는, 이에 한정되는 것은 아니지만, 리소그래피 툴의 열로 인한 난류 또는 렌즈 수차와 같은 노광(exposure) 단계 동안 리소그래피 툴의 수차에 의해 유발될 수 있다. 이와 관련하여, 수학식 (1)의 ΔPPE target_to_device는 측정된 타켓-대-디바이스 에러를 포함할 수 있다. 예를 들면, 하이브리드 오버레이 타겟과 다이(die) 내의 다양한 피처 사이의 패턴 배치 거리(pattern placement distance)는 위치가 엄격하게 모니터링되는 병진 스테이지를 갖는 에지-위치 계측(Edge-Position Metrology)(EPM) 툴을 사용하여 직접 측정될 수 있다. 이와 관련하여, 하이브리드 오버레이 타겟 및 다이 내의 피처(features)는 EPM 툴을 사용하여 이미지화 될 수 있으며 패턴 배치 거리는 상기 병진 스테이지(translation stage)의 좌표에 기초하여 결정될 수 있다. 또한, EPM 툴은, 이에 한정되는 것은 아니지만, 광학 또는 입자-빔 이미징(예를 들어, 전자-빔, 이온-빔 등)과 같은 임의의 타입의 이미징 기술을 기반으로 할 수 있다. 에지-배치 계측(edge-placement metrology)을 이용한 구조의 위치 및 치수 측정은, 2018년 1월 4일에 공개된, 발명 명칭 "패턴 배치 및 패턴의 크기 측정을 위한 장치 및 방법, 및 이를 위한 컴퓨터 프로그램(APPRATUS AND METHOD FOR THE MEASUREMENT OF PATTERN PLACEMENT AND SIZE OF PATTERN AND COMPUTER PROGRAM THEREFOR)"의 국제공개 No. WO 2018/004511, 및 2017년 7월 11일에 발행된, 발명 명칭 "광학 에러에 대해 위치 측정을 보정하기 위한 방법 및 마스크 라이터 에러를 결정하기 위한 방법(METHOD FOR CORRECTING POSITION MEASUREMENTS FOR OPTICAL ERRORS AND METHOD FOR DETERMINING MASK WRITER ERRORS)"의 미국특허 No. 9,704,238 에 전반적으로 기술되어 있으며, 이들 모두 여기에 참조로 그 전체가 편입된다.
본 개시의 추가 실시형태는 광학 계측 측정에 대한 디바이스-관련 조정에 기초하여 디바이스-관련 오버레이 측정을 생성하는 것에 관한 것이다. 본 개시의 추가 실시형태는 디바이스-관련 오버레이 측정에 기초하여 디바이스-관련 오버레이 정정가능치들(correctables)을 생성하는 것에 관한 것이다. 상기 오버레이 정정가능치들은 그 다음 피드백(feedback) 및/또는 피드포워드(feedforward) 데이터로서 제조 툴(예를 들어, 리소그래피 툴)에 제공될 수 있다. 예를 들면, 샘플에서 측정된 현재 공정 단계와 관련된 오버레이 측정(overlay measurements)은 드리프트(drifts)를 보상하고, 동일한 또는 후속 로트들의 후속 샘플들에 대한 공정 단계에 대해 선택된 공차(tolerances) 내에서 오버레이를 유지하는데 사용될 수 있다. 다른 실시예로서, 현재 공정 단계와 관련된 오버레이 측정은 임의의 후속 공정 단계를 조정하기 위해 피드포워드 되어 임의의 측정된 오버레이 에러를 보상할 수 있다.
여기서 (주어진 샘플 및/또는 주어진 로트(lot)에서) 오버레이 정정가능치들이 생성될 수 있는 빈도는 오버레이 계측 툴의 정확도와 스루풋 간의 균형에 의존할 수 있음을 알 수 있을 것이다. 예를 들면, 모든 샘플 또는 모든 로트에 대해 광학 툴 에러 또는 타겟-대-디바이스 에러를 측정하는 것은 실용적이거나 바람직하지 않을 수 있다. 일부 실시형태에서, 광학 오버레이 측정에 대한 디바이스-관련 조정은 광학 오버레이 측정보다 덜 자주 수행된다. 이와 관련하여, 인-라인(in-line) 오버레이 측정은 하이브리드 오버레이 타겟에 대해 광학 계측 툴을 사용하여 원하는 빈도로 수행될 수 있으며, 광학 툴 에러 및/또는 타겟-대-디바이스 에러에 기초한 이전에 측정된 디바이스-관련 조정에 기초하여 조정될 수 있다.
본 개시의 추가 실시형태는 현상 후 검사(after-development-inspection)(ADI) 단계로서 생성된 오버레이 측정에 관한 것이다. 이와 관련하여, 광학 계측 툴은 샘플을 손상시키지 않고 현상 단계에 시간적으로 근접한 제조 중에 샘플에 대해 오버레이 데이터를 직접 캡쳐할 수 있다. 또한, 이 단계에서 잠재적 인 문제를 식별하는 것은, 시간이 많이 걸리고 비가역적인 에칭 단계 이전에 문제를 수정하기 위해 현재 또는 미래의 로트에서 샘플에 대해 재작업 하는 것을 용이하게 할 수 있다.
이제 전반적으로 도 1 내지 1d를 참조하여 디바이스-관련 광학 오버레이 측정을 제공하는 오버레이 계측 시스템이 설명된다.
도 1a는 본 개시의 하나 이상의 실시형태에 따른 오버레이 계측 시스템(100)의 개념도이다. 일 실시형태에서, 오버레이 계측 시스템(100)은 광학적으로 분해가능한 피처(optically-resolvable features)에 기초하여 오버레이 측정을 생성하기 적합한 광학 계측 툴(102)을 포함한다. 다른 실시형태에서, 오버레이 계측 시스템(100)은 디바이스 피처(device features) 및/또는 오버레이 타겟의 디바이스-스케일 피처(device-scale features)에 기초하여 오버레이 측정을 생성하기에 적합한 입자-빔 계측 툴(104)을 포함한다. 다른 실시형태에서, 오버레이 계측 시스템(100)은 하나 이상의 층에서 패턴 배치 위치(예를 들어, 피처 레지스트레이션 위치)를 결정하기에 적합한 에지 배치 계측(edge-placement metrology)(EPM) 툴(106)을 포함한다.
따라서, 광학 계측 툴(102)은 하나 이상의 오버레이 타겟의 광학 오버레이 측정을 제공할 수 있으며, 입자-빔 계측 툴(104)과 EPM 툴(106)의 임의의 조합은 광학 오버레이 측정에 대한 디바이스-관련 조정에 적합한 측정을 제공할 수 있다. 또한, 본 명세서에서 이전에 설명된 바와 같이, 오버레이 계측 시스템(100)은 오버레이 정확도와 스루풋 요구사항의 균형을 맞추기 위해 생산 라인에서 임의로 선택된 빈도로 임의의 구성요소(예를 들어, 광학 계측 툴(102), 입자-빔 계측 툴(104) 또는 EPM 툴(106))를 사용할 수 있다. 예를 들어, 광학 계측 툴(102)은 인-라인 오버레이 모니터링에 사용될 수 있는 반면, 입자-빔 계측 툴(104) 및/또는 EPM 툴(106)은 상기 광학 계측 툴(102)에 의해 제공되는 광학 오버레이 측정에 대한 디바이스-관련 조정을 결정하기 위해 더 낮은 빈도로 선택적으로 사용될 수 있다.
다른 실시형태에서, 오버레이 계측 시스템(100)은 컨트롤러(108)를 포함한다. 다른 실시형태에서, 컨트롤러(108)는 메모리 매체(112)에 유지되는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서(110)를 포함한다. 이와 관련하여, 컨트롤러(108)의 하나 이상의 프로세서(110)는 본 개시 전반에 걸쳐 설명된 임의의 다양한 프로세스 단계를 실행할 수 있다. 예를 들어, 컨트롤러(108)는 광학 계측 툴(102), 입자-빔 계측 툴(104) 또는 EPM 툴(106) 중 어느 것으로부터 데이터를 수신할 수 있고, 디바이스-관련 오버레이 데이터를 추가로 생성할 수 있다. 다른 실시예로서, 컨트롤러(108)는 광학 계측 툴(102), 입자-빔 계측 툴(104) 또는 EPM 툴(106) 중 어느 것으로부터의 데이터에 기초하여 디바이스-관련 오버레이 정정가능치들(correctables)을 생성할 수 있다.
또한, 컨트롤러(108)는, 이에 한정되는 것은 아니지만, 리소그래피 툴과 같은 하나 이상의 외부 제조 툴에 통신 가능하게 결합될 수 있다. 이와 관련하여, 컨트롤러(108)는 선택된 오버레이 공차(tolerances) 내에서 오버레이를 유지하기 위해 외부 제조 툴의 입력을 제어하기에 적합한 고급 프로세스 컨트롤러(advanced process controller)(APC)로서 동작할 수 있다.
컨트롤러(108)의 하나 이상의 프로세서(110)는 이 기술분야에 알려진 임의의 프로세싱 요소를 포함할 수 있다. 이러한 의미에서, 하나 이상의 프로세서(110)는 알고리즘 및/또는 명령어를 실행하도록 구성된 임의의 마이크로프로세서 타입의 디바이스를 포함할 수 있다. 일 실시형태에서, 하나 이상의 프로세서(110)는, 본 개시의 전반에 걸쳐 설명된 바와 같이, 오버레이 계측 시스템(100)을 작동하도록 구성된 프로그램을 실행하도록 구성된, 데스크탑 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 임의의 다른 컴퓨터 시스템(예: 네트워크 컴퓨터)으로 구성될 수 있다. 또한, 용어 "프로세서"는, 비-일시적(non-transitory) 메모리 매체(112)로부터 프로그램 명령어를 실행하는, 하나 이상의 프로세싱 요소를 갖는 임의의 디바이스를 포함하도록 광범위하게 정의될 수 있다는 것을 알 수 있다. 또한, 본 개시의 전반에 걸쳐 설명된 단계들은 단일의 컨트롤러(108) 또는 선택적으로 다수의 컨트롤러에 의해 수행될 수 있다. 추가로, 컨트롤러(108)는 공통 하우징 또는 다수의 하우징 내에 수용된 하나 이상의 컨트롤러를 포함할 수 있다. 이러한 방식으로, 임의의 컨트롤러 또는 컨트롤러의 조합은 오버레이 계측 시스템(100)으로의 통합에 적합한 모듈로서 별도로 패키징 될 수 있다.
메모리 매체(112)는 관련된 하나 이상의 프로세서(110)에 의해 실행가능한 프로그램 명령어를 저장하기에 적합한 이 기술분야에서 알려진 임의의 저장 매체를 포함할 수 있다. 예를 들어, 메모리 매체(112)는 비-일시적 메모리 매체를 포함할 수 있다. 다른 실시예로서, 메모리 매체(112)는 읽기전용 메모리(read-only memory), 랜덤 액세스 메모리, 마그네틱 또는 광학 메모리 디바이스(예: 디스크), 마그네틱 테이프, 솔리드 스테이트 드라이브 등을 포함할 수 있지만 이에 제한되지는 않는다. 또한, 메모리 매체(112)는 하나 이상의 프로세서(110)와 함께 공통 컨트롤러 하우징에 수용될 수 있다는 점에 유의한다. 일 실시형태에서, 메모리 매체(112)는 하나 이상의 프로세서(110) 및 컨트롤러(108)의 물리적 위치에 대해 원격으로 위치될 수 있다. 예를 들어, 컨트롤러(108)의 하나 이상의 프로세서(110)는 네트워크(예를 들어, 인터넷, 인트라넷 등)를 통해 액세스 가능한 원격 메모리(예를 들어, 서버)에 액세스 할 수 있다. 따라서, 상기 설명은 단지 예시적인 것으로서 본 발명을 제한하는 것으로 해석되어서는 안된다.
관련 설명과 함께 도 1a에 도시된 오버레이 계측 시스템(100)은 단지 예시적인 목적으로 제공되는 것이며, 본 발명을 제한하는 것으로 해석되어서는 안된다는 것이 이해될 것이다. 예를 들어, 오버레이 계측 시스템(100)은 도 1a에 도시된 요소들의 임의의 조합을 포함할 수 있다. 하나의 실시예에서, 오버레이 계측 시스템(100)은 광학 계측 툴(102), 입자-빔 계측 툴(104) 및 컨트롤러(108)를 포함할 수 있다. 또 다른 실시예에서, 오버레이 계측 시스템(100)은 광학 계측 툴(102), EPM 툴(106) 및 컨트롤러(108)를 포함할 수 있다. 또한, 오버레이 계측 시스템(100)의 임의의 구성 요소는 서로 근접하게 위치할 수 있거나 서로 멀리 떨어져 위치할 수 있다. 일부 실시형태에서, 오버레이 계측 시스템(100)의 다수의 구성 요소는 단일의 물리적 디바이스에 통합될 수 있다. 예를 들어, EPM 툴(106)은 광학 계측 툴(102) 또는 입자-빔 계측 툴(104)에 통합될 수 있지만 반드시 통합될 필요는 없다.
도 1b는 본 개시의 하나 이상의 실시형태에 따른 광학 계측 툴(102)의 개념도이다. 광학 계측 툴(102)은, 이에 한정되는 것은 아니지만, 이미지-기반 광학 계측 툴 또는 산란계측-기반 광학 계측 툴과 같은, 샘플의 2개 이상의 층과 관련된 오버레이 데이터를 생성하는데 적합한 이 기술분야에 알려진 임의의 유형의 광학 오버레이 계측 툴을 포함할 수 있다.
일 실시형태에서, 광학 계측 툴(102)은 광학 조명 빔(116)을 생성하기 위한 광학 조명 소스(114)를 포함한다. 광학 조명 빔(116)은, 이에 한정되는 것은 아니지만, 자외선(UV), 가시광선, 또는 적외선(IR) 광을 포함하여, 하나 이상의 선택된 파장의 광을 포함할 수 있다.
광학 조명 소스(114)는 광학 조명 빔(116)을 생성하기에 적합한 이 기술분야에 알려진 임의의 유형의 조명 소스일 수 있다.
광학 조명 소스(114)는 광학 조명 빔(116)을 제공하기에 적합한 임의의 유형의 조명 소스를 포함할 수 있다. 일 실시형태에서, 광학 조명 소스(114)는 레이저 소스이다. 예를 들어, 광학 조명 소스(114)는 하나 이상의 협대역 레이저 소스, 광대역 레이저 소스, 초연속 레이저 소스, 백색광 레이저 소스 등을 포함할 수 있지만 이에 제한되지 않는다. 이와 관련하여, 광학 조명 소스(114)는 높은 코히어런스(high coherence)(예를 들어, 높은 공간적 코히어런스 및/또는 시간적 코히어런스)를 갖는 광학 조명 빔(116)을 제공할 수 있다. 다른 실시형태에서, 광학 조명 소스(114)는 레이저 유지 플라즈마(laser-sustained plasma)(LSP) 소스를 포함한다. 예를 들어, 광학 조명 소스(114)는 LSP 램프, LSP 전구, 또는 레이저 소스에 의해 플라즈마 상태로 여기될 때 광대역(broadband) 조명을 방출할 수 있는 하나 이상의 요소를 수용하기에 적합한 LSP 챔버를 포함할 수 있지만 이에 한정되지는 않는다. 다른 실시형태에서, 광학 조명 소스(114)는 램프 소스를 포함한다. 예를 들어, 광학 조명 소스(114)는 아크 램프, 방전 램프, 무전극 램프 등을 포함할 수 있으나 이에 한정되지 않는다. 이와 관련하여, 광학 조명 소스(114)는 낮은 코히어런스(예를 들어, 낮은 공간적 코히어런스 및/또는 시간적 코히어런스)를 갖는 광학 조명 빔(116)을 제공할 수 있다.
다른 실시형태에서, 광학 조명 소스(114)는 조명 경로(120)를 통해 광학 조명 빔(116)을 샘플(118)로 지향시킨다. 조명 경로(120)는 하나 이상의 조명 경로 렌즈(122) 또는 상기 광학 조명 빔(116)을 수정 및/또는 조절하기에 적합한 추가 광학 구성요소(124)를 포함할 수 있다. 예를 들면, 하나 이상의 광학 구성요소(124)는 하나 이상의 편광기, 하나 이상의 필터, 하나 이상의 빔 스플리터, 하나 이상의 디퓨저, 하나 이상의 균질기(homogenizer), 하나 이상의 아포다이저(apodizer), 또는 하나 이상의 빔 쉐이퍼(beam shaper)를 포함할 수 있지만 이에 제한되지는 않는다. 조명 경로(120)는 광학 조명 빔(116)을 샘플(118)로 지향시키도록 구성된 대물 렌즈(126)를 더 포함할 수 있다.
다른 실시형태에서, 샘플(118)은 샘플 스테이지(128)에 배치된다. 샘플 스테이지(128)는 광학 계측 툴(102) 내에서 샘플(118)을 배치 및/또는 스캐닝하기에 적합한 임의의 디바이스를 포함할 수 있다. 예를 들어, 샘플 스테이지(128)는 선형 병진(linear translation) 스테이지, 회전 스테이지, 팁(tip)/틸트(tilt) 스테이지 등의 임의의 조합을 포함할 수 있다.
다른 실시형태에서, 광학 계측 툴(102)은 수집경로(132)를 통해 샘플(118)로부터 방출되는 광을 캡쳐하도록 구성된 검출기(130)를 포함한다. 상기 수집경로(132)는 샘플(118)로부터 광을 수집하기 위한 하나 이상의 수집경로 렌즈(134)를 포함할 수 있지만 이에 제한되지는 않는다. 예를 들어, 검출기(130)는 하나 이상의 수집경로 렌즈(134)를 통해 (예를 들면, 정반사(specular reflection), 확산반사(diffuse reflection) 등을 통해) 샘플(118)로부터 반사되거나 산란된 광을 수신할 수 있다. 다른 실시예에서, 검출기(130)는 샘플(118)에 의해 생성된 광(예를 들면, 광학 조명 빔(116) 등의 흡수와 관련된 발광 등)을 수신할 수 있다. 다른 실시예로서, 검출기(130)는 샘플(118)로부터 하나 이상의 빛의 회절 차수(diffracted orders of light)(예를 들어, 0차 회절, ± 1차 회절, ± 2차 회절 등)를 수신할 수 있다.
검출기(130)는 샘플(118)로부터 수신된 조명을 측정하기에 적합한 이 기술분야에서 알려진 임의의 유형의 검출기를 포함할 수 있다. 예를 들어, 검출기(130)는 CCD 검출기, TDI 검출기, 광전자 증배관(photomultiplier tube)(PMT), 애벌랜치 포토다이오드(avalanche photodiode)(APD) 등을 포함할 수 있으나 이에 한정되는 것은 아니다. 다른 실시형태에서, 검출기(130)는 샘플(118)로부터 발산되는 빛의 파장을 식별하는데 적합한 분광 검출기(spectroscopic detector)를 포함할 수 있다.
수집경로(132)는, 이에 한정되는 것은 아니지만, 하나 이상의 수집경로 렌즈(134), 하나 이상의 필터, 하나 이상의 편광기 또는 하나 이상의 빔 블록을 포함하여, 샘플(118)로부터 수집된 조명(illumination)을 지향 및/또는 수정하기 위한 임의의 수의 광학 요소를 더 포함할 수 있다.
일 실시형태에서, 검출기(130)는 샘플(118)의 표면에 대략 수직으로 위치한다. 다른 실시형태에서, 광학 계측 툴(102)은 대물 렌즈(126)가 동시에 광학 조명 빔(116)을 샘플(118)로 지향시키도록 하고 상기 샘플(118)로부터 나오는 빛을 수집할 수 있도록 배향된 빔 스플리터(136)를 포함한다. 또한, 조명 경로(120) 및 수집 경로(132)는 하나 이상의 추가적인 요소(예를 들어, 대물 렌즈(126), 개구, 필터 등)를 공유할 수 있다.
광학 계측 툴(102)은, 이에 한정되는 것은 아니지만, 이미지-기반 기술 또는 산란계측-기반 기술과 같이, 이 기술분야에서 알려진 임의의 기술에 기초하여 오버레이를 측정할 수 있다. 예를 들어, 이미징 모드에서 작동하는 광학 계측 툴(102)은 샘플(118)의 일부를 조명하고 검출기(130)에서 샘플(118)의 조명된 부분의 이미지를 캡쳐할 수 있다. 캡쳐된 이미지는, 이에 한정되는 것은 아니지만, 명시야 이미지(brightfield image), 암시야 이미지(darkfield image), 위상-콘트라스트 이미지(phase-contrast image) 등과 같은, 이 기술분야에서 알려진 임의의 타입의 이미지일 수 있다. 또한, 캡쳐된 이미지는 (예를 들어, 광학 계측 툴(102), 컨트롤러(108) 등에 의해) 함께 스티칭되어(stitched) 샘플(118)의 합성 이미지를 생성할 수 있다. 다른 실시예로서, 광학 계측 툴(102)은 집속된(focused) 광학 조명 빔(116)을 샘플(118)을 가로질러 조사하고 상기 샘플(118)로부터 나오는 빛 및/또는 입자를 하나 이상의 검출기(130)에서 하나 이상의 측정 각도로 캡쳐하여 픽셀 단위(pixel by pixel)로 이미지를 생성할 수 있다. 집속된 광학 조명 빔(116)은 (예를 들면, 갈보(galvo) 미러, 압전(piezo-electric) 미러 등을 사용하여) 빔 경로를 수정하고 및/또는 샘플(118)을 집속된 빔의 초점 볼륨(focal volume)을 통해 이동시킴(translating)으로써 샘플(118)을 가로질러 주사될 수 있다. 따라서, 2개 이상의 샘플 층과 관련된 오버레이가 2개 이상의 샘플 층 상에 위치된 피처들의 상대적 위치에 기초하여 결정될 수 있다.
다른 실시예로서, 광학 계측 툴(102)은 광학 조명 빔(116)에 응답하여 샘플(118)로부터 산란 및/또는 회절되는 광의 패턴에 기초하여 오버레이를 결정함으로써 산란계측-기반 계측 툴로서 동작할 수 있다. 예를 들어, 광학 계측 툴(102)은 샘플로부터 발산되는 광의 각도 분포를 포함하는 (예를 들면, 오버레이 타겟의 상이한 영역의) 하나 이상의 동공 평면(pupil plane) 이미지를 (예를 들면, 검출기(130)로) 캡쳐할 수 있다. 따라서, 2개 이상의 샘플 층 사이의 오버레이는 각 층에 대해 알려진 크기 및 분포를 갖는 오버레이 타겟 피처들로부터 모델링된 산란 및/또는 회절에 기반한 동공 평면 이미지로부터 결정될 수 있다.
또한, 광학 계측 툴(102)은, 광학 조명 빔(116)이 현재 층을 통해 전파하여 하나 이상의 이전에 제조된 층 상의 피처들과 상호 작용하도록 하여, 검출기(130)에 의해 수신된 신호(예를 들어, 샘플(118)의 이미지, 동공 평면의 이미지 등)가 적어도 두 개 층 사이의 오버레이를 나타내도록 함으로써, 임의의 제조 단계에서 오버레이를 측정할 수 있다. 예를 들면, 광학 계측 툴(102)은 현재 층의 노광 후에 현상 후 검사(after-development inspection)(ADI) 단계로서 이전에 제조된 층과 현재 층 사이의 오버레이를 측정할 수 있다. 이와 관련하여, 현재 층 및 임의의 이전 층들의 오버레이 측정은 노출되지 않은 피처에 대한 노출 피처의 굴절률 차이에 기초하여 생성될 수 있다. 다른 실시예로서, 광학 계측 툴(102)은 현상된 패턴이 릴리프 구조(relief structure)로 현재 층으로 에칭된 후에 에칭 후 검사(after-etching inspection)(AEI) 단계로서, 이전에 제조된 층과 현재 층 사이의 오버레이를 측정할 수 있다.
도 1c는 본 개시의 하나 이상의 실시형태에 따른 입자-빔 계측 툴(104)의 개념도이다. 입자-빔 계측 툴(104)은, 이에 한정되는 것은 아니지만, 전자-빔 계측 툴(예를 들어, SEM, CD-SEM 등) 또는 이온-빔 계측 툴(예를 들어, 집중 이온 빔(focused-ion-beam)(FIB) 계측 툴)과 같은, 디바이스 피처 또는 디바이스-스케일 피처를 분석하는데 적합한 임의의 유형의 계측 툴을 포함할 수 있다.
일 실시형태에서, 입자-빔 계측 툴(104)은 입자 빔(140)(예를 들면, 전자 빔, 입자 빔 등)을 생성하기 위한 입자 소스(138)(예를 들면, 전자 빔 소스, 이온 빔 소스 등)를 포함한다. 입자 소스(138)는 입자 빔(140)을 생성하기에 적합한 이 기술분야에서 공지된 임의의 입자 소스를 포함할 수 있다. 예를 들면, 입자 소스(138)는 전자 총 또는 이온 총을 포함할 수 있지만 이에 한정되지 않는다. 다른 실시형태에서, 입자 소스(138)는 조정가능한 에너지를 입자 빔(140)에 제공하도록 구성된다. 예를 들어, 전자 소스를 포함하는 입자 소스(138)는 0.1 kV 내지 30 kV 범위의 가속 전압을 제공할 수 있지만, 이에 제한되지는 않는다. 다른 실시예로서, 이온 소스를 포함하는 입자 소스(138)는 1 내지 50 keV 범위의 에너지를 갖는 이온 빔을 제공할 수 있지만 반드시 그러할 필요는 없다.
다른 실시형태에서, 입자-빔 계측 툴(104)은 하나 이상의 입자 포커싱 요소(particle focusing elements)(142)를 포함한다. 예를 들어, 하나 이상의 입자 포커싱 요소(142)는 단일의 입자 포커싱 요소 또는 복합 시스템을 형성하는 하나 이상의 입자 포커싱 요소를 포함할 수 있지만 이에 제한되지 않는다. 다른 실시형태에서, 하나 이상의 입자 포커싱 요소(142)는 입자 빔(140)을 샘플 스테이지(146)에 위치한 샘플(118)로 향하게 하도록 구성된 입자 대물렌즈(144)를 포함한다. 또한, 하나 이상의 입자 소스(138)는 정전(electrostatic), 자기(magnetic), 단일-전위(uni-potential) 또는 이중-전위(double-potential) 렌즈를 포함하는 이 기술분야에서 알려진 임의 유형의 전자 렌즈를 포함할 수 있지만 이에 제한되지는 않는다.
다른 실시형태에서, 입자-빔 계측 툴(104)은 샘플(118)로부터 나오는 입자를 이미지화 하거나 그렇지 않으면 검출하기 위한 적어도 하나의 입자 검출기(148)를 포함한다. 일 실시형태에서, 입자 검출기(148)는 전자 수집기(예를 들면, 2차 전자 수집기, 후방산란 전자 검출기 등)를 포함한다. 다른 실시형태에서, 입자 검출기(148)는 샘플표면으로부터의 전자 및/또는 광자를 검출하기 위한 광자 검출기(예를 들면, 광검출기, X-선 검출기, 광전자 증배관(photomultiplier tube)(PMT)에 결합된 섬광 요소(scintillating element) 검출기, 등)를 포함한다.
도 1c에 도시된 바와 같은 입자-빔 계측 툴(104)의 설명 및 전술한 관련 설명은 예시 목적으로만 제공된 것이며 제한하는 것으로 해석되어서는 안된다. 예를 들어, 입자 빔 계측 툴(104)은 샘플(118)을 동시에 조사하기(interrogating)에 적합한 멀티-빔 및/또는 멀티-컬럼 시스템을 포함할 수 있다. 추가 실시형태에서, 입자-빔 계측 툴(104)은 샘플(118)의 하나 이상의 위치에 하나 이상의 전압을 인가하도록 구성된 하나 이상의 구성요소(예를 들면, 하나 이상의 전극)를 포함할 수 있다. 이와 관련하여, 입자-빔 계측 툴(104)은 전압 콘트라스트 이미징 데이터를 생성할 수 있다.
또한, 입자 빔 계측 툴(104)은 임의의 제조 단계에서 오버레이를 측정할 수 있다. 예를 들면, 입자-빔 계측 툴(104)은 현재 층의 노광 및/또는 현상 후에, 현상 후 검사(after-development inspection)(ADI) 단계로서 이전에 제조된 층과 현재 층 사이의 오버레이를 측정할 수 있다. 다른 실시예로서, 광학 계측 툴(102)은 현상된 패턴이 릴리프 구조로 현재 층으로 에칭된 후에 에칭 후 검사(after-etching inspection)(AEI) 단계로서 이전에 제조된 층과 현재 층 사이의 오버레이를 측정 할 수 있다.
샘플(118)에서 입자 빔(140)의 침투 깊이는 입자 에너지에 의존할 수 있어 일반적으로 고에너지 빔이 샘플(118)로 더 깊게 침투하게 되는 것이 여기서 인식될 수 있다. 일 실시형태에서, 입자-빔 계측 툴(104)은 입자 빔(140)의 샘플(118)로의 침투 깊이에 기초하여 디바이스의 서로 다른 층을 조사(interrogate)하기 위해 상이한 입자 에너지를 사용한다. 예를 들어, 입자-빔 계측 툴(104)은 이전에 제조된 층을 특성화하기 위해 상대적으로 저에너지의 전자 빔(예를 들어, 대략적으로 1 keV 이하)을 사용할 수 있고, 더 높은 에너지 빔(예를 들어, 대략 10 keV 이상)을 사용할 수 있다. 입자 에너지의 함수로서의 침투 깊이는 서로 다른 재료에 대해 달라질 수 있어 특정 층에 대한 입자 에너지의 선택이 다양한 재료에 대해 달라질 수 있다는 것이 여기서 인식될 수 있다.
도 1d는 본 개시의 하나 이상의 실시형태에 따른 EPM 툴(106)의 개념도이다. EPM 툴(106)은 샘플(118)의 2개 이상의 피처에 대한 패턴 배치 거리를 측정하는데 적합한 이 기술분야에서 알려진 임의의 유형의 계측 툴을 포함할 수 있다. 에지-배치 계측을 사용하는 구조의 위치 및 치수 측정은, 발명의 명칭이 "패턴 배치 및 패턴 크기 측정을 위한 장치 및 방법, 및 이를 위한 컴퓨터 프로그램(APPARATUS AND METHOD FOR THE MEASUREMENT OF PATTERN PLACEMENT AND SIZE OF PATTERN AND COMPUTER PROGRAM THEREFOR)”이고 2018년 1월 4일에 공개된 국제공개No. WO 2018/004511와, 발명의 명칭이 “광학 에러에 대해 위치 측정을 정정하는 방법 및 마스크 라이터 에러를 결정하기 위한 방법(METHOD FOR CORRECTING POSITION MEASUREMENTS FOR OPTICAL ERRORS AND METHOD FOR DETERMINING MASK WRITER ERRORS)”이고 2017년 7월 11일에 발행된 미국특허 No. 9,704,238 에 전반적으로 기술되어 있으며, 이들은 모두 여기에 그 전체가 참조로 편입된다.
일 실시형태에서, EPM 툴(106)은 EPM 이미징 시스템(150) 및 정확하게 모니터링되는 샘플 스테이지(152)를 포함한다. 이와 관련하여, EPM 툴(106)은 2개의 피처 (예를 들어, 여기서 이전에 설명한 다이(die) 내의 하나 이상의 피처 및 하이브리드 오버레이 타겟)를 이미징 하고, 샘플 스테이지(152)의 좌표에 기초하여 두 피처 사이의 패턴 배치 거리를 결정할 수 있다.
또한, EPM 툴(106)의 EPM 이미징 시스템(150)은 이 기술분야에서 알려진 임의의 유형의 이미징 시스템을 포함할 수 있다. 예를 들어, EPM 이미징 시스템(150)은 광학 이미징 시스템을 포함할 수 있다. 일 실시예에서, EPM 이미징 시스템(150)은 KLA-Tencor의 IPRO-시리즈 계측 툴을 포함할 수 있지만 반드시 포함할 필요는 없다. 다른 실시예로서, EPM 이미징 시스템(150)은 KLA-Tencor의 SEM-기반 EPM (Electrical Process Monitor) 계측 툴과 같은 입자-빔 이미징 시스템을 포함할 수 있지만 이에 한정되는 것은 아니다. 또한, EPM 툴(106)은 도 1b에 도시된 광학 계측 툴(102) 및/또는 도 1c에 도시된 입자-빔 계측 툴(104) 내에 통합될 수 있지만, 반드시 그러할 필요는 없다. 다른 실시예로서, EPM 툴(106)은 광학 계측 툴(102) 또는 입자-빔 계측 툴(104) 내에 통합되지 않고 도 1b 또는 1c에 도시된 구성요소와 기능적으로 동등한 하나 이상의 구성요소를 포함할 수 있다.
일 실시형태에서, EPM 이미징 시스템(150)은 조명 빔(156)을 생성하기 위한 조명 소스(154)를 포함한다. 조명 소스(154)는, 이에 한정되는 것은 아니지만, 광학 빔을 생성하기 위한 광학 조명 소스 또는 입자 빔(예를 들면, 전자 빔, 이온 빔 등)을 생성하기 위한 입자 조명 소스와 같이, 조명 빔(156)을 생성하기에 적합한 이 기술분야에서 공지된 임의의 유형의 조명 소스일 수 있다.
다른 실시형태에서, EPM 이미징 시스템(150)은 조명 빔(156)을 샘플 스테이지(152)에 장착된 샘플(118)로 지향시키기 위한 포커싱 요소(focusing element)(158)를 포함한다. 다른 실시형태에서, EPM 이미징 시스템(150)은 샘플(118)에서 방출되는 방사선(예를 들어, 전자기 방사선, 입자 등)을 이미지화 하거나 그렇지 않으면 검출하기 위한 검출기(160)를 포함한다. 검출기(160)는 광학 검출기(예를 들면, 광 검출기, X-선 검출기, 광전자 증배관(PMT)에 결합된 섬광요소 검출기 등) 또는 전자 수집기(예를 들면, 2차 전자 수집기, 후방산란 전자 검출기 등)와 같은 임의의 유형의 검출기를 포함할 수 있지만 이에 제한되지는 않는다. 또한, 검출기(160)는 샘플(118)로부터 방출되는 방사선을 직접 캡쳐하도록 배향될 수 있거나, 하나 이상의 추가 요소에 의해 캡쳐된 방사선을 검출할 수 있다. 하나의 실시예에서, 도 1d에 도시된 바와 같이, EPM 툴(106)은 포커싱 요소(158)에 의해 수집된 방사선(radiation)의 적어도 일부를 검출기(160)로 지향시키는 빔 스플리터(162)를 포함할 수 있다.
샘플 스테이지(152)는 선택된 공차(예를 들어, 정확도 공차, 반복성 공차 등) 내에서 둘 이상의 운동 축을 따라 샘플(118)을 위치시키는데 적합한 임의의 유형의 병진 스테이지(translation stage)를 포함할 수 있다. 예를 들어, 샘플 스테이지(152)는 에어 베어링 스테이지 또는 롤러 베어링 스테이지와 같은 임의의 유형의 베어링 기술을 포함할 수 있지만 이에 제한되는 것은 아니다. 또한, 샘플 스테이지(152)는 이에 한정되는 것은 아니지만, 직접-구동 액츄에이터 또는 볼-스크류 액츄에이터와 같은 임의의 유형의 작동(actuation) 시스템을 포함할 수 있다.
다른 실시형태에서, EPM 이미징 시스템(150)은 하나 이상의 축을 따라 샘플 스테이지(152)의 위치를 정확하게 추적하기 위한 스테이지 트래킹 디바이스(stage tracking device)(164)를 포함한다. 그런 경우가 요구되는 것은 아니지만, 그 경우에 샘플 스테이지(152)의 실제 위치가 샘플 스테이지(152)의 위치를 제어하기 위한 제어 시스템보다 더 높은 정확도 및/또는 정밀도로 결정될 수 있다. 따라서, EPM 툴(106)은 (예를 들면, 스테이지 트래킹 디바이스(164)에 의해 생성된 실제 스테이지 위치에 적어도 부분적으로 기초하여 시야에 대한) 샘플(118) 상의 하나 이상의 피처의 위치를 결정할 수 있다.
스테이지 트래킹 디바이스(164)는 하나 이상의 축을 따라 샘플 스테이지(152)의 위치 및/또는 다른 추적 데이터(예를 들어, 속도, 가속도 등)를 모니터링하기에 적합한 이 기술분야에서 알려진 임의의 유형의 스테이지 트래킹 디바이스 일 수 있다. 일 실시형태에서, 스테이지 트래킹 디바이스(164)는 하나 이상의 간섭계(예를 들어, 레이저-기반 간섭계 등)를 포함한다.
다른 실시형태에서, 샘플 스테이지(152)는 안정적인 장착 표면을 제공하기에 적합한 측정 테이블(166)에 장착된다. 예를 들면, 측정 테이블(166)은 샘플 스테이지(152)를 장착하기 위한 정확하고 안정적인 평면을 제공하는 견고한(solid) 표면 (예를 들어, 화강암 등)을 포함할 수 있다. 다른 실시예로, 측정 테이블(166)은 스테이지-트래킹 측정의 정확성 및/또는 정밀도를 감소시킬 수 있는 기계적 진동을 억제하기 위한 진동 격리 시스템(vibration isolation system)(168) 상에 장착될 수 있다.
도 2는 본 개시의 하나 이상의 실시형태에 따른, 디바이스-관련 광학 오버레이를 위한 방법(200)에서 수행되는 단계를 보여주는 흐름도이다. 출원인은 오버레이 계측 시스템(100)과 관련하여 본 명세서에서 이전에 설명된 실시형태 및 가능하게 하는 기술이 방법(200)으로 확장되도록 해석되어야 한다는 점에 주목한다. 그러나, 방법(200)은 오버레이 계측 시스템(100)의 구성에 국한되지 않는다는 것을 추가로 언급한다.
일 실시형태에서, 방법(200)은 하이브리드 오버레이 타겟의 광학적으로 분해가능한 피처(optically-resolvable features)에 기초하여 샘플상에 하이브리드 오버레이 타겟의 광학 오버레이를 측정하는 단계(202)를 포함한다. 예를 들면, 단계(202)는 이에 한정되는 것은 아니지만, 광학 계측 툴(102)과 같은 광학 오버레이 계측 툴을 사용하여 수행될 수 있다. 다른 실시형태에서, 방법(200)은 하이브리드 오버레이 타겟의 디바이스-스케일 피처(device-scale features)에 기초하여 하이브리드 오버레이 타겟의 디바이스-스케일 오버레이를 측정하는 단계(204)를 포함한다. 예를 들어, 단계(204)는 이에 한정되는 것은 아니지만, 입자-빔 계측 툴(104)과 같은 고해상도 계측 툴을 사용하여 수행될 수 있다. 다른 실시형태에서, 방법(200)은 상기 광학 오버레이와 상기 디바이스-스케일 오버레이 사이의 차이에 기초하여 하이브리드 오버레이 타겟에 대한 광학 툴 에러 조정(optical tool error adjustments)을 결정하는 단계(206)를 포함한다. 예를 들면, 단계(202)는 이에 한정되는 것은 아니지만, 컨트롤러(108)와 같은 광학 오버레이 계측 툴을 사용하여 수행될 수 있다.
본 개시의 목적에서, 용어 "광학적으로 분해가능한(optically-resolvable)"은 피처의 적어도 일부가 선택된 공차 내에서 선택된 광학 계측 툴(예를 들어, 광학 계측 툴(102))로 분석 가능함을 나타낸다. 또한, "디바이스-스케일(device-scale)" 피처는 제조된 디바이스에 포함될 디바이스 피처(device features)와 유사한 하나 이상의 특징(예를 들어, 선폭(line-widths), 피처 간의 분리 거리 등)을 포함할 수 있다. 여기서 특정 디바이스 피처는 선택된 광학 계측 툴에서 적어도 부분적으로 분해 가능할 수 있지만, 선택된 광학 계측 툴의 분해능(resolution) 아래의 특징(characteristics)을 더 포함할 수 있음이 인식된다. 용어 "광학적으로 분해가능한(optically-resolvable)" 피처 및 "디바이스-스케일(device-scale)" 피처는 예시적인 것이며 샘플상의 임의의 패턴화된 피처의 크기, 방향 또는 분포를 제한하고자 하는 것이 아님을 이해해야 한다.
또한, 오버레이 타겟의 광학적으로 분해가능한 피처에 기초한 광학 오버레이 측정은 관심 디바이스 피처에 대한 광학적으로 분해가능한 피처의 크기, 방향 및/또는 밀도 사이의 차이와 관련된 광학 툴 에러를 나타낼 수 있다는 점이 여기서 인식될 수 있다. 따라서, 오버레이 타겟의 광학 오버레이 측정은 관심 디바이스 피처의 실제 오버레이와 관련하여 계통 오차(systematic error)를 나타낼 수 있다.
방법(200)의 단계(202) 내지 단계(206)에서, 광학 툴 에러는 광학적으로 분해가능한 피처 및 디바이스-스케일 피처 모두를 포함하는 하이브리드 오버레이 타겟을 사용하여 특징지을 수 있다. 따라서 단계(202)는 광학적으로 분해가능한 피처를 사용하여 하이브리드 오버레이 타겟의 오버레이를 측정하는 것을 포함할 수 있고, 단계(204)는 디바이스-스케일 피처를 사용하여 동일한 하이브리드 오버레이 타겟의 오버레이를 측정하는 것을 포함할 수 있다. 광학 오버레이와 디바이스-스케일 오버레이 사이의 차이의 크기와 방향은 임의의 현재 또는 미래의 측정에서 계통(systematic) 광학 툴 에러를 수정하는데 사용될 수 있다.
예를 들어, 단계(206)의 광학 툴 에러 조정이 결정되면, 하이브리드 오버레이 타겟의 광학 오버레이를 측정하고 알려진 광학 툴 에러 조정으로 광학 오버레이를 조정함으로써 디바이스-관련 오버레이가 효율적으로 생성될 수 있다. 이 점에서, 오버레이 계측 시스템(예를 들어, 오버레이 계측 시스템(100) 등)은 이에 한정되는 것은 아니지만, 높은 처리 능력 및 반복적인 피처 사용으로 인한 저잡음 측정과 같은 광학 오버레이 계측의 이점을 활용하면서, 디바이스-관련 오버레이 측정을 생성할 수 있다.
하이브리드 오버레이 타겟의 광학적으로 분해가능한 피처 및 디바이스-스케일 피처는, 동일한 방향 또는 방향들로 광학 및 디바이스-스케일 오버레이를 모두 제공하기에 적합한 하이브리드 오버레이 타겟에서 임의의 배향 또는 분포를 가질 수 있다. 일 실시형태에서, 광학적으로 분해가능한 피처 및 디바이스-스케일 피처는 물리적으로 분리된다. 예를 들어, 임베디드 디바이스-스케일 피처를 가진 광학 계측 타겟은, 2015년 7월 28일 발행된 “임베디드 SEM 구조 오버레이 타겟을 가진 OVL에 대한 디바이스 상관 계측(DCM)(DEVICE CORRELATED METROLOGY (DCM) FOR OVL WITH EMBEDDED SEM STRUCTURE OVERLAY TARGETS)”이라는 발명명칭의 미국특허 No. 9,093,458 에 전반적으로 설명되어 있으며, 이는 여기에 참조로 그 전체가 편입된다. 다른 실시형태에서, 하이브리드 오버레이 타겟의 광학적으로 분해되는 피처(optically-resolved features)의 적어도 일부는 디바이스-스케일 피치(pitch)로 세그먼트화된다. 이와 관련하여, 광학 오버레이 측정 및 디바이스-스케일 오버레이 측정은 동일한 물리적 위치에서 수행될 수 있으며, 이는 오버레이 툴 에러의 증가된 정확도를 제공할 수 있다. 예를 들어, 광학적으로 분해가능한 피처 및 디바이스-스케일 피처를 갖는 세그먼트화된 타겟은 2014년 10월 16일에 공개된 "프로세스 호환가능한 세그먼트화된 타겟 및 설계 방법(Process Compatible Segmented Targets and Design Methods)"이라는 발명명칭의 미국특허공개 No. US 2014/0307256에 전반적으로 설명되어 있으며, 이는 여기에 참조로 그 전체가 편입된다.
도 3은 본 개시의 하나 이상의 실시형태에 따른, 디바이스-스케일 피처로 세그먼트화된 광학적으로 분해가능한 피처를 갖는 하이브리드 오버레이 타겟의 일련의 이미지이다. 광학 이미지(302)는 2개의 샘플 층 사이의 오버레이를 측정하기에 적합한 고급 이미징 계측(Advanced Imaging Metrology)(AIM) 오버레이 타겟(304)을 나타낸다. 예를 들면, 타겟(304)은, 각각 샘플의 제1 층에 있는 제1 층 피처(308) 및 샘플의 제2 층에 있는 제2 층 피처(310)를 갖는 4개의 사분면(306a-306d)을 포함하여, 제1 층 피처(308)와 제2 층 피처(310) 사이의 상대적인 위치가 제1 층 및 제2 층 사이의 오버레이를 나타낸다. 또한, 사분면 중 2개(예를 들어, 사분면 (306a, c))는 제1 방향을 따라 오버레이를 제공할 수 있고, 사분면 중 2개(예를 들어, 사분면 (306b, d))는 제2 방향을 따라 오버레이를 제공할 수 있다.
일 실시형태에서, 광학 이미지(302)의 피처(예를 들어, 제1 층 피처(308) 및/또는 제2 층 피처(310))는 광학적으로 분해가능한 요소 및 디바이스-스케일 요소를 모두 포함하도록 세그먼트화된다. 예를 들어, 광학 이미지(302)에 도시된 바와 같이, 제1 층 피처(308)는 광학적으로 분해가능한 피치(pitch)(316)로 분포된 광학적으로 분해가능한 폭(314)을 갖는 제1 층의 광학적으로 분해가능한 세그먼트(312)를 포함하도록 세그먼트화될 수 있다. 유사하게, 제2 층 피처(310)는 광학적으로 분해가능한 폭(314) 및 광학적으로 분해가능한 피치(316)를 갖는 제2 층의 광학적으로 분해가능한 세그먼트(318)를 포함하도록 세그먼트화될 수 있다.
또한, 광학 이미지(302)의 피처 중 적어도 일부(예를 들어, 제1 층의 광학적으로 분해가능한 세그먼트(312) 및/또는 제2 층의 광학적으로 분해가능한 세그먼트(318))는 디바이스-스케일 피처를 포함하도록 더 세그먼트화될 수 있다. 디바이스-스케일 이미지(320)는 더 높은 배율로 나타낸 타겟(304)의 일부분(322)을 도시한다. 예를 들어, 제1 층의 광학적으로 분해가능한 세그먼트(312)는 선택된 광학 계측 툴(예를 들면, 광학 계측 툴(102))로 선택된 공차 내로 분해될 수 없는 서브-해상도 폭(sub-resolution width)(326) 및/또는 서브-해상도 피치(sub-resolution pitch)(328)를 갖는 디바이스-스케일 피처(324)로 세그먼트화될 수 있다. 유사하게, 제2 층의 광학적으로 분해가능한 세그먼트(318)는 서브-해상도 피치(328) 및 서브-해상도 폭(326)을 갖는 디바이스-스케일 피처(330)로 세그먼트화될 수 있다.
따라서, 제1 층과 제2 층 사이의 광학 오버레이는 제1 층의 광학적으로 분해가능한 세그먼트(312) 및 제2 층의 광학적으로 분해가능한 세그먼트(318)의 상대적 위치에 기초하여 (예를 들면, 광학 계측 툴(102)로) 생성될 수 있다. 반면에, 디바이스-스케일 오버레이는 제1 층의 디바이스-스케일 피처(324) 및 제2 층의 디바이스-스케일 피처(330)의 상대적 위치에 기초하여 (예를 들면, 입자-빔 계측 툴(104)로) 생성될 수 있다. 그리고 광학 툴 에러(예를 들면, 수학식 (1)의 (Optical Tool Error)target)는 상기 광학 오버레이와 디바이스-스케일 오버레이 간의 차이에 기초하여 결정될 수 있다.
도 3에 도시된 이미지-기반 타겟(304)은 위의 관련 설명과 함께, 예시 목적으로만 제공되는 것이며 제한적인 것으로 해석되어서는 안되는 것이 이해되어야 한다. 예를 들면, 광학 오버레이 측정에 적합한 오버레이 타겟은 샘플(118)의 임의의 층에 광학적으로 분해가능한 피처의 임의의 분포를 가질 수 있다. 다른 실시예로서, 오버레이 타겟은 이에 한정되는 것은 아니지만, 산란계측-기반 기술과 같은 비-이미지-기반(non-image-based) 오버레이 기술을 사용하는 오버레이 측정을 위해 구성될 수 있다. 이와 관련하여, 광학 계측 툴(102)은 광학 조명 빔(116)과 여러 개의 관심 샘플 층 상의 오버레이 타겟 피처의 동시 상호 작용과 관련된 회절 차수(diffraction orders)를 캡쳐하고, 캡쳐된 신호의 모델-기반 분석을 사용하여 오버레이를 결정할 수 있다.
다른 실시예로서, 이에 한정되는 것은 아니지만, 타겟(304)과 같은 타겟은 주기적 구조(periodic structure)(예를 들어, 하나 이상의 방향으로 주기적으로 분포된 피처)를 포함할 수 있다. 하나 이상의 층에서 주기적 피처를 갖는 타겟은 다수의 측정 위치를 제공할 수 있음이 여기서 인식된다. 예를 들어, 오버레이는 임의의 주기적 요소에 대해 측정될 수 있다. 이 점에서, 하나 이상의 층에 주기적 요소를 갖는 타겟은 이에 따라 오버레이 측정(예를 들어, 광학 오버레이 측정 또는 디바이스-스케일 피처의 입자-기반 오버레이 측정)의 정확도 및/또는 스루풋을 향상시킬 수 있다. 예를 들어, 오버레이 측정과 관련된 주어진 도스(dose)의 조명에서 (예를 들면, 샘플 상의 면적 당 축적된(deposited) 에너지), 다수의 측정 위치에 기반한 주기적 피처의 오버레이 측정은 단일 측정 위치에 기반한 오버레이 측정(예를 들면, 단일 피처(a single feature))보다 더 높은 정확도를 가질 수 있다. 다른 예에서, 주어진 오버레이 측정 정확도는 단일 측정 위치에 기반한 오버레이 측정과 비교하여 다수 측정 위치에 기반할 때 더 낮은 도스의 조명을 사용하여 획득될 수 있다. 여기서, 오버레이 측정을 수행하는데 필요한 조명의 도스를 줄이는 것이 샘플(118)의 손상을 완화하고 및/또는 측정 스루풋을 증가시킬 수 있다는 것이 추가로 인식된다.
다른 실시형태에서, 방법(200)은 하이브리드 오버레이 타겟에 대해 디바이스 영역 내의 하나 이상의 피처에 대한 타겟-대-디바이스 배치 에러를 측정하는 단계(208)를 포함한다. 다른 실시형태에서, 방법(200)은 상기 타겟-대-디바이스 배치 에러에 기초하여 디바이스 영역에 대한 하나 이상의 타겟-대-디바이스 조정을 생성하는 단계(210)를 포함한다.
여기에서 오버레이 에러는 거의 모든 제조 스테이지에서 초래될 수 있으며, 생산 실행에서 샘플에 걸쳐 공간적으로(spatially), 또는 한 샘플에서 다음 샘플로 또는 샘플의 한 로트에서 다음 로트로 시간적으로(temporally) 달라질 수 있다. 예를 들어, 리소그래피 툴(예를 들어, 스테퍼, 스캐너 등)은 일반적으로 전체 샘플보다 작은 시야를 가질 수 있으므로, 샘플을 별개로 노광할 수 있는 일련의 노출 필드(예: 그리드)로 나눌 수 있다. 하나 이상의 노출 필드의 노광(exposure) 단계 동안 샘플에 대한 레티클(reticle)의 오정렬과 관련된 그리드 에러(grid errors)는 샘플에 걸쳐 공간적으로 변하는 오버레이 에러에 기여할 수 있다. 또한, 노광 중 리소그래피 툴의 수차(예를 들면, 렌즈 수차, 열과 관련된 난류 등)는 단일 노출 필드 내에서 공간적으로 변하는 패턴 배치 에러를 초래할 수 있다. 또 다른 실시예로서, 오버레이 에러는 노광된 패턴에 기초한 샘플 상의 3차원 구조의 제작과 관련된 공정 에러를 포함할 수 있다. 공정 에러에는 리소그래피 중에 노광된 패턴의 왜곡(distortions), 에칭으로 인한 에러(etch-induced errors), 연마 에러(polishing errors) 또는 샘플의 편차와 관련된 에러가 포함될 수 있지만 이에 국한되지 않는다. 그 결과, 오버레이 타겟에서 측정되는 오버레이는 오버레이 타겟과 디바이스 피처 사이의 변위(displacement)에 기초하여 공간적으로 변화하는 타겟-대-디바이스 에러가 발생될 수 있다.
오버레이 타겟은 일반적으로 샘플 상의 임의의 위치에 배치될 수 있다. 그러나 타겟에 있는 피처의 크기, 방향 및/또는 밀도는 타겟 배치에 영향을 줄 수 있다. 예를 들어, 광학적으로 분해가능한 피처를 갖는 오버레이 타겟은 일반적으로 디바이스 피처에 대해 다이 내에 공간을 확보하기 위해 및/또는 광학적으로 분해가능한 피처가 프로세스 설계 규칙을 준수하지 않을 수 있기 때문에, 샘플의 다이(dies) 사이의 스크라이브 라인(scribe lines)에 배치된다. 다른 실시예로서, 프로세스 설계 규칙을 준수하는 피처를 갖는 오버레이 타겟은 일반적으로 관심 디바이스 피처 근처의 샘플 다이 내 또는 스크라이브 라인 내에 배치될 수 있다.
도 4는 본 개시의 하나 이상의 실시형태에 따른 오버레이 타겟의 배치를 예시하는 웨이퍼 다이의 개념 평면도이다. 샘플(118)은 스크라이브 라인(404)으로 둘러싸인 적어도 하나의 디바이스 영역(예를 들어, 다이(dies)(402))을 포함할 수 있다. 또한, 다이(402)는 제조될 디바이스와 관련된 디바이스 피처를 포함할 수 있고 스크라이브 라인(404)은 다이(402) 사이에 버퍼 영역을 제공할 수 있다. 일 실시형태에서, 광학적으로 분해가능한 피처 및 디바이스-스케일 피처를 모두 포함하는 적어도 하나의 하이브리드 오버레이 타겟(406)은 스크라이브 라인(404) 내에 위치된다. 따라서 하이브리드 오버레이 타겟(406)은 민감한 오버레이 측정을 제공하도록 설계될 수 있고, 타겟의 크기는 디바이스 피처를 위한 샘플(118) 상의 사용 가능한 공간을 감소시키지 않을 수 있다. 예를 들면, 하이브리드 오버레이 타겟(406)은 도 3a에 도시된 하이브리드 오버레이 타겟(304)과 같은 디바이스-스케일 피처를 포함하도록 세그먼트화된 광학적으로 분해가능한 피처를 포함할 수 있지만, 반드시 포함할 필요는 없다. 다른 실시형태에서, 디바이스-스케일 피처를 포함하는 하나 이상의 디바이스-스케일 오버레이 타겟(408)은 (예를 들면, 드롭 인(drop-in) 타겟으로서) 다이(402) 내 선택된 위치에 위치될 수 있다. 예를 들어, 하이브리드 오버레이 타겟(406)은 디바이스 설계 규칙을 준수하도록 설계될 수 있고, 비교적 작으며, 도 3b에 도시된 것과 같은 디바이스-스케일 특성을 갖는 피처를 포함할 수 있지만 반드시 포함할 필요는 없다.
도 5는 본 개시의 하나 이상의 실시형태에 따른, 디바이스 피처(502) 및 공간적으로 분리된 오버레이 타겟(504) 상의 오버레이 에러의 개념적 측면도이다.
일 실시형태에서, 오버레이 타겟(504)의 제1 층(506)과 제2 층(508) 사이의 타겟-대-디바이스 오버레이 에러는 오버레이 타겟과 각 층에 대한 디바이스 피처 사이의 패턴 배치 거리 간의 차이에 적어도 부분적으로 기초한다(예: 수학식 (1)의 ΔPPE target_to_device). 예를 들어, 제1 층 타겟 피처(504a)와 디바이스 피처(502a) 사이의 제1 층의 패턴 배치 거리(510)는 노출 필드에 걸친 디바이스 피처(502a)의 위치 함수로서 변화할 수 있다. 유사하게, 제2 층 타겟 피처(504b)와 디바이스 피처(502b) 사이의 제2 층의 패턴 배치 거리(512)는 노출 필드에 걸친 디바이스 피처(502b)의 위치 함수로서 변화할 수 있다. 또한, 제1 층의 패턴 배치 거리(510) 및 제2 층의 패턴 배치 거리(512)는 임의의 주어진 위치에서 서로 상이할 수 있으며, 이는 위치-종속적인 타겟-대-디바이스 오버레이 에러를 발생시킨다. 따라서, 단계(210)는 다이(402) 내의 다양한 위치에서 측정된 제1 층의 패턴 배치 거리(510)와 제2 층의 패턴 배치 거리(512) 사이의 차이 (예를 들어, 수학식 1의 ΔPPE target_to_device) 에 기초하여 타겟-대-디바이스 조정을 생성하는 것을 포함할 수 있다. 도 5는 단일 방향을 따른 오버레이 측정을 도시하였으나, 변위는 여러 방향을 따라 측정될 수 있다.
일 실시형태에서, 패턴 배치 거리는 이에 한정되는 것은 아니지만, 오버레이 계측 시스템(100)의 EPM 툴(106)과 같은 EPM 툴을 사용하여 각 층에 대해 직접 측정된다. 도 6은 본 개시의 하나 이상의 실시형태에 따라 타겟-대-디바이스 조정을 생성하기 위한 서브스텝를 도시하는 흐름도이다. 일 실시형태에서, 단계(210)는 제1 층(506)의 노광 및 에칭 후에 AEI 단계로서 다이(402) 내의 하나 이상의 디바이스-스케일 피처(예를 들어, 디바이스-스케일 오버레이 타겟, 관심 디바이스 피처 등)에 대한 제1 층의 패턴 배치 거리(510)를 측정하는 서브스텝(602)을 포함한다. 다른 실시형태에서, 단계(210)는 제2 층의 노광 후에 ADI 단계로서 디바이스-스케일 피처에서 오버레이를 측정하는 서브스텝(604)을 포함한다. 예를 들어, 서브스텝(604)은 측정된 층들에 대한 패턴 배치 거리 측정 간 칼리브레이션을 제공할 수 있다. 다른 실시형태에서, 단계(210)는 제2 층(508)의 노광 후에 ADI 단계로서 하나 이상의 디바이스-스케일 피처에 대한 제2 층의 패턴 배치 거리(512)를 측정하는 서브스텝(606)을 포함한다. 따라서, 타겟-대-디바이스 조정은 제1 층의 패턴 배치 거리(510)와 제2 층의 패턴 배치 거리(512) 사이의 차이에 기초하여 결정될 수 있다.
본 명세서에서 앞서 설명한 바와 같이, EPM은 광학 이미징 또는 입자-빔 이미징에 기반하여 작동할 수 있다. 예를 들어, 입자-빔 이미징을 기반으로 하는 EPM 툴의 경우, 서브스텝(602)의 제1 층의 패턴 배치 거리(510)는 저에너지 입자 빔을 사용하여 측정될 수 있으며, 디바이스-스케일 피처의 오버레이는 제2 층(508)의 피처에 대해 저에너지 입자 빔을, 제1 층(506)의 피처에 대해 고에너지 입자 빔을 사용하여 측정될 수 있고, 제2 층의 패턴 배치 거리(512)는 저에너지 입자 빔을 사용하여 측정될 수 있다.
다른 실시형태에서, 타겟-대-디바이스 조정은 하이브리드 오버레이 타겟에서 측정된 디바이스-스케일 오버레이와 다이(402) 내의 디바이스-스케일 오버레이 타겟 사이의 차이에 적어도 부분적으로 기초한다. 예를 들어, 도 4를 다시 참조하면, 타겟-대-디바이스 조정은 스크라이브 라인(404)에 위치한 하이브리드 오버레이 타겟(406)에서 측정된 오버레이와 다이(402) 내의 하나 이상의 디바이스-스케일 오버레이 타겟(408)에서 측정된 오버레이 사이의 차이에 기초하여 생성될 수 있다. 이와 관련하여, 샘플(118)에 걸친 여러 위치에서 측정된 디바이스-스케일 오버레이 간의 편차(variations)는 대응하는 타겟-대-디바이스 조정에 의해 수정될 수 있는 필드 내(intra-field) 편차의 매핑을 제공할 수 있다. 또한, 샘플에 걸친 오버레이 편차에 기초한 이러한 타겟-대-디바이스 조정은 높은 정확도의 스테이지(예를 들어, 샘플 스테이지)를 가진 EPM에 대한 필요없이 오버레이 계측 툴(예를 들어, 입자-빔 계측 툴(104))을 사용하여 생성될 수 있다.
다시 도 2를 참조하면, 다른 실시형태에서, 방법(200)은 디바이스 영역 내의 하나 이상의 위치에 대한 디바이스-관련 오버레이 측정을 결정하는 단계(212)를 포함한다. 일 실시형태에서, 디바이스-관련 오버레이 측정은 단계(202)의 광학 오버레이, 단계(206)의 광학 툴 에러 조정 및 단계(210)의 타겟-대-디바이스 조정에 기초하여 생성된다.
예를 들어, 다시 도 4 및 도 5를 참조하면, 다이(402) 내의 특정 위치에서의 디바이스-관련 오버레이(예를 들어, 수학식 (1)의 OVL device)는 공칭(nominal) 위치로부터의 제1 층 디바이스 피처(502a)와 제2 층 디바이스 피처(502b) 사이의 상대적 변위로 특징지어 질 수 있다. 이 디바이스-관련 오버레이 측정은 하이브리드 오버레이 타겟(406)의 조정된 측정에 기초하여 간접적으로 결정될 수 있다(예를 들어, 수학식 (1) 참조). 예를 들어, 온-타겟 광학 오버레이 측정(OVL target)은 오버레이 타겟(504)(도 5에 미도시)의 광학적으로 분해가능한 피처에 기초하여 결정될 수 있다. 광학 툴 에러((Optical Tool Error)target)는 광학 오버레이와 디바이스-스케일 타겟 피처(예를 들어, 타겟 피처(504))의 온-타겟 오버레이 간의 차이에 기초하여 결정될 수 있다. 또한, 타겟-대-디바이스 에러(ΔPPE target-to-device)는 여러 위치에서 제1 층의 패턴 배치 거리(510) 및 제2 층의 패턴 배치 거리(512)에 대한 직접 측정을 통해서 및/또는 디바이스-스케일 오버레이 타겟(408)에서 오버레이 편차를 측정함으로써 결정될 수 있다.
다른 실시형태에서, 디바이스-관련 오버레이 측정은 하이브리드 오버레이 타겟의 광학 오버레이 측정과 디바이스-관련 오버레이 측정 사이의 변환(transformation)으로 표현된다. 예를 들어, 광학적으로 분해가능한 피처와 디바이스-스케일 피처를 모두 포함하도록 세그먼트화된 요소를 갖는 하이브리드 오버레이 타겟을 도시하는 도 3을 다시 참조하면, 오버레이 타겟의 주기적 성질은 하이브리드 AIM 오버레이 타겟의 광학 오버레이 측정과 디바이스-관련 오버레이 측정 사이의 매우 민감한 변환(transformation)을 제공하는데 활용될 수 있다. 예를 들어, 광학 계측 툴(예: 광학 계측 툴(102)) 및 디바이스-분해가능한 계측 툴(device-resolvable metrology tool)(예: 입자-빔 계측 툴(104))로 공통 관심 영역의 오버레이를 측정하면 광학적으로 분해가능한 피치(316) 및 서브-해상도 피치(328) 모두에 기초한 공간-주파수 고조파(spatial-frequency harmonics)를 포함하는 주기적 신호를 갖는 이미지를 제공할 수 있다.
Figure pct00002
(2)
Figure pct00003
(3)
여기서 Kerdevice는 진폭이 Ai device이고 위상이 Φi device인 i차 고조파(ith-order harmonics)를 갖는 디바이스-스케일 피처를 나타내고, Keroptical은 진폭이 Ai optical이고 위상이 Φi optical인 광학적으로 분해가능한 피처의 i차 고조파를 나타낸다. Pdevice는 서브-해상도 피치(328), Poptical은 광학적으로 분해가능한 피치(316), ΔPPEtarget-to-device는 수학식 (1)의 타겟-대-디바이스 에러이고, x는 측정 방향이다. 여기에서 필드의 각 위치에서의 ΔPPEtarget-to-device는 x-방향의 시프트로 표시됨에 주의한다.
공간-주파수 고조파 컴포넌트 Kerdevice 및 Keroptical (ΔPPEtarget-to-device 제외) 은, 이에 한정되는 것은 아니지만, 광학 계측 툴 및 디바이스-분해가능한 계측 툴(device-resolvable metrology tool)을 모두 이용하여 생성된 관심 영역의 이미지에 대한 공간 주파수 분석과 같이 이 기술분야에서 알려진 임의의 방법을 사용하여 결정될 수 있다. 예를 들어, 공간 주파수 분석은 푸리에 변환(Fourier Transform)(FT) 분석, 고속 푸리에 변환(Fast Fourier Transform)(FFT) 분석 등과 같은 푸리에 분석 기술을 포함할 수 있지만 이에 제한되지는 않는다.
그리고 하이브리드 오버레이 타겟(406)의 광학적으로 분해가능한 피처와 연관된 신호가 측정된 ΔPPEtarget-to-device 및 변환(T)을 갖는 각각의 디바이스-스케일 오버레이 타겟(408)에서의 디바이스-관련 신호와 연관될 수 있다:
Figure pct00004
(5)
또한, 변환(T)은 하이브리드 오버레이 타겟(406)의 부가적인 부정확성 및/또는 하이브리드 오버레이 타겟(406)을 사용하는 오버레이의 광학적 측정을 모니터링 및/또는 보상할 수 있다. 여기서 오버레이 타겟의 측정은 측정 전에 알려지거나 알려지지 않은 타겟 및/또는 시스템 결함과 관련된 다양한 에러를 포함할 수 있는 것이 인식되어야 한다. 예를 들어, 오버레이 타겟의 품질 및/또는 정확도를 평가하기 위한 메트릭스(metrics)는, 2016년 5월 3일에 발행된 발명 명칭 "편심 타겟 부정확도를 추정 및 정정하기 위한 방법(METHOD FOR ESTIMATING AND CORRECTING MISREGISTRATION TARGET INACCURACY)"의 미국특허 No. 9,329,033 및 2013년 2월 7일에 공개된 발명 명칭 "개선된 프로세스 제어를 위한 품질 메트릭을 제공하기 위한 방법 및 시스템(METHOD AND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESS CONTROL)"의 미국특허공개 No. 2013/0035888 에 전반적으로 설명되어 있고, 이들은 모두 여기에 참조로 그 전체가 편입된다.
도 2를 다시 참조하면, 다른 실시형태에서, 방법(200)은 디바이스-관련 오버레이 측정에 기초하여 적어도 하나의 후속 노출에 대한 노출 조건을 수정하기 위해 리소그래피 툴에 디바이스 영역에 대한 오버레이 정정가능치들(correctables)을 제공하는 단계(214)를 포함한다.
예를 들면, 단계(214)는 이에 한정되는 것은 아니지만, 디바이스-관련 오버레이에 기초하여 리소그래피 툴과 같은 제조 툴에 대해 제어 매개변수 (또는 제어 매개변수에 대한 정정)를 생성하는 단계를 포함할 수 있다. 제어 매개변수는 이에 한정되는 것은 아니지만, 오버레이 계측 시스템(100)의 컨트롤러(108)와 같은 제어 시스템에 의해 생성될 수 있다. 오버레이 정정가능치들은 피드백 및/또는 피드포워드 제어 루프의 일부로서 제공될 수 있다. 일 실시형태에서, 샘플에 대해 측정된 현재 공정 단계와 관련된 디바이스-관련 오버레이 측정은 하나 이상의 제조 공정의 드리프트(drifts)를 보상하는데 사용되며, 따라서 동일한 또는 상이한 로트에서 후속 샘플들에 대한 여러 노출에 걸쳐 선택된 공차(tolerances) 내에서 오버레이를 유지할 수 있다. 다른 실시형태에서, 현재 공정 단계와 연관된 디바이스-관련 오버레이 측정은 임의의 측정된 오버레이 에러를 보상하기 위해 후속 공정 단계를 조정하기 위해 피드포워드(fed-forward) 될 수 있다. 예를 들어, 후속 층들의 패턴 노출은 후속 층들의 측정된 오버레이와 일치하도록 조정될 수 있다.
오버레이 정정가능치들(correctables)은 선택된 빈도로 생성 및/또는 전송될 수 있다. 예를 들어, (주어진 샘플 및/또는 주어진 로트에서) 오버레이 정정가능치들이 생성될 수 있는 빈도는 오버레이 측정의 스루풋에 따라 달라질 수 있다. 또한, 광학 오버레이 측정에 대한 조정이 임의의 선택된 빈도로 생성될 수 있다.
일 실시형태에서, 이에 한정되는 것은 아니나, 단계(206)에서 생성된 광학 툴 에러 조정 및/또는 단계(210)의 타겟-대-디바이스 조정과 같은, 디바이스-관련 오버레이 조정은 단계(202)의 광학 오버레이 측정보다 덜 자주 생성될 수 있다. 예를 들어, 디바이스-관련 오버레이 조정은, 이에 한정되는 것은 아니나, 로트 당 한 번, 선택된 수의 로트 당 한 번 등과 같이, 선택된 간격으로 생성될 수 있고, 이에 반해 하이브리드 오버레이 타겟의 광학 오버레이 측정은 샘플에 걸쳐 여러 위치에서 수행될 수 있다. 이와 관련하여, 각 샘플의 광학 오버레이 측정은 생산 실행 동안 인-라인 제어에 적합한 광학 계측 툴의 스루풋으로 정확한 디바이스-관련 오버레이를 제공하기 위해 이전에 생성된 디바이스-관련 조정(adjustments)을 사용하여 조정될 수 있다.
여기에 설명된 대상은 때때로 다른 구성요소 내에 포함되거나 다른 구성요소와 연결되는 다양한 구성요소를 나타낸다. 그와 같이 설명된 아키텍쳐들은 단지 예시일 뿐이며 실제로 동일한 기능을 달성하는 많은 다른 아키텍처가 구현될 수 있음이 이해될 것이다. 개념적 의미에서, 동일한 기능을 달성하기 위한 구성요소의 임의의 배열은 효과적으로 "연관되어(associated)" 바람직한 기능이 달성될 수 있다. 따라서, 여기서 특정 기능을 달성하기 위해 결합된 임의의 두 구성요소는 아키텍처 또는 중간 구성요소에 관계없이 원하는 기능이 달성되도록 서로 "연관되어진(associated with)”것으로 볼 수 있다. 마찬가지로, 이와 같이 연관된 두 구성요소는 원하는 기능을 달성하기 위해 서로 "연결된(connected)" 또는 "결합된(coupled)”것으로 볼 수 있으며, 그렇게 연관될 수 있는 두 구성요소는 원하는 기능을 달성하기 위해 서로 "결합 가능한(couplable)" 것으로 볼 수도 있다. 결합 가능한(couplable) 특정 예는 물리적으로 상호작용할 수 있고/있거나 물리적으로 상호작용하는 구성요소 및/또는 무선으로 상호작용할 수 있고/있거나 무선으로 상호 작용하는 구성요소 및/또는 논리적으로 상호작용할 수 있고/있거나 논리적으로 상호작용하는 구성요소를 포함하지만 이에 제한되지는 않는다.
본 개시 및 그에 수반되는 많은 이점이 전술한 설명에 의해 이해될 것이며, 개시된 주제를 벗어나지 않거나 이의 모든 실질적인 이점들을 희생하지 않고서, 구성 요소의 형태, 구성 및 배열에 다양한 변경이 이루어질 수 있다는 것이 명백할 것이다. 설명된 형태는 단지 예시적인 것이며, 다음의 청구범위는 그러한 변경을 포괄하고 포함하는 것을 의도한다. 또한, 본 발명은 첨부된 청구범위에 의해 정의되는 것이 이해될 것이다.

Claims (42)

  1. 계측 시스템에 있어서,
    컨트롤러로서, 상기 컨트롤러는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하는 것인, 상기 컨트롤러
    를 포함하고, 상기 프로그램 명령어들은 상기 하나 이상의 프로세서가,
    광학적으로 분해가능한 피처(optically-resolvable feature)들 및 디바이스-스케일 피처(device-scale feature)들을 포함하는 하이브리드 오버레이 타겟에 대해, 상기 광학적으로 분해가능한 피처들에 기초한 광학 오버레이 측정과 상기 디바이스-스케일 피처들에 기초한 디바이스-스케일 오버레이 측정 사이의 차이를 측정함으로써 광학 툴 에러 조정(optical tool error adjustment)들을 생성하게 하고;
    디바이스 영역 내의 피처들의 위치들에 기초하여 상기 하이브리드 오버레이 타겟에 대한 타겟-대-디바이스 조정(target-to-device adjustment)들을 생성하게 하고;
    상기 광학 오버레이 측정, 상기 광학 툴 에러 조정들, 또는 상기 타겟-대-디바이스 조정들 중 적어도 하나에 기초하여 디바이스 영역에서 하나 이상의 위치에 대한 디바이스-관련 오버레이 측정(device-relevant overlay measurement)들을 결정하게 하며;
    상기 디바이스-관련 오버레이 측정들에 기초하여 적어도 하나의 후속 노출에 대한 노출 조건들을 수정하기 위해 리소그래피 툴에 상기 디바이스 영역에 대한 오버레이 정정가능치(overlay correctable)들을 제공하게 하도록 구성되는 것인, 계측 시스템.
  2. 청구항 1에 있어서, 상기 타겟-대-디바이스 조정들을 결정하기 위한 상기 디바이스 영역 내의 상기 피처들의 위치들은,
    샘플의 두 개 이상의 층들에 대해 상기 하이브리드 오버레이 타겟과 상기 디바이스 영역 내의 피처들 사이의 패턴 배치 거리(pattern placement distance)들을 포함하는 것인, 계측 시스템.
  3. 청구항 2에 있어서, 상기 하이브리드 오버레이 타겟과 상기 디바이스 영역 내의 상기 피처들 사이의 패턴 배치 거리들은 에지-배치 계측 툴로부터 수신되고, 상기 에지-배치 계측 툴은,
    상기 샘플을 고정하기 위한 병진 스테이지(translation stage)로서, 상기 병진 스테이지는 상기 하이브리드 오버레이 타겟과 상기 디바이스 영역 내의 상기 디바이스-스케일 피처들 사이의 간격(separation)과 적어도 동일한 운동 범위(range of motion)를 갖는 것인, 상기 병진 스테이지; 및
    이미징 시스템으로서, 상기 패턴 배치 거리들은 상기 하이브리드 오버레이 타겟과 상기 디바이스-스케일 피처들 사이의 상기 병진 스테이지에 의해 측정된 거리들을 포함하는 것인, 상기 이미징 시스템을 포함하는 것인, 계측 시스템.
  4. 청구항 3에 있어서, 상기 에지-배치 계측 툴은, 광학 계측 툴 또는 입자-빔 계측 툴 중 적어도 하나 내에 통합되는 것인, 계측 시스템.
  5. 청구항 1에 있어서, 상기 타겟-대-디바이스 조정들을 결정하기 위한 상기 디바이스 영역 내의 피처들의 위치들은,
    상기 디바이스 영역 내 오버레이 측정들을 포함하는 것인, 계측 시스템.
  6. 청구항 5에 있어서, 상기 디바이스 영역 내 오버레이 측정들은,
    상기 디바이스 영역 내의 디바이스 피처들의 오버레이 측정들을 포함하는 것인, 계측 시스템.
  7. 청구항 5에 있어서, 상기 디바이스 영역 내의 오버레이 측정들은,
    상기 디바이스 영역 내의 디바이스-스케일 오버레이 타겟들의 오버레이 측정들을 포함하는 것인, 계측 시스템.
  8. 청구항 1에 있어서, 상기 광학적으로 분해가능한 피처들은 다수의 측정 위치들을 제공하는 주기적으로 분포된 피처들을 포함하도록 세그먼트화되고(segmented), 상기 광학 오버레이 측정은 상기 다수의 측정 위치들에 기초하여 결정된 오버레이를 포함하는 것인, 계측 시스템.
  9. 청구항 1에 있어서, 상기 디바이스-스케일 피처들은 다수의 측정 위치들을 제공하는 주기적으로 분포된 피처들을 포함하도록 세그먼트화되고, 상기 디바이스-스케일 오버레이 측정은 상기 다수의 측정 위치들에 기초하여 결정된 오버레이를 포함하는 것인, 계측 시스템.
  10. 청구항 1에 있어서, 상기 컨트롤러는 광학 계측 툴로부터 상기 광학 오버레이 측정을 수신하는 것인, 계측 시스템.
  11. 청구항 10에 있어서, 상기 광학 계측 툴은 이미지-기반 오버레이 툴이고, 상기 광학 오버레이 측정은 이미지-기반 오버레이 측정인 것인, 계측 시스템.
  12. 청구항 10에 있어서, 상기 광학 계측 툴은 산란계측-기반 오버레이 툴(scatterometry-based overlay tool)이고, 상기 광학 오버레이 측정은 산란계측-기반 오버레이 측정인 것인, 계측 시스템.
  13. 청구항 1에 있어서, 상기 컨트롤러는 입자-빔 계측 툴로부터 상기 디바이스-스케일 오버레이 측정을 수신하는 것인, 계측 시스템.
  14. 청구항 13에 있어서, 상기 입자-빔 계측 툴은,
    주사 전자 현미경 계측 툴을 포함하는 것인, 계측 시스템.
  15. 청구항 14에 있어서, 상기 주사 전자 현미경 계측 툴은,
    임계-치수 주사 전자 현미경(critical-dimension scanning electron microscope)을 포함하는 것인, 계측 시스템.
  16. 청구항 13에 있어서, 상기 입자-빔 계측 툴은,
    집중 이온 빔 계측 툴(focused ion beam metrology tool)을 포함하는 것인, 계측 시스템.
  17. 청구항 1에 있어서, 상기 디바이스 영역은 상기 샘플의 다이(die)인 것인, 계측 시스템.
  18. 청구항 1에 있어서, 상기 하이브리드 오버레이 타겟은 상기 샘플의 스크라이브 라인(scribe line) 내에 위치되는 것인, 계측 시스템.
  19. 청구항 1에 있어서, 상기 하이브리드 오버레이 타겟의 광학 오버레이 측정, 상기 하이브리드 오버레이 타겟의 디바이스-스케일 오버레이 측정, 또는 상기 타겟-대-디바이스 조정들을 결정하기 위한 디바이스 영역 내의 상기 피처들의 위치들의 측정들 중 적어도 하나는,
    현상 후 검사 데이터(after development inspection data)를 포함하는 것인, 계측 시스템.
  20. 청구항 1에 있어서, 상기 하이브리드 오버레이 타겟의 광학 오버레이 측정, 상기 하이브리드 오버레이 타겟의 디바이스-스케일 오버레이 측정, 또는 상기 타겟-대-디바이스 조정들을 결정하기 위한 상기 디바이스 영역 내의 상기 피처들의 위치들의 측정들 중 적어도 하나는,
    에칭 후 검사 데이터(after etch inspection data)를 포함하는 것인, 계측 시스템.
  21. 청구항 1에 있어서, 상기 하이브리드 오버레이 타겟의 광학적으로 분해가능한 피처들 및 상기 디바이스-스케일 피처들은 물리적으로 분리되는 것인, 계측 시스템.
  22. 청구항 1에 있어서, 상기 광학적으로 분해가능한 피처들 중 적어도 일부는 세그먼트화되고, 상기 디바이스-스케일 피처들은 상기 광학적으로 분해가능한 피처들의 세그먼트들을 형성하는 것인, 계측 시스템.
  23. 청구항 1에 있어서, 상기 하이브리드 오버레이 타겟의 디바이스-스케일 피처들은, 상기 디바이스 영역 내의 디바이스 피처들의 설계 규칙들에 부합되는 것인, 계측 시스템.
  24. 청구항 1에 있어서, 상기 계측 시스템은 오버레이 계측 시스템으로 구성되는 것인, 계측 시스템.
  25. 청구항 1에 있어서, 상기 계측 시스템은 에지 배치 계측 시스템으로 구성되는 것인, 계측 시스템.
  26. 계측 시스템에 있어서,
    샘플 상의 하이브리드 오버레이 타겟의 광학적으로 분해가능한 피처들에 기초한 광학 오버레이 측정을 생성하도록 구성된 광학 계측 툴;
    상기 하이브리드 오버레이 타겟의 디바이스-스케일 피처들에 기초한 디바이스-스케일 오버레이 측정을 생성하도록 구성된 입자-빔 계측 툴;
    상기 하이브리드 오버레이 타겟에 대해 상기 샘플의 디바이스 영역 내의 피처들의 위치들을 측정하도록 구성된 에지-배치 계측 툴; 및
    상기 광학 계측 툴 및 상기 입자-빔 계측 툴에 통신 가능하게 결합된 컨트롤러로서, 상기 컨트롤러는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하는 것인, 상기 컨트롤러
    를 포함하고, 상기 프로그램 명령어들은 상기 하나 이상의 프로세서가,
    상기 광학 계측 툴에 의해 수신된 상기 광학 오버레이 측정과 상기 입자-빔 계측 툴에 의해 수신된 상기 디바이스-스케일 오버레이 측정 사이의 차이에 기초하여 상기 하이브리드 오버레이 타겟에 대한 광학 툴 에러 조정들을 생성하게 하고;
    상기 에지-배치 계측 툴에 의해 수신된 상기 디바이스 영역 내의 피처들의 위치들에 기초하여 상기 하이브리드 오버레이 타겟에 대한 타겟-대-디바이스 조정들을 생성하게 하고;
    상기 광학 오버레이 측정, 상기 광학 툴 에러 조정들, 또는 상기 타겟-대-디바이스 조정들 중 적어도 하나에 기초하여 상기 디바이스 영역에서 하나 이상의 위치에 대한 디바이스-관련 오버레이 측정들을 결정하게 하며;
    상기 디바이스-관련 오버레이 측정들에 기초하여 적어도 하나의 후속 노출에 대한 노출 조건들을 수정하기 위해 리소그래피 툴에 상기 디바이스 영역에 대한 오버레이 정정가능치들을 제공하게 하도록 구성되는 것인, 계측 시스템.
  27. 청구항 26에 있어서, 상기 타겟-대-디바이스 조정들을 결정하기 위한 상기 디바이스 영역 내의 상기 피처들의 위치들은,
    상기 샘플의 두 개 이상의 층들에 대해 상기 하이브리드 오버레이 타겟과 상기 디바이스 영역 내의 피처들 사이의 패턴 배치 거리들을 포함하는 것인, 계측 시스템.
  28. 청구항 27에 있어서, 상기 에지-배치 계측 툴은,
    상기 샘플을 고정하기 위한 병진 스테이지로서, 상기 병진 스테이지는 상기 하이브리드 오버레이 타겟과 상기 디바이스 영역 내의 상기 디바이스-스케일 피처들 사이의 간격과 적어도 동일한 운동 범위를 갖는 것인, 상기 병진 스테이지; 및
    이미징 시스템으로서, 상기 패턴 배치 거리들은 상기 하이브리드 오버레이 타겟과 상기 디바이스-스케일 피처들 사이의 상기 병진 스테이지에 의해 측정된 거리들을 포함하는 것인, 상기 이미징 시스템을 포함하는 것인, 계측 시스템.
  29. 청구항 26에 있어서, 상기 에지-배치 계측 툴은, 광학 계측 툴 또는 입자-빔 계측 툴 중 적어도 하나 내에 통합되는 것인, 계측 시스템.
  30. 청구항 26에 있어서, 상기 타겟-대-디바이스 조정들을 결정하기 위한 상기 디바이스 영역 내의 피처들의 위치들은,
    상기 광학 계측 툴 또는 상기 입자-빔 계측 툴 중 적어도 하나를 사용하여 측정된 상기 디바이스 영역 내의 오버레이 측정들을 더 포함하는 것인, 계측 시스템.
  31. 청구항 30에 있어서, 상기 디바이스 영역 내의 오버레이 측정들은,
    상기 디바이스 영역 내의 디바이스 피처들의 오버레이 측정들을 포함하는 것인, 계측 시스템.
  32. 청구항 30에 있어서, 상기 디바이스 영역 내의 상기 오버레이 측정들은,
    상기 디바이스 영역 내의 디바이스-스케일 오버레이 타겟들의 오버레이 측정들을 포함하는 것인, 계측 시스템.
  33. 청구항 26에 있어서, 상기 광학적으로 분해가능한 피처들은 다수의 측정 위치들을 제공하는 주기적으로 분포된 피처들을 포함하도록 세그먼트화되고, 상기 광학 오버레이 측정은 상기 다수의 측정 위치들에 기초하여 결정된 오버레이를 포함하는 것인, 계측 시스템.
  34. 청구항 26에 있어서, 상기 디바이스-스케일 피처들은 다수의 측정 위치들을 제공하는 주기적으로 분포된 피처들을 포함하도록 세그먼트화되고, 상기 디바이스-스케일 오버레이 측정은 상기 다수의 측정 위치들에 기초하여 결정된 오버레이를 포함하는 것인, 계측 시스템.
  35. 청구항 26에 있어서, 상기 광학 계측 툴은 이미지-기반 오버레이 툴이고, 상기 광학 오버레이 측정은 이미지-기반 오버레이 측정인 것인, 계측 시스템.
  36. 청구항 26에 있어서, 상기 광학 계측 툴은 산란계측-기반 오버레이 툴이고, 상기 광학 오버레이 측정은 산란계측-기반 오버레이 측정인 것인, 계측 시스템.
  37. 청구항 26에 있어서, 상기 입자-빔 계측 툴은,
    주사 전자 현미경 계측 툴을 포함하는 것인, 계측 시스템.
  38. 청구항 37에 있어서, 주사 전자 현미경 계측 툴은,
    임계-치수 주사 전자 현미경을 포함하는 것인, 계측 시스템.
  39. 청구항 26에 있어서, 상기 입자-빔 계측 툴은,
    집중 이온 빔 계측 툴을 포함하는 것인, 계측 시스템.
  40. 청구항 26에 있어서, 상기 계측 시스템은 오버레이 계측 시스템으로 구성되는 것인, 계측 시스템.
  41. 청구항 26에 있어서, 상기 계측 시스템은 에지 배치 계측 시스템으로 구성되는 것인, 계측 시스템.
  42. 계측 방법에 있어서,
    하이브리드 오버레이 타겟의 광학적으로 분해가능한 요소들에 기초하여 샘플 상의 상기 하이브리드 오버레이 타겟의 광학 오버레이를 측정하는 단계;
    상기 하이브리드 오버레이 타겟의 디바이스-스케일 요소들에 기초하여 상기 하이브리드 오버레이 타겟의 디바이스-스케일 오버레이를 측정하는 단계;
    상기 광학 오버레이와 상기 디바이스-스케일 오버레이 사이의 차이에 기초하여 상기 하이브리드 오버레이 타겟에 대한 광학 툴 에러 조정들을 결정하는 단계;
    상기 하이브리드 오버레이 타겟에 대해 디바이스 영역 내의 하나 이상의 피처에 대한 타겟-대-디바이스 배치 에러들을 측정하는 단계;
    상기 타겟-대-디바이스 배치 에러들에 기초하여 상기 디바이스 영역에 대한 하나 이상의 타겟-대-디바이스 조정을 생성하는 단계;
    상기 광학 오버레이, 상기 광학 툴 에러 조정들 및 상기 타겟-대-디바이스 조정들에 기초하여 디바이스 영역 내의 하나 이상의 위치에 대한 디바이스-관련 오버레이 측정들을 결정하는 단계; 및
    상기 디바이스-관련 오버레이 측정들에 기초하여 적어도 하나의 후속 샘플에 대한 노출 조건들을 수정하기 위해 리소그래피 툴에 상기 디바이스 영역에 대한 오버레이 정정가능치들을 제공하는 단계
    를 포함하는, 계측 방법.
KR1020207028542A 2018-03-05 2019-03-04 오버레이 및 에지 배치 에러들의 계측 및 제어 KR102450009B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862638900P 2018-03-05 2018-03-05
US62/638,900 2018-03-05
US16/057,498 US10533848B2 (en) 2018-03-05 2018-08-07 Metrology and control of overlay and edge placement errors
US16/057,498 2018-08-07
PCT/US2019/020471 WO2019173171A1 (en) 2018-03-05 2019-03-04 Metrology and control of overlay and edge placement errors

Publications (2)

Publication Number Publication Date
KR20200118906A true KR20200118906A (ko) 2020-10-16
KR102450009B1 KR102450009B1 (ko) 2022-09-30

Family

ID=67768073

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207028542A KR102450009B1 (ko) 2018-03-05 2019-03-04 오버레이 및 에지 배치 에러들의 계측 및 제어

Country Status (9)

Country Link
US (1) US10533848B2 (ko)
EP (1) EP3762780B1 (ko)
JP (1) JP7177846B2 (ko)
KR (1) KR102450009B1 (ko)
CN (1) CN111801625B (ko)
IL (1) IL276811B2 (ko)
SG (1) SG11202008005WA (ko)
TW (1) TWI781298B (ko)
WO (1) WO2019173171A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11353799B1 (en) 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
EP3842866A1 (en) * 2019-12-24 2021-06-30 ASML Netherlands B.V. Metrology method
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11054753B1 (en) * 2020-04-20 2021-07-06 Applied Materials Israel Ltd. Overlay monitoring
US11353321B2 (en) 2020-06-12 2022-06-07 Kla Corporation Metrology system and method for measuring diagonal diffraction-based overlay targets
CN116209958A (zh) * 2020-09-28 2023-06-02 Asml荷兰有限公司 目标结构以及相关联的方法和设备
TWI795798B (zh) * 2021-06-02 2023-03-11 東龍投資股份有限公司 對準誤差補償方法及其系統
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US11862524B2 (en) * 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
US11728192B2 (en) * 2021-07-22 2023-08-15 Globalfoundries U.S. Inc. Refining defect detection using process window
US20230314336A1 (en) 2022-03-31 2023-10-05 Kla Corporation Multi-mode optical inspection
US11922619B2 (en) 2022-03-31 2024-03-05 Kla Corporation Context-based defect inspection
WO2024052061A1 (en) * 2022-09-08 2024-03-14 Asml Netherlands B.V. Measuring contrast and critical dimension using an alignment sensor
US20240093985A1 (en) * 2022-09-16 2024-03-21 Kla Corporation System and method for acquiring alignment measurements of structures of a bonded sample
US20240119626A1 (en) * 2022-09-27 2024-04-11 Kla Corporation Image pre-processing for overlay metrology using decomposition techniques

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010055720A1 (en) * 2000-06-08 2001-12-27 Kabushiki Kaisha Toshiba Alignment method, overlay deviation inspection method and photomask
US20070069398A1 (en) * 2003-04-08 2007-03-29 Smith Nigel P Overlay metrology mark
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US20170343903A1 (en) * 2016-05-29 2017-11-30 Kla-Tencor Corporation System and Method for Fabricating Metrology Targets Oriented with an Angle Rotated with Respect to Device Features

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5617340A (en) 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US6484060B1 (en) 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
DE10345466A1 (de) 2003-09-30 2005-04-28 Infineon Technologies Ag Verfahren zur Erfassung von Plazierungsfehlern von Schaltungsmustern bei der Übertragung mittels einer Maske in Schichten eines Substrats eines Halbleiterwafers
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
WO2005114095A2 (en) * 2004-05-21 2005-12-01 Zetetic Institute Apparatus and methods for overlay, alignment mark, and critical dimension metrologies based on optical interferometry
KR20070033106A (ko) 2005-09-20 2007-03-26 삼성전자주식회사 반도체 소자의 오버레이 측정 방법 및 오버레이 측정시스템
DE102007033815A1 (de) 2007-05-25 2008-11-27 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zum Bestimmen der relativen Overlay-Verschiebung von übereinander liegenden Schichten
DE102007046850B4 (de) 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bestimmen einer Überlagerungsgenauigkeit
US7879515B2 (en) 2008-01-21 2011-02-01 International Business Machines Corporation Method to control semiconductor device overlay using post etch image metrology
WO2012138758A1 (en) * 2011-04-06 2012-10-11 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
JP6002480B2 (ja) * 2012-07-06 2016-10-05 株式会社日立ハイテクノロジーズ オーバーレイ誤差測定装置、及びパターン測定をコンピューターに実行させるコンピュータープログラム
US9329033B2 (en) * 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
TWI470378B (zh) 2012-11-01 2015-01-21 Ind Tech Res Inst 量測堆疊對位誤差的方法與系統
TWI603216B (zh) 2012-11-21 2017-10-21 克萊譚克公司 處理相容分段目標及設計方法
US9390492B2 (en) 2013-03-14 2016-07-12 Kla-Tencor Corporation Method and system for reference-based overlay measurement
US9201312B2 (en) 2013-04-16 2015-12-01 Kla-Tencor Corporation Method for correcting position measurements for optical errors and method for determining mask writer errors
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
WO2016010776A1 (en) * 2014-07-13 2016-01-21 Kla-Tencor Corporation Metrology using overlay and yield critical patterns
US10545104B2 (en) * 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
WO2018004511A1 (en) 2016-06-27 2018-01-04 Kla-Tencor Corporation Apparatus and method for the measurement of pattern placement and size of pattern and computer program therefor
WO2018026373A1 (en) 2016-08-04 2018-02-08 Kla-Tencor Corporation Method and computer program product for controlling the positioning of patterns on a substrate in a manufacturing process
US10141156B2 (en) 2016-09-27 2018-11-27 Kla-Tencor Corporation Measurement of overlay and edge placement errors with an electron beam column array
KR102253565B1 (ko) 2017-10-22 2021-05-18 케이엘에이 코포레이션 이미징 오버레이 계측에서 오버레이 오정렬 오차 평가치의 이용

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010055720A1 (en) * 2000-06-08 2001-12-27 Kabushiki Kaisha Toshiba Alignment method, overlay deviation inspection method and photomask
US20070069398A1 (en) * 2003-04-08 2007-03-29 Smith Nigel P Overlay metrology mark
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US20170343903A1 (en) * 2016-05-29 2017-11-30 Kla-Tencor Corporation System and Method for Fabricating Metrology Targets Oriented with an Angle Rotated with Respect to Device Features

Also Published As

Publication number Publication date
JP2021516366A (ja) 2021-07-01
TWI781298B (zh) 2022-10-21
JP7177846B2 (ja) 2022-11-24
EP3762780A4 (en) 2021-12-15
IL276811A (en) 2020-10-29
CN111801625A (zh) 2020-10-20
SG11202008005WA (en) 2020-09-29
WO2019173171A1 (en) 2019-09-12
TW201945857A (zh) 2019-12-01
EP3762780A1 (en) 2021-01-13
CN111801625B (zh) 2021-10-08
US10533848B2 (en) 2020-01-14
EP3762780B1 (en) 2023-11-29
KR102450009B1 (ko) 2022-09-30
IL276811B2 (en) 2023-06-01
US20190271542A1 (en) 2019-09-05

Similar Documents

Publication Publication Date Title
KR102450009B1 (ko) 오버레이 및 에지 배치 에러들의 계측 및 제어
KR102390311B1 (ko) 스캐닝 전자 빔 신호의 대칭에 기반한 오버래핑 타겟 구조물의 오버레이 측정
CN111433676B (zh) 用于装置相关叠加计量的系统及方法
US11809090B2 (en) Composite overlay metrology target
US20180253017A1 (en) Overlay Control with Non-Zero Offset Prediction
US20190049861A1 (en) Methods and Apparatus for Determining the Position of a Spot of Radiation, Inspection Apparatus, Device Manufacturing Method
US20240035812A1 (en) Metrology target for one-dimensional measurement of periodic misregistration
US11209737B1 (en) Performance optimized scanning sequence for eBeam metrology and inspection

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant