KR20200104234A - 플라즈마 선택 증착에 의한 라인 조도 개선 방법 - Google Patents

플라즈마 선택 증착에 의한 라인 조도 개선 방법 Download PDF

Info

Publication number
KR20200104234A
KR20200104234A KR1020200021459A KR20200021459A KR20200104234A KR 20200104234 A KR20200104234 A KR 20200104234A KR 1020200021459 A KR1020200021459 A KR 1020200021459A KR 20200021459 A KR20200021459 A KR 20200021459A KR 20200104234 A KR20200104234 A KR 20200104234A
Authority
KR
South Korea
Prior art keywords
layer
additional material
photoresist
substrate
plasma
Prior art date
Application number
KR1020200021459A
Other languages
English (en)
Inventor
도시하루 와다
치아-윤 시에
아키테루 고
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200104234A publication Critical patent/KR20200104234A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판은, 라인 조도를 나타낼 수 있는, 예를 들어, 포토 레지스트 층과 같은, 패터닝된 층을 구비한다. 하나의 예시적인 실시예에서, 패터닝된 층은 극자외선(EUV) 포토 레지스트 층일 수 있다. 하나의 방법에서, 패터닝 후에, 부가 재료의 선택적 증착이 EUV 포토 레지스트 층 상에 제공되어, 개선된 조도 및 리소그래피 구조물 높이를 제공하며, 그에 따라, 패턴을 포토 레지스트 하부의 층에 전사할 때, 더 큰 프로세스 마진을 가능하게 한다. 부가 재료는, 포토 레지스트 패턴 사이의 노출된 영역과 같이 포토 레지스트가 존재하지 않는 영역 내에서보다, 포토 레지스트 위의 영역에서 선택적으로 더 두껍게 증착된다. 이어서, 패터닝된 포토 레지스트 및 포토 레지스트 위의 부가 재료가 에칭 마스크로서 함께 동작할 수 있는 동안, 포토 레지스트 아래의 층으로의 패턴 전사가 (예를 들어, 에칭을 통해서) 발생될 수 있다.

Description

플라즈마 선택 증착에 의한 라인 조도 개선 방법{METHOD OF LINE ROUGHNESS IMPROVEMENT BY PLASMA SELECTIVE DEPOSITION}
본원은 2019년 2월 26일자로 출원되고 명칭이 "Method Of Line Roughness Improvement By Plasma Selective Deposition"인 미국 가특허출원 제62/810,592호 및 2019년 11월 12일자로 출원되고 명칭이 "Method Of Line Roughness Improvement By Plasma Selective Deposition"인 미국 정규 특허출원 제16/680,989호에 대한 우선권을 주장하고; 그러한 출원들의 개시 내용은 그 전체가 본원에서 명백하게 참조로 포함된다.
본 개시 내용은, 예를 들어, 반도체 기판과 같은 기판을 프로세스하는 것에 관한 것이다. 특히, 본 개시 내용은 매우 좁은 피치 설계를 가지는 기판을 패터닝하는 신규한 방법을 제공한다.
기판 프로세싱에서의 기하형태가 계속 작아짐에 따라, 포토리소그래피 기술을 통해서 기판 상에 구조물을 형성하는 것에 대한 기술적 어려움이 증가된다. 더 작은 피치 구조물을 대한 필요가 발생함에 따라, 극자외선(EUV) 리소그래피(EUV 범위 내의 광의 파장, 가장 전형적으로 13.5 nm 파장을 이용하는 리소그래피), 다중 패턴 체계(예를 들어, 자가-정렬형 이중 패터닝(SADP), 자가-정렬형 삼중 패터닝(SATP), 등), 아르곤 플루오라이드(ArF) 리소그래피, 또는 다른 좁은 피치 패터닝 방법을 포함하는, 다양한 포토리소그래피 기술이 좁은 피치를 위한 적합한 포토리소그래피를 달성하기 위해서 이용되어 왔다.
피치 및 치수가 감소됨에 따라, 패턴 전사 프로세스 중에, 라인 폭 조도(LWR) 및 라인 연부 조도(LER) 성능이 저하된다는 것이 발견되었다. 따라서, 피처(feature) 크기가 감소됨에 따라, LWR 및 LER이 중요한 관심 사항으로 인지되기 시작하였다. LWR 및 LER의 영향은, 포토 레지스트 높이가 낮을 수 있고 패터닝된 포토 레지스트가 높은 정도의 조도를 나타내는 EUV 리소그래피에서 특히 문제가 되었다.
통상적인 EUV 리소그래피 기술은, 포토 레지스트 패턴을 하부 층에 전사하기 전에 플라즈마 처리를 이용함으로써, 도입되는(incoming) 포토 레지스트 조도를 해결하고자 한다. 도 1a 내지 도 1c는 예시적인 통상적인 기술을 도시한다. 도 1a에 도시된 바와 같이, 기판(100)은 하부 층(110) 상에 제공된 도입되는 패터닝된 포토 레지스트 층(105)을 구비한다. 특정 하부 층(110)은 단지 예시적인 것이다. 도 1a에 도시된 바와 같이, 패터닝된 포토 레지스트 층(105)의 아래에는 반사 다중층(115), 광학적 평탄화 층(120), 및 하드 마스크 층(125)이 각각 위치된다. 그러한 층은 당업계에 잘 알려져 있고 단지 예시적인 것이며, 그러한 층이 기판(100)의 다른 구조물 위에 형성될 수 있다는 것을 인식할 수 있을 것이며, 이러한 모든 것이 당업계에 알려져 있다. 이용되는 특정 하부 층은 이용되는 특정 기판 프로세스 흐름에 따라 달라질 것이고, 상이한 프로세스 단계들에서 주어진 프로세스 흐름 내에서 달라질 수 있다. 도 1a에 도시된 바와 같이, 도입되는 패터닝된 포토 레지스트 층(105)이 조도를 나타낼 수 있다. 이어서, 도 1b에 도시된 바와 같이 조도를 감소시키기 위해서 플라즈마 처리가 제공될 수 있고, 이 플라즈마 처리에서 패터닝된 포토 레지스트 층(105)은 작은 조도를 갖는다. 그러나, 이러한 플라즈마 처리가 조도를 감소시킬 수는 있지만, 포토 레지스트의 높이가 전체적으로 감소될 것이다. 이어서, 도 1c에 도시된 바와 같이, 반사 다중층(115)에 대한 패턴 전사 중에, 감소된 포토 레지스트 높이 및 프로세스의 선택비(selectivity)로 인해서, 전사 프로세스가 포토 레지스트를 파괴할 수 있다. 도 1c에 도시된 바와 같이, 패터닝된 포토 레지스트 층(105)이 심지어 완전히 제거될 수 있고, 그에 따라 패터닝된 반사 다중층 구조물(115A)과 같이 포토 레지스트 아래의 층의 패터닝된 포메이션에 영향을 미칠 수 있다. 이러한 방식으로, 도 1a 내지 도 1c에 도시된 바와 같이, 조도는 개선될 수 있으나, 포토 레지스트의 리소그래피 높이가 감소될 수 있다. 높이 감소는, 마스크 파괴 및/또는 마스크 선택비로부터의 임계 치수(CD) 로딩(loading)에 의해서 야기되는 레지스트 및 패턴 파괴를 유발할 수 있다.
이러한 통상적인 프로세스는, 작은 포토 레지스트 대 반사 다중층 선택비로 인한 패턴 파괴 및 임계 치수(CD) 로딩을 초래할 수 있다. 그에 따라, 조도 개선과 희망 포토 레지스트 높이 사이의 절충이 존재한다. EUV 리소그래피의 신뢰성 및 성능을 개선하기 위해서, LWR 및 LER 문제를 감소시키기 위한 개선된 프로세스를 제공하는 것이 요구될 수 있을 것이다.
포토 리소그래피 패턴 전사를 실시하기 위한 혁신적인 방법이 본원에서 설명된다. 기판은, LWR 및 LER을 나타낼 수 있는, 예를 들어, 포토 레지스트 층과 같은, 패터닝된 층을 구비한다. 하나의 예시적인 실시예에서, 패터닝된 층은 EUV 포토 레지스트 층일 수 있다. 개시된 방법에서, 패터닝 후에, 부가 재료의 증착이 EUV 포토 레지스트 층 상에 제공되어, 개선된 조도 및 리소그래피 구조물 높이를 제공하며, 그에 따라, 패턴을 포토 레지스트 하부의 층에 전사할 때, 더 큰 프로세스 마진(process margin)을 가능하게 한다. 부가 재료는, 포토 레지스트 패턴 사이의 노출된 영역과 같이 포토 레지스트가 존재하지 않는 영역에서보다, 포토 레지스트 위의 영역에서 선택적으로 더 두껍게 증착된다. 이어서, 패터닝된 포토 레지스트 및 포토 레지스트 위의 부가 재료가 에칭 마스크로서 함께 동작할 수 있는 동안, 포토 레지스트 아래의 층으로의 패턴 전사가 (예를 들어, 에칭을 통해서) 발생될 수 있다.
일 실시예에서, 설명된 프로세스는, 패터닝된 EUV 포토 레지스트가 큐어링되고(cured), 이어서 포토 레지스트 위의 영역에서 선택적으로 더 두꺼운 부가 재료의 증착이 이루어지는 프로세스를 포함한다. 일 실시예에서, 큐어 프로세스는 패터닝된 포토 레지스트 상에서 제1 부가적 재료를 선택적으로 증착시키는 것을 포함한다. 이어서, 제2 선택적 증착이 실시되고, 이는, 패터닝되지 않은 영역과 대조적으로, 패터닝된 포토 레지스트를 가지는 영역 위에서 선택적으로 더 두꺼운 제2 부가 재료를 제공한다. 이어서, 패터닝된 포토 레지스트, 포토 레지스트 위의 제1 부가 재료 및 포토 레지스트 위의 제2 부가 재료가 에칭 마스크로서 함께 동작하는 동안, 포토 레지스트 아래의 층으로의 패턴 전사가 (예를 들어, 에칭을 통해서) 발생될 수 있다.
일 실시예에서, 기판을 프로세스하기 위한 방법이 제공된다. 그러한 방법은 기판에 적어도 하나의 하부 층을 제공하는 하는 단계 및 기판에 적어도 하나의 하부 층 위의 패터닝된 포토 레지스트 층을 제공하는 단계를 포함할 수 있고, 패터닝된 포토 레지스트 층은 기판의 패터닝된 영역 및 기판의 패터닝되지 않은 영역을 제공한다. 방법은 부가 재료를 기판 상에 증착시키는 단계를 더 포함하고, 부가 재료는, 기판의 패터닝되지 않은 영역 상에서보다, 포토 레지스트 상에서 더 두껍게 선택적으로 증착된다. 방법은, 포토 레지스트 층의 패턴을 적어도 하나의 하부 층에 전사할 때, 패터닝된 포토 레지스트 층 및 부가 재료를 에칭 마스크로서 이용하는 단계를 더 포함하고, 부가 재료의 이용은 개선된 라인 폭 조도 또는 라인 연부 조도 특성을 제공한다.
다른 실시예에서, 기판을 프로세스하기 위한 방법이 제공된다. 그러한 방법은 기판에 적어도 하나의 하부 층을 제공하는 단계 및 기판에 적어도 하나의 하부 층 위의 패터닝된 극자외선(EUV) 포토 레지스트 층을 제공하는 단계를 포함할 수 있다. 방법은 패터닝된 EUV 포토 레지스트를 경화시키기 위해서 큐어 프로세스를 실시하는 단계를 더 포함한다. 방법은 부가 재료를 기판 상에 증착시키는 단계를 또한 포함하고, 부가 재료는, 기판의 다른 영역 상에서보다, 패터닝된 EUV 포토 레지스트 상에서 더 두껍게 선택적으로 증착된다. 방법은, 포토 레지스트 층의 패턴을 적어도 하나의 하부 층에 전사할 때, 패터닝된 극자외선(EUV) 포토 레지스트 층 및 부가 재료를 에칭 마스크로서 이용하는 단계를 더 포함하고, 부가 재료의 이용은 개선된 라인 폭 조도 또는 라인 연부 조도 특성을 제공한다.
유사한 참조 번호가 유사한 특징부를 나타내는 첨부 도면과 함께 작성된 이하의 설명을 참조함으로써, 본 발명 및 그 장점의 보다 완전한 이해가 달성될 수 있다. 그러나, 첨부된 도면은 단지 개시된 개념의 예시적인 실시예를 도시한 것이고, 그에 따라, 개시된 개념이 다른 마찬가지로 유효한 실시예를 포함할 수 있기 때문에, 범위를 제한하는 것으로 간주되지 않는다는 것을 주목하여야 한다.
도 1a 내지 도 1c는 라인 조도를 해결하기 위한 종래 기술의 접근 방식을 도시한다.
도 2a 내지 도 2c는 플라즈마 선택적 증착의 이용에 의한 라인 조도 개선 방법의 하나의 예시적인 실시예를 도시한다.
도 3a 및 도 3b는 플라즈마 선택적 증착의 이용에 의한 라인 조도 개선 방법의 다른 예시적인 실시예를 도시한다.
도 4a 내지 도 4c는 큐어 프로세스 및 플라즈마 선택적 증착의 이용에 의한 라인 조도 개선 방법의 다른 예시적인 실시예를 도시한다.
도 5a 및 도 5b는 플라즈마 선택적 증착의 이용에 의한 라인 조도 개선 방법의 다른 예시적인 실시예를 도시한다.
도 6a 내지 도 6c는 큐어 프로세스 및 플라즈마 선택적 증착의 이용에 의한 라인 조도 개선 방법의 다른 예시적인 실시예를 도시한다.
도 7은 종횡비 효과로 인해서 달라지는 증착 성질을 가지는 영역들을 도시한다.
도 8 및 도 9는 라인 조도 개선을 위해서 본원에서 설명된 기술의 예시적인 이용 방법을 도시한다.
포토 리소그래피 패턴 전사를 실시하기 위한 혁신적인 방법이 본원에서 설명된다. 기판은, LWR 및 LER을 나타낼 수 있는, 예를 들어, 포토 레지스트 층과 같은, 패터닝된 층을 구비한다. 하나의 예시적인 실시예에서, 패터닝된 층은 EUV 포토 레지스트 층일 수 있다. 개시된 방법에서, 패터닝 후에, 부가 재료의 증착이 EUV 포토 레지스트 층 상에 제공되어, 개선된 조도 및 리소그래피 구조물 높이를 제공하며, 그에 따라, 패턴을 포토 레지스트 하부의 층에 전사할 때, 더 큰 프로세스 마진을 가능하게 한다. 부가 재료는, 포토 레지스트 패턴 사이의 노출된 영역과 같이 포토 레지스트가 존재하지 않는 영역 내에서보다, 포토 레지스트 위의 영역에서 선택적으로 더 두껍게 증착된다. 이어서, 패터닝된 포토 레지스트 및 포토 레지스트 위의 부가 재료가 에칭 마스크로서 함께 동작할 수 있는 동안, 포토 레지스트 아래의 층으로의 패턴 전사가 (예를 들어, 에칭을 통해서) 발생될 수 있다.
일 실시예에서, 설명된 프로세스는, 패터닝된 EUV 포토 레지스트가 큐어링되고, 이어서 포토 레지스트 위의 영역에서 선택적으로 더 두꺼운 부가 재료의 증착이 이루어지는 프로세스를 포함한다. 일 실시예에서, 큐어 프로세스는 패터닝된 포토 레지스트 상에서 제1 부가적 재료를 선택적으로 증착시키는 것을 포함한다. 이어서, 제2 선택적 증착이 실시되고, 이는, 패터닝되지 않은 영역과 대조적으로, 패터닝된 포토 레지스트를 가지는 영역 위에서 선택적으로 더 두꺼운 제2 부가 재료를 제공한다. 이어서, 패터닝된 포토 레지스트, 포토 레지스트 위의 제1 부가 재료 및 포토 레지스트 위의 제2 부가 재료가 에칭 마스크로서 함께 동작하는 동안, 포토 레지스트 아래의 층으로의 패턴 전사가 (예를 들어, 에칭을 통해서) 발생될 수 있다.
본원에서 설명된 기술을 이용하는 방법의 예시적인 실시예가, 본원에서 제공된 도면 및 설명과 관련하여 확인될 수 있다. 일 실시예에서, 패터닝된 EUV 포토 레지스트 라인 상에서의 선택적인 증착의 이용에 의해서, LWR 및 LER 효과가 감소된다. 선택적인 증착은 패터닝된 EUV 포토 레지스트 라인의 조도 특성을 개선할 수 있고 리소그래피 구조물의 높이를 증가시킬 수 있고, 그에 따라 후속 에칭 및 패턴 전사 중에 더 큰 프로세스 마진을 제공한다. 도 2a 내지 도 2c는 라인 조도를 개선하기 위한 기술의 예시적인 실시예이다. 도 2a에 도시된 바와 같이, 도 1a의 것과 유사한 도입되는 패터닝된 리소그래피 구조물이 제공된다. 도 2a에 도시된 바와 같이, 도입되는 EUV 패터닝된 포토 레지스트 층(105)이 하부 층(110) 상에 제공된다. 특정 하부 필름은 단지 예시적인 것이다. 도 2a에 도시된 바와 같이, 하부 층(110)은 반사 다중층(115), 광학적 평탄화 층(120), 및 하드 마스크 층(125)을 각각 포함한다. 전술한 바와 같이, 하부 층(110)이 다른 층 및 기판(100)의 구조물 상에 형성될 수 있다.
도시된 층들 아래의 부가 층(미도시)을 포함하는, 도시된 구조물을 달성하기 위한 매우 다양한 기판 프로세싱 기술 중 임의의 기술을 이용하여, 도 2a의 구조물이 기판(100) 상에 제공될 수 있다. 본원에서 개시된 기술과 함께 이용되는 기판은, 패터닝 및 재료의 에칭이 요구되는 임의의 기판일 수 있다. 예를 들어, 일 실시예에서, 기판은 (전부가 함께 기판을 포함할 수 있는) 하나 이상의 반도체 프로세싱 층이 위에 형성된 반도체 기판일 수 있다. 일 실시예에서, 기판은, 매우 다양한 구조물 및 층을 생성하는 다수의 반도체 프로세싱 단계들이 적용된 기판일 수 있고, 그러한 단계 모두는 기판 프로세싱 분야에서 알려져 있다. 일 실시예에서, 기판은, 다양한 구조물 및 층이 형성된 반도체 웨이퍼일 수 있다.
도 2b에 도시된 바와 같이, 선택적인 증착 재료(205)가 패터닝된 포토 레지스트 층(105)의 상부 부분 상에 제공된다. 도 2b에 도시된 바와 같은 프로세스에 의해서, 조도가 개선될 수 있고 라인 높이가 증가될 수 있다. 이어서, 패턴 전사가 발생될 때, 도 2c에 도시된 바와 같이, 포토 레지스트 파괴 및 연관된 패턴 파괴 및 CD 로딩의 가능성이 낮다. 따라서, 도 2c에 도시된 바와 같이, 패터닝된 포토 레지스트 층(105)의 부분들이 패턴 전사 프로세스를 견디고, 그에 따라 반사 다중층(115) 내에 형성된 결과적인 패턴 구조물(215)은, 패턴 파괴 또는 CD 효과가 없이, 희망 패턴에 일치된다.
도 2b의 단계가 다양한 상이한 방식으로 달성될 수 있다. 일 실시예에서, 플라즈마 증착을 이용하여 포토 레지스트 상에 부가 재료를 제공한다. 일 실시예에서, 제2 단계는 단지 하나의 증착 단계로서 구성될 수 있다. 대안적으로, 제2 단계는, 부가 재료의 증착 전에, 큐어 프로세스를 포함할 수 있다. 또 다른 실시예에서, 제2 단계가 큐어 프로세스 및 제1 증착 프로세스를 포함할 수 있고, 이어서 제2 증착 프로세스가 후속될 수 있다.
도 3a 및 도 3b는 단일 플라즈마 증착의 이용에 관한 예를 도시한다. 도 3a에 도시된 바와 같이, 도 2a에 도시된 바와 같은 구조물의 층의 일부를 예시하는 도입 구조물이 제공된다. 다른 층이 존재할 것이나, 용이한 이해를 위해서, 도 3a가 패터닝된 포토 레지스트 층(105) 및 반사 다중층(115)만을 도시하였다는 것을 인식할 수 있을 것이다. 도 3b에 도시된 바와 같이, 가스 플라즈마 증착 프로세스(305)를 이용하여, 패터닝된 포토 레지스트 라인 상에 부가 재료를 선택적으로 증착시킨다.
대안적으로, 큐어링 프로세스가 부가 재료의 증착 전에 실시될 수 있다. 도 4a 내지 도 4c는 큐어링 프로세스의 부가를 도시한다. 도 4a에 도시된 바와 같이, 도 2a 및 도 3a의 구조물과 유사한 도입되는 구조물이 제공된다. 도 4a는, 패터닝된 포토 레지스트 층(105) 상에 부가 재료를 증착시키기 전에 패터닝된 EUV 포토 레지스트를 경화시키는 큐어링 프로세스의 이용을 도시한다. 도 4a의 예에서, 큐어/경화 프로세스는, 패터닝된 포토 레지스트 층(105)을 큐어링시킬 수 있고 또한 얇은 제1 부가 재료(제1 부가 재료는 도시되지 않음)를 증착시킬 수 있는 가스 플라즈마 큐어 프로세스(405)를 포함할 수 있다. 이어서, 도 4c에 도시된 바와 같이, 선택적인 증착 재료(205)와 같은 더 두꺼운 부가 재료의 증착이 가스 플라즈마 증착 프로세스(305)에 의해서 형성될 수 있다.
도 4a 내지 도 4c의 프로세스는 유리하게, 도 3a 및 도 3b의 프로세스에 비해서, 더 큰 프로세스 마진을 허용할 수 있다. 예를 들어, 도 3a 및 도 3b 프로세스에서 희망 에칭 마진을 제공하기 위해서 필요한 리소그래피 적층 높이를 달성하기 위해서는, 부가 재료의 두께는 패턴 붕괴가 발생될 수 있는 정도일 수 있다. 또한, 도 3a 및 도 3b 프로세스의 더 많은 증착량에서, (예를 들어, 반사 다중층 상의) 구조물의 하단부에 증착되는 부가 재료의 양이 바람직하지 못할 정도로 많을 수 있다.
도 5a 및 도 5b 및 도 6a 내지 도 6c는 도 3a 및 도 3b 그리고 도 4a 및 도 4b에 각각 도시된 프로세스에 관한 보다 상세한 내용을 제공한다. 도 5a에 도시된 바와 같이, 도 2a, 도 3a 및 도 4a의 구조물과 유사한 도입되는 구조물이 제공된다. 이어서, 도 5b에 도시된 바와 같이, 선택적인 증착 재료(205)의 부가 재료가 패터닝된 포토 레지스트 층(105)의 상단부 상에 선택적으로 그리고 비교적 두껍게 그리고 포토 레지스트 아래의 층(예를 들어, 반사 다중층(115))의 노출 영역에서 더 얇게 증착될 수 있다. 따라서, 도 5b에 도시된 바와 같이, 제1 두께(505)의 재료가 포토 레지스트 상에 제공될 수 있고, 더 얇은 제2 두께(510)의 재료가 포토 레지스트 아래의 층 상에 제공될 수 있다. 상이한 영역들 내의 두께 차이는, 적어도 부분적으로, 증착 프로세스의 종횡비 효과로부터 초래될 수 있다. 따라서, 로딩 증착 효과에 의한 표면 수정의 이점이 달성되어, 조도 및 패턴 높이 장점을 제공한다.
도 6a 내지 도 6c는, 큐어 단계를 포함하는 다수-단계 프로세스를 도시한다. 도 6a에 도시된 바와 같이, 도입되는 구조물은 전술한 도입되는 구조물과 유사할 수 있다. 도 6b에 도시된 바와 같이, 프로세스는 큐어 프로세스를 포함한다. 도 6b의 예에서, 프로세스는 또한 제1 부가 재료(605)의 증착을 포함한다. 구체적으로, 도 6b의 단계는, 도시된 바와 같은 패터닝된 포토 레지스트 층(105) 상의 제1 부가 재료(605)의 큐어 그리고 또한 플라즈마 기상 증착 프로세스를 제공한다. 제1 부가 재료(605)는, 도시된 바와 같이, 노출된 하부 층(예를 들어, 반사 다중층(115)) 상에서보다, 포토 레지스트의 상단 부분 상에서 더 두껍게 선택적으로 증착된다. 이어서, 도 6c에 도시된 바와 같이, 도 5b의 단계의 증착과 유사한 증착을 제공하는 단계가 제공된다. 따라서, 플라즈마 증착 프로세스에 의해서 모두가 증착되는, 제1 부가 재료 및 제2 부가 재료가 도시된 바와 같이 형성될 수 있다. 이러한 방식으로, 부가 재료의 제1 층 및 부가 재료의 제2 층이 제1 및 제2 증착 프로세스를 통해서 제공될 수 있다. 제1 플라즈마 프로세스 및 제2 플라즈마 프로세스 모두가, 이하에서 더 구체적으로 설명되는 바와 같이, 상이한 프로세스들일 수 있다. 도 6c에 도시된 바와 같이, 이하에서 더 구체적으로 설명되는 바와 같이, 종횡비 효과 및 제1 부가 재료(605)의 증착에 의해서 유발되는 표면 에너지 효과의 결과로서, 제2 부가 재료(610)의 증착이 포토 레지스트 구조물 위에서 우선적으로 제공된다. 제2 부가 재료(610)가 다른 도면과 관련하여 전술한 선택적인 증착 재료(205)일 수 있다는 것을 주목할 수 있을 것이다.
본원에서 설명된 기술은, 전술한 선택적인 증착(들) 단계 및 큐어링 단계를 달성하기 위해서, 매우 다양한 프로세스를 이용할 수 있다. 일 실시예에서, 플라즈마 증착 프로세스가 이용된다. 일 실시예에서, 도 3b 및 도 5b의 단계 및 도 4c 및 도 6c의 단계의 증착이, 사염화규소(SiCl4) 가스를 이용하는 플라즈마 프로세스일 수 있다. SiCl4 플라즈마는 규소(Si) 또는 염화규소(SiCl)가 포토 레지스트 표면 상에 증착되게 할 수 있다. 일 실시예에서, 도 4b 및 도 6b의 큐어 및 증착 프로세스가 디클로로실란(DCS) 플라즈마 프로세스의 이용에 의해서 달성될 수 있다. 포토 레지스트의 큐어링 효과는, 플라즈마 시스템의 상부 전극을 통해서 가스를 스퍼터링하는 것에 의한 DCS 플라즈마의 플라즈마 기상 증착 효과로부터 규소 코팅이 발생되는 동안, 플라즈마 시스템(예를 들어, 도 4b에 도시된 바와 같은 상부 전극)의 DC 전압으로부터의 전자 에너지에 의해서 달성될 수 있다. 본원에서 설명된 플라즈마 시스템이, 당업계에 알려진 바와 같이, 아르곤, 헬륨, 질소, 및 수소와 같은 부가적인 가스를 이용할 수 있다는 것을 주목하여야 한다.
전술한 바와 같이, 종횡비 효과(구조물의 상단부 상에서의 더 두꺼운 증착) 그리고 또한 큐어링 단계에서 형성되는 플라즈마 기상 증착(PVD) 재료로부터 초래되는 표면 에너지 효과로 인해서, 포토 레지스트의 상부 영역 상에 부가 재료를 선택적으로 증착시키는 프로세스는 영역 선택적일 수 있다. 일 예에서, PVD 규소가 큐어링 단계의 일부로서 형성될 수 있다. 더 구체적으로, 플라즈마 단계(DCS 가스 플라즈마 및 SiCl4 가스 플라즈마 모두)에서 이온 플럭스 및 라디칼을 변경하는 것으로부터, 영역 특정 증착이 초래될 수 있다. 도 7은 여러 영역들을 도시한다. 도 7에 도시된 바와 같이, 패터닝된 포토 레지스트 층(105) 및 반사 다중층(115)은 전술한 것과 유사하게 제공된다. 또한, 도 6b에 도시된 것과 같은 제1 부가 재료(605)가 또한 도시된다. 전술한 바와 같이, 일 실시예에서, 제1 부가 재료가 규소 코팅일 수 있다. 일반적으로, 도 7의 제1 영역(705) 내의 플라즈마의 이온 플럭스 및 라디칼 플럭스의 양이, 제2 영역(710)에서보다 많다. 따라서, 선택적인 증착이 제1 영역(705) 영역 내에서(패터닝된 포토 레지스트 층(105)의 상단부와 같은 상단부 리소그래픽 적층 구조물에서) 발생된다. 따라서, 제2 영역(710)의 하단부에서의 증착과 대조적으로, 향상된 증착이 제1 영역(705) 영역 내에서 발생된다. 도 7은, 이전의 DCS 플라즈마 큐어 프로세스가 이용되었을 때, SiCl4 가스 플라즈마 단계에서 존재하는 구조물을 도시한다. 그러나, 더 많은 플럭스량을 가지는 제1 영역(705)의 개념이 또한 DCS 플라즈마 프로세스에서 발생된다.
선택적인 증착을 제공하는 종횡비 효과에 더하여, 규소를 증착시키는 DCS 플라즈마의 결과로서 큐어 프로세스 중에 포토 레지스트의 상단부에 형성되는 PVD 규소 층의 존재로 인해서, 선택적인 증착이 또한 도 4c, 도 6c 및 도 7의 실시예에서 발생된다. 주목한 바와 같이, 규소는 패터닝된 포토 레지스트의 상단부에 우선적으로 증착된다. 포토 레지스트의 상단부에서의 규소의 상이한 양은, 표면 에너지 효과에 의해서 유발되는 규소 층 상의 (예를 들어 도 4c, 도 6c 및 도 7의 단계에서와 같은) 부가 재료의 우선적인 증착을 초래한다. 구체적으로, 포토 레지스트 상단의 규소 층에 의해서 제공되는 재료 표면 에너지는, 가스 플라즈마 증착 프로세스(305) 증착 필름(SiCl4 플라즈마로부터의 규소 또는 SiCl 증착)과 유사한 포토 레지스트의 상단부에서의 표면 에너지를 제공한다. 이러한 유사성은, 하부 층(예를 들어, 반사 다중층(115))과 대조적으로, 포토 레지스트의 상단에 위치되는 규소(또는 SiCl) 층 상의 선택적인 증착을 야기한다. 이러한 방식으로, 증착은, 패터닝되지 않은 영역과 대조적으로, 패터닝된 포토 레지스트 위의 영역 내에서 추가적으로 향상된다. 그에 따라, 종행비 효과 및 표면 에너지 효과 모두가 포토 레지스트 위의 선택적인 증착에 기여할 수 있다.
도 8 및 도 9는 본원에서 설명된 프로세싱 기술의 예시적인 이용 방법을 도시한다. 도 8 및 도 9의 실시예가 단지 예시적인 것이고 부가적인 방법이 본원에서 설명된 기술을 이용할 수 있다는 것을 인식할 수 있을 것이다. 또한, 설명된 단계들이 배타적인 것으로 의도되지 않았기 때문에, 부가적인 프로세싱 단계들이 도 8 내지 도 9에 도시된 방법에 부가될 수 있다. 또한, 단계들의 순서는 도 8 및 도 9에 도시된 순서로 제한되지 않는데, 이는 상이한 순서들이 발생될 수 있고 및/또는 다양한 단계들이 조합되어 또는 동시에 실시될 수 있기 때문이다.
도 8은 기판을 프로세스하기 위한 방법을 도시한다. 도 8에 도시된 바와 같이, 방법은 기판에 적어도 하나의 하부 층을 제공하는 단계(805)를 포함한다. 방법은 또한 기판에 적어도 하나의 하부 층 위의 패터닝된 포토 레지스트 층을 제공하는 단계(810)를 포함하고, 패터닝된 포토 레지스트 층은 기판의 패터닝된 영역 및 기판의 패터닝되지 않은 영역을 제공한다. 방법은 부가 재료를 기판 상에 증착시키는 단계(815)를 더 포함하고, 부가 재료는, 기판의 패터닝되지 않은 영역 상에서보다, 포토 레지스트 상에서 더 두껍게 선택적으로 증착된다. 방법은 또한, 포토 레지스트 층의 패턴을 적어도 하나의 하부 층에 전사할 때, 패터닝된 포토 레지스트 층 및 부가 재료를 에칭 마스크로서 이용하는 단계(820)를 포함하고, 부가 재료의 이용은 개선된 라인 폭 조도 또는 라인 연부 조도 특성을 제공한다.
도 9는 기판을 프로세스하기 위한 방법을 도시한다. 도 9에 도시된 바와 같이, 방법은 기판에 적어도 하나의 하부 층을 갖는 제공하는 단계(905)를 포함한다. 방법은 또한 기판에 적어도 하나의 하부 층 위의 패터닝된 극자외선(EUV) 포토 레지스트 층을 제공하는 단계(910)를 포함한다. 방법은 패터닝된 EUV 포토 레지스트를 경화시키기 위해서 큐어 프로세스를 실시하는 단계(915)를 더 포함한다. 방법은 부가 재료를 기판 상에 증착시키는 단계(920)를 또한 포함하고, 부가 재료는, 기판의 다른 영역 상에서보다, 패터닝된 EUV 포토 레지스트 상에서 더 두껍게 선택적으로 증착된다 방법은, 포토 레지스트 층의 패턴을 적어도 하나의 하부 층에 전사할 때, 패터닝된 극자외선(EUV) 포토 레지스트 층 및 부가 재료를 에칭 마스크로서 이용하는 단계(925)를 더 포함하고, 부가 재료의 이용은 개선된 라인 폭 조도 또는 라인 연부 조도 특성을 제공한다.
본 발명의 추가적인 수정예 및 대안적인 실시예가 본 설명을 고려한 당업자에게 명백할 것이다. 이에 따라, 이 설명은 단지 예시적인 것으로서 해석되어야 하고, 본 발명을 실행하는 방식을 당업자에게 교시하기 위한 것이다. 도시되어 있고 설명되어 있는 본 발명의 형태 및 방법은 현재 바람직한 실시예로서 취해져야 한다는 것을 이해하여야 할 것이다. 본 발명의 이러한 설명의 이점을 취득한 후에 당업자에게 전부가 명백한 바와 같이, 균등한 기술이 본원에서 예시되고 설명된 기술을 대체할 수 있고, 본 발명의 특정 특징이 다른 특징의 이용과 독립적으로 이용될 수 있을 것이다.

Claims (20)

  1. 기판을 프로세스하기 위한 방법으로서,
    상기 기판에 적어도 하나의 하부 층을 제공하는 단계;
    상기 기판에 상기 적어도 하나의 하부 층 위의 패터닝된 포토 레지스트 층을 제공하는 단계로서, 상기 패터닝된 포토 레지스트 층은 상기 기판의 패터닝된 영역 및 상기 기판의 패터닝되지 않은 영역을 제공하는, 단계;
    부가 재료를 상기 기판 상에 증착시키는 단계로서, 상기 부가 재료는, 상기 기판의 패터닝되지 않은 영역 상에서보다, 상기 포토 레지스트 상에서 더 두껍게 선택적으로 증착되는, 단계; 및
    상기 포토 레지스트 층의 패턴을 상기 적어도 하나의 하부 층에 전사할 때, 상기 패터닝된 포토 레지스트 층 및 상기 부가 재료를 에칭 마스크로서 이용하는 단계를 포함하고,
    상기 부가 재료의 이용은 개선된 라인 폭 조도 또는 라인 연부 조도 특성을 제공하는, 방법.
  2. 제1항에 있어서,
    상기 패터닝된 포토 레지스트 층이 극자외선(EUV) 포토 레지스트 층인, 방법.
  3. 제1항에 있어서,
    상기 증착시키는 단계가 플라즈마 증착 프로세스에 의해서 실시되는, 방법.
  4. 제3항에 있어서,
    상기 부가 재료가 규소를 포함하는, 방법.
  5. 제3항에 있어서,
    상기 플라즈마 증착 프로세스가 사염화규소 가스를 이용하는, 방법.
  6. 제1항에 있어서,
    상기 부가 재료가 부가 재료의 제1 층 및 부가 재료의 제2 층을 포함하고, 상기 부가 재료의 제1 층 및 상기 부가 재료의 제2 층 모두가 플라즈마 증착 프로세스에 의해서 증착되는, 방법.
  7. 제6항에 있어서,
    상기 부가 재료의 제1 층이 제1 플라즈마 프로세스로 증착되고, 상기 부가 재료의 제2 층이 제2 플라즈마 프로세스에 의해서 증착되며, 상기 제1 및 제2 플라즈마 프로세스가 상이한, 방법.
  8. 제7항에 있어서,
    상기 제1 플라즈마 프로세스가 디클로로실린 가스를 이용하고, 상기 제2 플라즈마 프로세스가 사염화규소 가스를 이용하는, 방법.
  9. 제6항에 있어서,
    상기 부가 재료의 제1 층이 규소를 포함하고, 상기 부가 재료의 제2 층이 규소를 포함하는, 방법.
  10. 제1항에 있어서,
    상기 기판 상에 상기 부가 재료를 증착하는 단계가 플라즈마 증착 프로세스에 의해서 실시되고, 상기 부가 재료는 규소를 포함하는, 방법.
  11. 기판을 프로세스하기 위한 방법으로서,
    상기 기판에 적어도 하나의 하부 층을 제공하는 단계;
    상기 기판에 상기 적어도 하나의 하부 층 위의 패터닝된 극자외선(EUV) 포토 레지스트 층을 제공하는 단계;
    상기 패터닝된 EUV 포토 레지스트를 경화시키기 위해서 큐어 프로세스를 실시하는 단계;
    부가 재료를 상기 기판 상에 증착하는 단계로서, 상기 부가 재료는, 상기 기판의 다른 영역 상에서보다, 상기 패터닝된 EUV 포토 레지스트 상에서 더 두껍게 선택적으로 증착되는, 단계; 및
    상기 포토 레지스트 층의 패턴을 상기 적어도 하나의 하부 층에 전사할 때, 상기 패터닝된 극자외선(EUV) 포토 레지스트 층 및 상기 부가 재료를 에칭 마스크로서 이용하는 단계를 포함하고,
    상기 부가 재료의 이용은 개선된 라인 폭 조도 또는 라인 연부 조도 특성을 제공하는, 방법.
  12. 제11항에 있어서,
    상기 부가 재료가 부가 재료의 제1 층 및 부가 재료의 제2 층을 포함하고, 상기 부가 재료의 제1 층 및 상기 부가 재료의 제2 층 모두가 플라즈마 증착 프로세스에 의해서 증착되는, 방법.
  13. 제12항에 있어서,
    상기 부가 재료의 제1 층이 큐어 프로세스의 일부로서 증착되는, 방법.
  14. 제13항에 있어서,
    상기 부가 재료의 제1 층은 플라즈마 기상 증착 프로세스를 통해서 증착된 규소를 포함하는, 방법.
  15. 제12항에 있어서,
    상기 부가 재료의 제1 층이 제1 플라즈마 프로세스로 증착되고, 상기 부가 재료의 제2 층이 제2 플라즈마 프로세스에 의해서 증착되며, 상기 제1 및 제2 플라즈마 프로세스가 상이한, 방법.
  16. 제15항에 있어서,
    상기 부가 재료의 제1 층이 큐어 프로세스의 일부로서 증착되는, 방법.
  17. 제15항에 있어서,
    상기 제1 플라즈마 프로세스가 디클로로실란 가스를 이용하고, 상기 제2 플라즈마 프로세스가 사염화규소 가스를 이용하는, 방법.
  18. 제12항에 있어서,
    상기 부가 재료의 제1 층이 규소를 포함하고, 상기 부가 재료의 제2 층이 규소를 포함하는, 방법.
  19. 제11항에 있어서,
    상기 부가 재료가 규소를 포함하고, 상기 규소는 플라즈마 기상 증착 프로세스에 의해서 형성되는, 방법.
  20. 제19항에 있어서,
    상기 플라즈마 기상 증착 프로세스가 디클로로실란 가스를 이용하는, 방법.
KR1020200021459A 2019-02-26 2020-02-21 플라즈마 선택 증착에 의한 라인 조도 개선 방법 KR20200104234A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962810592P 2019-02-26 2019-02-26
US62/810,592 2019-02-26
US16/680,989 US11537049B2 (en) 2019-02-26 2019-11-12 Method of line roughness improvement by plasma selective deposition
US16/680,989 2019-11-12

Publications (1)

Publication Number Publication Date
KR20200104234A true KR20200104234A (ko) 2020-09-03

Family

ID=72141189

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200021459A KR20200104234A (ko) 2019-02-26 2020-02-21 플라즈마 선택 증착에 의한 라인 조도 개선 방법

Country Status (4)

Country Link
US (1) US11537049B2 (ko)
JP (1) JP2020140209A (ko)
KR (1) KR20200104234A (ko)
TW (1) TWI821518B (ko)

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4267914A (en) * 1979-04-26 1981-05-19 Black & Decker Inc. Anti-kickback power tool control
JPS6452142A (en) * 1987-08-24 1989-02-28 Nippon Telegraph & Telephone Pattern forming process and silylating apparatus
JPH02271359A (ja) * 1989-04-12 1990-11-06 Nec Corp シリル化によるポジ型ホトレジストの硬化方法
US5082524A (en) * 1990-07-30 1992-01-21 Micron Technology, Inc. Addition of silicon tetrabromide to halogenated plasmas as a technique for minimizing photoresist deterioration during the etching of metal layers
TW381331B (en) * 1996-06-17 2000-02-01 Winbond Electronic Corp Manufacturing method for integrated circuit conductive plugs avoiding the generation of voids
US6986851B2 (en) * 2001-08-20 2006-01-17 Tokyo Electron Limited Dry developing method
KR100480611B1 (ko) * 2002-08-14 2005-03-31 삼성전자주식회사 기상 실릴레이션을 이용한 반도체 소자의 미세 패턴 형성방법
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
JP6050944B2 (ja) * 2012-04-05 2016-12-21 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
JP6063264B2 (ja) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6126961B2 (ja) * 2013-09-30 2017-05-10 富士フイルム株式会社 パターン形成方法、パターンマスクの形成方法及び電子デバイスの製造方法
JP6151215B2 (ja) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 プラズマエッチング方法
US10606176B2 (en) * 2015-09-30 2020-03-31 Tokyo Electron Limited Method for patterning a substrate using extreme ultraviolet lithography
WO2018094071A1 (en) * 2016-11-16 2018-05-24 Tokyo Electron Limited Method for regulating hardmask over-etch for multi-patterning processes
US11094543B1 (en) * 2020-12-04 2021-08-17 Tokyo Electron Limited Defect correction on metal resists

Also Published As

Publication number Publication date
US11537049B2 (en) 2022-12-27
JP2020140209A (ja) 2020-09-03
TWI821518B (zh) 2023-11-11
TW202101580A (zh) 2021-01-01
US20200272054A1 (en) 2020-08-27

Similar Documents

Publication Publication Date Title
US8778807B2 (en) Method of reducing delamination in the fabrication of small-pitch devices
JP4420592B2 (ja) 半導体素子の微細パターン形成方法
KR101322112B1 (ko) 마스크 패턴의 형성 방법
US7531102B2 (en) Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US20220367186A1 (en) Patterning scheme to improve euv resist and hard mask selectivity
JP5690882B2 (ja) 炭素質ハードマスクによる二重露光パターニング
JPH1092740A (ja) 半導体装置の製造方法
KR20090119266A (ko) 박막패턴 형성방법
US8105950B2 (en) Method for forming fine patterns using etching slope of hard mask layer in semiconductor device
KR20090124353A (ko) 반도체 소자의 패턴 형성 방법
US6946400B2 (en) Patterning method for fabricating integrated circuit
US8227176B2 (en) Method for forming fine pattern in semiconductor device
CN102820260B (zh) 提高通孔图形性能表现的方法
KR20200104234A (ko) 플라즈마 선택 증착에 의한 라인 조도 개선 방법
TW202002000A (zh) 設計成使線寬粗糙度及線邊緣粗糙度最小化的臨界尺寸修整方法
KR20090011933A (ko) 반도체 소자의 제조방법
CN111640657B (zh) 半导体器件及其形成方法
US11372332B2 (en) Plasma treatment method to improve photo resist roughness and remove photo resist scum
KR100881397B1 (ko) 비정질 카본막의 형성방법 및 이를 이용한 반도체 소자의패턴 형성방법
KR20050066933A (ko) 반도체 소자의 패턴 형성 방법
KR100563819B1 (ko) 반도체소자의 반사방지막 제조방법
TW511151B (en) Dry development having bilayer resist
KR20030096765A (ko) 감광막 패턴의 미세 선폭 구현을 위한 난반사 방지막 제조방법
US20090283714A1 (en) Etching gas for removing organic layers
KR20080088988A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination