KR20200054248A - 플러드 노광을 사용하여 포토레지스트에 감광성을 부여하기 위한 방법 - Google Patents

플러드 노광을 사용하여 포토레지스트에 감광성을 부여하기 위한 방법 Download PDF

Info

Publication number
KR20200054248A
KR20200054248A KR1020207010368A KR20207010368A KR20200054248A KR 20200054248 A KR20200054248 A KR 20200054248A KR 1020207010368 A KR1020207010368 A KR 1020207010368A KR 20207010368 A KR20207010368 A KR 20207010368A KR 20200054248 A KR20200054248 A KR 20200054248A
Authority
KR
South Korea
Prior art keywords
layer
exposure
substrate
dose
wavelength
Prior art date
Application number
KR1020207010368A
Other languages
English (en)
Inventor
마이클 에이. 카르카시
마크 에이치. 소머벨
세이지 나가하라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200054248A publication Critical patent/KR20200054248A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

감광층이 형성되는 패터닝 방법이 제공되며, 타겟층에 형성될 패턴에 대한 타겟 해상도가 한정된다. 참조 선량, 타겟 해상도, 및 EUV 파장에서의 단일 패터닝 노광으로부터 기인하는 참조 선량 및 참조 LWR에 기초하여, 참조 선량 미만이도록 선택되고 15 mJ/cm2 내지 200 mJ/cm2 이내로 선택되는 선량의 EUV 패터닝 노광, 및 200 nm 내지 420 nm의 파장 및 0.5 J/cm2 내지 20 J/cm2의 선량의 플러드 노광을 포함하는 적어도 두 가지 방사선 노광이 감광층에 수행된다. 그 다음, 감광층은 마스크 패턴을 형성하기 위해 현상되며, 마스크 패턴은, 참조 LWR 미만이거나 참조 LWR과 대략적으로 동일하면서 < 5nm인 LWR, 및 타겟 해상도로 타겟층으로 패턴을 에칭하기 위해 사용된다.

Description

플러드 노광을 사용하여 포토레지스트에 감광성을 부여하기 위한 방법
본 발명은 기판 상의 타겟층을 패터닝(patterning)하기 위한 방법에 관한 것으로서, 보다 구체적으로는 선폭 거칠기(line width roughness) 및 해상도를 유지하거나 개선하면서, 레지스트 감광도(sensitivity)를 감소시키기 위한 방법에 관한 것이다.
재료 공정 방법에서, 패턴 에칭은, 포토레지스트(또는 줄여서 "레지스트")와 같은 감광(또는 방사선 민감성) 재료의 층을 기판의 상부 표면에 도포하는 단계, 포토리소그래피를 사용하여 레지스트 층에 패턴을 형성하는 단계, 및 레지스트 층에 형성된 패턴을 에칭 공정을 사용하여 기판 상의 하부 타겟층(박막)으로 전사하는 단계를 포함한다. 일반적으로, 레지스트의 패터닝은 예를 들어, 포토리소그래피 시스템을 사용하여, 전자기(EM) 방사선의 패턴에 레지스트를 노출시킨 후에, 현상액을 사용하여, 레지스트의 조사된 영역(포지티브 톤(positive tone) 레지스트의 경우), 또는 조사되지 않은 영역(네거티브 톤(negative tone) 레지스트의 경우)을 제거하는 단계를 포함한다.
포토리소그래피 패터닝을 위한 임계 치수(CD) 제어는 반도체 소자 제조에 중요하다. 임계 치수(CD)가 감소함에 따라, 결과적인 패턴의 선폭 거칠기(LWR)를 허용 한계 내에서 유지하면서, EM 방사선의 패턴의 해상도(해상도), 및 레지스트의 감광도(감광도)를 개선할 필요가 있다. RLS(또는 LRS) 상충(trade-off) 삼각형 또는 관계로 흔히 지칭되는, 해상도, LWR, 및 감광도(선량(dose)) 간에 상충 관계가 있다는 것은 당업계에 알려져 있다. RLS 상충 삼각형은 재료 플랫폼에서 해상도, 거칠기 및 감광도를 동시에 감소시킬 수 없다고 명시한다. 3개의 파라미터 중 하나를 개선하고자 하는 통상적인 기술은 흔히 다른 파라미터 중 하나 또는 둘 모두의 수용할 수 없는 손실로 개선된다.
극자외선(EUV) 리소그래피는 40 nm 미만의 형상부(feature) 크기에 대한 유망한 결과를 나타낸다. 그러나, EUV 레지스트 감광도(EUV 선량)는 EUV 리소그래피의 과제 중 하나이다. CD가 더 작은 치수로 비례 축소됨에 따라, 더 작은 파장의 광(예를 들어, EUV)을 포함하는 패터닝 공정은 더 큰 광 파장만큼 많은 광자를 갖지 않을 수 있다. EUV 광자(92 eV)는 193 nm 광자보다 약 15배의 에너지를 가지므로, 동일한 노광 선량에 대해, 더 적은 광자가 존재한다. 광자가 더 적으면, 훨씬 더 적은 산이 생성된다(EUV의 경우, 주로 2차 전자 광-산 발생제(photo-acid generator: PAG) 분해 이벤트를 통해 생성됨). 결과적으로, 제조 친화적인 선량으로 EUV 레지스트 내에 생성된 산의 양은, 전형적으로 표준 DUV(즉, 193 nm 및 248 nm) 화학 증폭 포토레지스트 시스템에서 관찰되는 것보다 전형적으로 더 적다. (예를 들어, PAG 농도를 증가시킴으로써) 레지스트 감광도를 증가시키는 표준 수단은, 특정 선폭을 달성하기 위해 필요한 광자의 수를 감소시켜서 레지스트 패턴에서 산탄 잡음(shot-noise) 관련 LWR을 증가시키기 때문에, EUV 시스템에서 유리한 것으로 입증되지 않았다. 이러한 이유로, 연구자들은 RLS 상충 균형을 달성하기 위해, 많은 종류의 감광제 성분, 및/또는 발색단과 같은 다른 흡광 성분을 첨가하는 것을 고려하였다. 이러한 노력은 PS-CAR 화학제의 개발로 이어졌다.
EUV 공정의 다른 과제는, 193 nm 노광의 상응하는 선량에 대한 이러한 더 적은 광자 생성을 고려하기에 충분하게 EUV 스캐너의 소스 전력을 높이는 것이다. EUV 노광 선량을 높이면, 광자의 수가 증가하고, 처리량이 감소하며, 운영 비용은 증가한다. 가능 처리량(enabling throughput)으로도 지칭되는 대량 제조의 목표를 달성하기 위해, 포토리소그래피 도구는 시간당 약 100개 이상의 웨이퍼를 달성해야 한다. 포토리소그래피 도구의 처리량은 EUV 에너지의 함수이므로, EUV 선량이 더 높을수록, 시간당 더 적은 기판이 처리된다. 따라서, EUV 공정을 구현하기 위해서는, RLS 상충 삼각형이 극복되거나 크게 최소화되어야 할 뿐만 아니라, 공정이 대량 제조를 위한 가능 처리량을 제공해야 한다. 많은 연구자들은 RLS 삼각형 및 처리량 과제에서 벗어나고자 시도했지만, 아직까지는 완전히 성공한 것은 없었다. 따라서, LWR 및 해상도에 대한 부정적인 영향 없이 레지스트 감광도의 감소를 가능하게 하고, EUV 리소그래피를 위한 가능 처리량을 달성하는 패터닝 방법에 대한 지속적인 요구가 있다.
본 개시물의 일 실시형태에 따라, 기판 상에 감광층이 형성되며, 기판 상의 타겟층에 형성될 패턴에 대한 타겟 해상도가 한정된다. 참조 선량 및 타겟 해상도에서의 13 nm 내지 15 nm의 파장의 에너지를 사용하는 단일 패터닝 노광으로부터 기인하는 패턴에 대한 참조 선량 및 참조 선폭 거칠기에 기초하여, 방사성 에너지의 적어도 두 가지 노광이 감광층에 수행된다. 적어도 두 가지 노광은, 참조 선량 미만이도록 선택되고 15 mJ/cm2 내지 200 mJ/cm2의 범위에서 선택되는 선량, 및 13 nm 내지 15 nm의 파장의 에너지를 포함하는 패터닝 노광; 및 0.5 J/cm2 내지 20 J/cm2의 선량, 및 200 nm 내지 420 nm의 파장의 에너지를 포함하는 플러드(flood) 노광을 포함한다. 일 실시형태에서, 플러드 노광은 360 nm 내지 400 nm의 파장의 에너지를 포함한다. 그 다음, 감광층은 감광층에 마스크 패턴을 형성하기 위해 현상되며, 기판 상의 타겟층에 패턴을 형성하기 위해, 마스크 패턴을 사용하여 기판이 에칭된다. 결과적인 패턴은, 참조 선폭 거칠기 미만이거나 참조 선폭 거칠기와 대략적으로 동일하면서 5 nm 이하인 선폭 거칠기, 및 타겟 해상도를 갖는다. 이러한 방법에 의해, EUV 감광도의 감소, 그리고 동일하거나 더 우수한 LWR 및 해상도로 가능 처리량이 달성될 수 있으므로, RLS 삼각형을 극복할 수 있다.
본 개시물의 다른 실시형태에 따라, 화학 증폭 레지스트(CAR) 층이 기판 상에 형성되며, CAR 층은 광-산 발생제(PAG), 광-분해 가능 염기(PDB), 또는 이 둘 모두를 포함하고, 감광제 성분이 첨가되지 않으며, 기판 상의 타겟층에 형성될 패턴에 대한 타겟 해상도가 한정된다. 참조 선량 및 타겟 해상도에서의 13 nm 내지 15 nm의 파장의 에너지를 사용하는 단일 패터닝 노광으로부터 기인하는 패턴에 대한 참조 선량 및 참조 선폭 거칠기에 기초하여, 방사성 에너지의 적어도 두 가지 노광이 CAR 층에 수행된다. 적어도 두 가지 노광은, 참조 선량보다 적어도 3 mJ/cm2 더 작도록 선택되고 20 mJ/cm2 내지 75 mJ/cm2의 범위에서 선택되는 선량, 및 13 nm 내지 15 nm의 파장의 에너지를 포함하는 패터닝 노광; 및 그 후에, 2 J/cm2 내지 12 J/cm2의 선량, 및 360 nm 내지 400 nm의 파장의 에너지를 포함하는 플러드 노광을 포함한다. 그 다음, CAR 층은 CAR 층에 마스크 패턴을 형성하기 위해 현상되며, 기판 상의 타겟층에 패턴을 형성하기 위해, 마스크 패턴을 사용하여 기판이 에칭된다. 결과적인 패턴은, 참조 선폭 거칠기보다 적어도 0.1 nm 더 작으면서 5 nm 이하인 선폭 거칠기, 및 타겟 해상도를 갖는다.
첨부된 도면으로서:
도 1은 둘 모두에 플러드 노광이 없는 그리고 EUV 패터닝 노광 이후에 플러드 노광이 있는, EUV 공정에 사용되는 2개의 상이한 레지스트에 대한 LWR 및 EUV 선량의 그래프이다.
도 2는 둘 모두에 플러드 노광이 없는 그리고 EUV 패터닝 노광 이전에 플러드 노광이 있는, EUV 공정에 사용되는 2개의 상이한 레지스트에 대한 LWR 및 EUV 선량의 그래프이다.
기판을 패터닝하기 위한 방법이 다양한 실시형태에서 개시된다. 그러나, 관련 기술 분야의 당업자는 다양한 실시형태가 하나 이상의 구체적인 세부 사항 없이 실시될 수 있거나, 다른 대체 및/또는 추가적인 방법, 재료, 또는 구성 요소로 실시될 수 있음을 인식할 것이다. 다른 실시예에서, 널리 알려진 구조, 재료, 또는 작업은 본 발명의 다양한 실시형태의 양태를 불명료하게 하는 것을 방지하기 위해 상세히 도시되거나 설명되지 않는다.
유사하게, 설명의 목적으로, 본 발명의 완전한 이해를 제공하기 위해 구체적인 수, 재료, 및 구성이 상술된다. 그럼에도 불구하고, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다.
본 명세서 전반에 걸쳐서, "일 실시형태" 또는 "실시형태" 또는 이의 변형예라는 언급은 실시형태와 관련하여 설명된 구체적인 특징, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함됨을 의미하지만, 이들이 모든 실시형태에 존재한다는 것을 의미하지 않는다. 따라서, 본 명세서 전반에 걸친 다양한 곳에서, "일 실시형태에서" 또는 "실시형태에서"와 같은 문구의 출현은 반드시 본 발명의 동일한 실시형태를 지칭하는 것은 아니다. 또한, 구체적인 특징, 구조, 재료, 또는 특성은 하나 이상의 실시형태에서 임의의 적합한 방식으로 조합될 수 있다.
그럼에도 불구하고, 설명 내에 포함된 특징은 설명되는 일반적인 개념의 본 발명의 특성에도 불구하고, 또한 본 발명의 특성임을 이해해야 한다.
본원에서 사용된 바와 같은 "기판"은 일반적으로 본 발명의 실시형태에 따라 처리되는 대상물을 지칭한다. 기판은 소자, 특히 반도체 또는 다른 전자 소자의 임의의 재료 부분 또는 구조물을 포함할 수 있으며, 예를 들어, 반도체 웨이퍼와 같은 베이스 기판 구조물, 또는 박막과 같이 베이스 기판 구조물 상에 있거나 위에 놓이는 층일 수 있다. 따라서, 기판은 패터닝된 또는 패터닝되지 않은 임의의 특정한 베이스 구조물, 하부층 또는 상부층으로 제한되는 것으로 의도되는 것이 아니라, 오히려 임의의 그러한 층 또는 베이스 구조물, 그리고 층 및/또는 베이스 구조물의 임의의 조합물을 포함하는 것으로 고려된다. 이하의 설명은 특정 유형의 기판을 언급할 수 있지만, 이는 단지 예시적인 목적을 위한 것이며 제한사항이 아니다.
본 출원에서, 선량 및 에너지라는 용어는, 감광 재료를 조사하기 위해 사용되는 EUV 방사선을 의미하도록 교환 가능하게 사용된다. EUV 패터닝 노광을 위한 임의의 적합한 감광 재료가 사용될 수 있으며, 포토레지스트에 대한 언급은 예시적인 것으로 의도되고 제한적인 것이 아니다. 또한, 레지스트 및 포토레지스트는 포토레지스트를 의미하도록 교환 가능하게 사용된다.
거칠기 및 해상도를 유지하거나 개선하면서, 레지스트 패터닝에서 더 낮은 EUV 선량을 사용할 수 있게 함으로써, RLS 삼각형을 파괴하기 위한 기술이 본원에 개시된다. 일반적으로, 본원에 개시되는 제안된 EUV 선량 방식에 따라, EUV 이미징된 패턴에 대한 LWR은 대량 제조를 지원하기에는 너무 높을 것이다. 본 개시물에 따라, 일 실시형태에서, EUV 패터닝 전에, EUV 패터닝 후에, 또는 이들의 조합 중 어느 하나에서, 기존의 CAR 흐름(또는 다른 EUV 레지스트 재료)에 대한 중간-UV 플러드 노광 시스템에 의해, 더 낮은 EUV 선량 방식이 가능해진다. 중간-UV 플러드 노광의 추가는 레지스트 내에서 선택적인 추가 광화학 작용을 가능하게 하며, 이는 과거에는 원하는 전기적 성능 및/또는 패터닝 품질을 달성하지 못했던 EUV 선량 방식에 대해 예상치 못하게 낮은 LWR 결과를 가능하게 한다. 낮은 EUV 선량 방식의 다른 이점은 대량 제조에 요구되는, EUV 시스템의 처리량 증가 및 운영 비용 감소이다. 또한, 매우 놀랍게도, 본 방법은 RLS 삼각형을 파괴함으로써, 다른 양태를 개선하기 위해 하나의 양태를 상충시킬 필요성, 예를 들어 감광도를 개선하기 위해 LWR 및/또는 해상도를 상충시킬 필요성을 극복하기 때문에, RLS 삼각형 관계에서 상충 균형을 시도 및 달성하기 위해 감광제(PS)를 사용할 필요가 없다.
CAR 또는 다른 EUV 패터닝 재료에 대해, 본 개시물에 따라 RLS 삼각형을 파괴하기 위한 한 가지 접근 방식은 EUV 패터닝 노광과 더불어, 고출력 중간-UV 플러드 노광 시스템의 사용을 포함한다. 따라서, 15 mJ/cm2 내지 200 mJ/cm2의 선량 및 13 nm 내지 15 nm의 파장의 에너지를 갖는 패터닝 노광(EUV 패터닝 노광으로 지칭될 수 있음), 및 0.5 J/cm2 내지 20 J/cm2의 선량 및 200 nm 내지 420 nm의 파장의 에너지를 갖는 플러드(또는 블랭킷) 노광을 포함하는, 방사성 에너지의 적어도 두 가지 노광이 감광 재료(레지스트)에 수행된다. 일 실시형태에서, 플러드 노광은 360 nm보다 더 큰 파장, 또는 360 nm 내지 400 nm의 파장의 에너지를 사용한다. 예시적인 일 실시예에서, 365 nm >1 J/cm2 노광 시스템이 제안되지만, 이상적인 파장 및 선량은 주어진 레지스트 재료의 흡광 특성에 따라 좌우될 수 있다. 이러한 플러드 노광 시스템은, EUV 패터닝 노광 전(…→ COT → PAB → 중간-UV 플러드 → EUV → PEB → DEV), EUV 패터닝 노광 후(…→ COT → PAB → EUV → 중간-UV 플러드 → PEB → DEV), 또는 이들의 조합(…→ COT → PAB → 중간-UV 플러드 → EUV → 중간-UV 플러드 → PEB → DEV) 중 어느 하나에서 사용될 수 있다(여기서, COT = 레지스트 코팅기, PAB = 도포후 베이킹(bake), 중간-UV 플러드 = 플러드 노광, EUV = EUV 패터닝 노광, PEB = 노광후 베이킹, 및 DEV = 현상). 본 개시물은, 플러드 노광 없이 단일 EUV 패터닝 노광을 사용하는 단일 노광 패터닝 공정에서 달성 가능한 선량, 해상도 및 거칠기와 비교하여, 거칠기 및 해상도를 유지하거나 개선하고 패터닝 동안 더 낮은 EUV 선량을 가능하게 하기 위한 방법을 설명한다. 일 실시형태에서, EUV 레지스트 기질 내에서 유리한 대안적인 화학 반응을 개시하도록 기판을 처리하기 위해, 고출력 중간-UV 플러드 노광 시스템이 사용될 수 있다.
도 1 및 도 2는 아래에 더 상세히 설명되는 바와 같이, LWR(y축) 및 EUV 선량(x축)을 EUV 공정에 사용되는 적어도 2개의 상이한 유형의 레지스트와 비교하는 데이터를 도시한다. 이러한 결과에서, 16 nm 선/공간의 광학 해상도 한계 근접치에서 그리고 유사한 노광 허용도(latitude) 응답으로 이미징을 유지하면서, 선량 및 거칠기의 확실한 감소가 달성될 수 있다. 과거에는, RLS 딜레마는 동일한 해상도를 유지하면서, 선량이 감소함에 따라 LWR이 항상 증가하는 관찰된 현상을 중심으로 개념화되었다. 원하는 전기적 결과를 달성하기 위하여, EUV 선량은 원하는 전기 소자 및 패터닝 성능을 달성하도록 LWR을 개선하기 위해 증가되어야 했다. 그러나, 이러한 접근 방식은 처리량을 감소시키고, 제조 비용을 증가시켰다. 본 개시물 이전에, 이러한 반비례 관계가 깨지는 것이 입증되지 않았고, 동일한 해상도를 유지하면서 EUV 선량의 감소가 LWR을 증가시키는 대신에 LWR을 유지하거나 감소시킬 수 있다는 것도 입증되지 않았다.
EUV 패터닝 공정 흐름은 화학 증폭 레지스트(CAR), 또는 반도체 기판 상에 패턴을 형성하기 위해 사용되는 다른 감광 재료를 포함할 수 있다. 레지스트는 화학 증폭 수단으로서, 광-산 발생제(PAG), 광-분해 가능 염기(PDB), 또는 둘 모두를 포함할 수 있다. 일부 실시형태에서, 감광제 성분, 및/또는 발색단과 같은 흡광 성분이 레지스트에 첨가되지 않는다. 공정 흐름은, EUV 공정 동안 반사를 제한하거나 후속 공정 동안 사용될 하드 마스크를 형성하기 위해, 레지스트와 기판 사이에 배치된 하나 이상의 층을 포함할 수 있다. 일 실시형태에서, 레지스트 층이 그 위에 형성된 기판 상에 하나 이상의 반사 방지 코팅(ARC) 층이 형성되며, 플러드 노광의 파장의 광을 흡수하도록 조정된 흡수층이 포함된다. 일 실시형태에서, 스핀-온-탄소(SOC) 층이 기판 상에 형성되고, 스핀-온-글라스(SOG) 층이 SOC 층 상에 형성되며, 레지스트가 SOG 층 상에 형성되고, SOC 층은 플러드 노광의 파장에서 기판으로부터의 방사성 에너지의 반사를 제한하도록 구성된다.
일반적으로, EUV 패터닝 공정은, 레지스트로 기판을 코팅하는 단계; 노광전 처리; EUV 패터닝 노광; 노광후 처리; 및 레지스트 아래에 있는 재료를 노출시킬 수 있는 레지스트 내의 패턴을 형성하기 위해 레지스트를 현상하는 단계를 포함할 수 있지만, 이에 제한되지 않는다. 노광전 처리는 레지스트를 베이킹하는 단계, 및/또는 레지스트를 방사성 에너지에 노출시키는 단계를 포함할 수 있다. 일 실시형태에서, 베이킹하는 단계는 기판이 핫 플레이트 상에 배치될 때 열 에너지를 기판에 전달하는 핫 플레이트를 사용하여 수행될 수 있다. 방사성 에너지는 특정 파장 및 선량으로 광 또는 에너지를 방출하는 방사성 에너지 소스를 통해 기판에 인가될 수 있다. 방사성 에너지 소스는 전체 레지스트 층에 걸친 플러드 또는 블랭킷 처리일 수 있다. 일 실시형태에서, 방사성 에너지는 200 nm 내지 420 nm의 파장을 포함할 수 있다. 다른 실시형태에서, 파장은 300 nm 내지 400 nm, 또는 360 nm 내지 400 nm, 또는 360 nm 초과로 제한될 수 있다. 구체적인 일 실시형태에서, 파장은 약 365 nm일 수 있다. 다른 구체적인 실시형태에서, 파장은 약 395 nm일 수 있다. 또한, 방사성 에너지 소스는 0.5 J/cm2 내지 20 J/cm2의 에너지 선량을 가능하게 하도록 설계될 수 있다. 그러나, 일부 실시형태에서, EUV 공정이 LWR을 증가시키지 않으면서 더 낮은 선량을 사용할 수 있게 하기 위해, 에너지 선량은 12 J/cm2 미만 또는 10 J/cm2 미만일 수 있다. 예를 들어, 에너지 선량은 2 J/cm2 내지 12 J/cm2, 또는 3 J/cm2 내지 9 J/cm2일 수 있다. 구체적인 일 실시형태에서, 방사성 에너지 선량은 약 6 J/cm2일 수 있다.
전처리 이후에, 15 mJ/cm2 내지 200 mJ/cm2의 선량과 함께 13 nm 내지 15 nm의 파장을 갖는 EUV 에너지 또는 광으로 레지스트를 처리함으로써, 패터닝 노광이 기판에 수행된다. 그러나, 다른 실시형태에서, EUV 선량은 20 mJ/cm2 내지 75 mJ/cm2일 수 있다. 노광후 처리는 레지스트를 베이킹하는 단계, 및/또는 레지스트를 방사성 에너지에 노출시키는 단계를 포함할 수 있다. 일 실시형태에서, 노광후 베이킹하는 단계는 기판이 핫 플레이트 상에 배치될 때 열 에너지를 기판에 전달하는 핫 플레이트를 사용하여 수행될 수 있다. 방사성 에너지는 특정 파장 및 선량으로 광 또는 에너지를 방출하는 방사성 에너지 소스를 통해 기판에 인가될 수 있다. 방사성 에너지 소스는 전체 레지스트 층에 걸친 플러드 또는 블랭킷 처리일 수 있으며, 원하는 파장 및 선량으로 광을 방출하도록 설계된 UV-광 시스템(예를 들어, 램프 또는 LED)에 의해 구현될 수 있다. 일 실시형태에서, 방사성 에너지는 200 nm 내지 420 nm의 파장을 포함할 수 있다. 다른 실시형태에서, 파장은 300 nm 내지 400 nm, 또는 360 nm 내지 400 nm, 또는 360 nm 초과로 제한될 수 있다. 구체적인 일 실시형태에서, 파장은 약 365 nm일 수 있다. 다른 구체적인 실시형태에서, 파장은 약 395 nm일 수 있다. 또한, 방사성 에너지 소스는 0.5 J/cm2 내지 20 J/cm2의 에너지 선량을 가능하게 하도록 설계될 수 있다. 그러나, 일부 실시형태에서, EUV 공정이 LWR을 증가시키지 않으면서 더 낮은 선량을 사용할 수 있게 하기 위해, 에너지 선량은 12 J/cm2 미만 또는 10 J/cm2 미만일 수 있다. 예를 들어, 에너지 선량은 2 J/cm2 내지 12 J/cm2, 또는 3 J/cm2 내지 9 J/cm2일 수 있다. 구체적인 일 실시형태에서, 방사성 에너지 선량은 약 6 J/cm2일 수 있다. 일부 실시형태에서, 노광후 처리 동안의 방사성 에너지 공정 조건은 노광전 처리에서 사용된 것과 동일할 필요는 없다. 예를 들어, 노광전 처리 또는 노광후 처리 중 하나는 200 nm 내지 420 nm의 파장, 예를 들어 360 nm 초과의 파장, 및 0.5 J/cm2 내지 20 J/cm2의 에너지 선량으로 수행되는 반면에, 노광전 처리 또는 노광후 처리 중 다른 하나는 이러한 언급된 범위를 벗어날 수 있는 파장 및/또는 에너지로 수행된다. 그러나, 유리하게는, 노광전 처리 및 노광후 처리 모두가 수행되는 경우, 이들은 언급된 범위 내에 각각 속하지만, 서로 동일하거나 상이할 수 있다.
노광후 처리 이후에, 레지스트 내에 마스크 패턴을 형성하기 위해, 현상 처리가 사용될 수 있다. 후속하여, 마스크 패턴은 기판 상의 타겟층 내에 패턴을 형성하도록 하나 이상의 하부 층을 에칭하기 위해 사용될 수 있다. 일 실시형태에서, 패턴에 대한 LWR은 5 nm 미만일 수 있다. 일 실시형태에서, 동일한 EUV 파장 및 해상도의 단일 노광을 사용하는 EUV 패터닝 공정과 비교하여, 적어도 3 mJ/cm2 더 작은 EUV 패터닝 선량에서 적어도 0.1 nm의 LWR 감소가 달성될 수 있다.
전술한 바와 같이, 노광전 및 노광후 처리 모두는 레지스트를 방사성 에너지에 노출시키는 단계를 포함할 수 있다. 다른 실시형태에서, 노광전 처리는 방사성 에너지 공정을 포함하지만, 노광후 처리는 방사성 공정을 포함하지 않음으로써, 방사성 에너지 소스가 EUV 패터닝 공정 이전에만 사용된다. 그러나, 다른 실시형태에서, 노광전 처리는 방사성 에너지 공정을 포함하지 않지만, 노광후 처리는 방사성 공정을 포함함으로써, 방사성 에너지 소스가 EUV 공정 이후에만 사용된다.
도 1을 다시 참조하면, 실험 결과는 본원에 개시된 방법이 패터닝 동안 통상적으로 사용되는 것보다 더 낮은 EUV 선량을 가능하게 할 수 있음을 나타낸다. 도 1은 EUV 패터닝 노광 후에 인라인 6 J/cm2 365 nm 플러드 노광이 수행된 2개의 재료에 대한 실험 결과를 도시한다. 도 1은 UV 플러드 처리가 없는 것("0J")과 대비하여 노광후 UV 플러드 처리가 공정에 추가된 경우("6J") EUV 선량과 LWR 사이의 관계를 도시한다. 도 1에 도시된 바와 같이, 노광후 처리는 EUV 선량이 감소되는 경우 LWR의 감소를 가능하게 하며, 이는 전술한 RLS 삼각형 관계를 고려할 때 예상치 못한 것이다. 실험은 EUV 공정의 기술 분야의 당업자에 의해 사용되는 EUV 레지스트를 대표하는 재료 A 및 B의 2개의 상이한 재료를 포함하였다. 2개의 재료는, 200 nm 내지 420 nm의 광, 그리고 특히 360 nm 초과의 광, 예를 들어 360 nm 내지 400 nm의 광에 대해 불투명할 수 있는 유사한 산 담금질(quenching) 분자를 포함한다. 구체적인 일 실시형태에서, 산 담금질 분자는 약 365 nm의 파장을 갖는 광에 불투명하다. 재료 B는 약 5 mJ/cm2의 EUV 선량의 감소에도 불구하고, 5 nm 초과 내지 5 nm 미만으로 LWR의 현저한 감소를 나타냈다. 재료 A는 약 3 내지 4 mJ/cm2의 EUV 선량의 감소에도 불구하고, 5 nm 미만의 LWR을 유지하는, LWR의 약간의 감소를 나타냈다. 따라서, 참조 선량에서의 EUV 방사선의 단일 노광이 타겟 패턴 해상도에 대해 수행되어 결과적인 참조 LWR이 획득되는, 참조 공정으로서 0J 공정에 기초하여, 동일한 EUV 패터닝 노광 파장 및 타겟 해상도가 선택되고, 패터닝 노광을 위한 EUV 선량이 참조 선량 미만이도록 선택되는 본 방법이 수행될 수 있으며, 방법은, 0.5 J/cm2 내지 20 J/cm2의 선량, 및 200 nm 내지 420 nm의 파장, 예를 들어 360 nm 초과의 파장의 에너지를 갖는 플러드 노광을 더 포함하고, 타겟층으로 에칭된 결과적인 패턴은, 참조 LWR 미만이고 5 nm 이하인 LWR, 및 타겟 해상도를 갖는다.
도 2는 EUV 패터닝 노광 전에 인라인 6 J/cm2 365 nm 플러드 노광이 수행된 동일한 2개의 재료에 대한 실험 결과를 도시한다. 도 2에서, 기존의 RLS 상충 관계는 레지스트의 노광전 UV-광 처리만을 수행하는 경우에 약간만 보인다. 그러나, LWR의 약간의 증가는 기존의 RLS 상충 관계에 따라 예상되는 것보다는 훨씬 더 낮으며, EUV 패터닝 노광 전에 중간-UV 플러드 노광 시스템을 사용함으로써 어느 정도의 감광 이점을 얻을 가능성을 열어준다. 예를 들어, 재료 A는 0.2 nm 미만의 LWR 증가만을 나타냈고, EUV 선량을 7 내지 8 mJ/cm2만큼 감소시킴에도 불구하고, 여전히 5 nm 미만의 LWR을 달성하였다. 선량이 5 내지 6 mJ/cm2만큼만 감소된 경우, LWR은 0.1 nm 내에서 유지될 수 있다. 더 낮은 EUV 선량을 위한 참조 LWR과 대략적으로 동일한(예를 들어, +/- 0.1 nm) LWR을 달성하는 것은, LWR의 현저한 증가를 좌우하는 것으로 알려진 RLS 삼각형 관계의 예상치 못한 파괴이다. 도 1 및 도 2는 해상도가 일정하게 유지되는 경우 LWR 및 EUV 선량이 반비례 관계인 잘 알려진 RLS 상충 관계를 고려할 때, 예상치 못한 결과의 강력한 증거를 제공한다. EUV 레지스트 기질 내에서 발생하는 유리한 대안적인 화학 반응을 얻기 위해, 고출력 중간-UV 플러드 노광 시스템이 사용될 수 있으며, 이는 가장 구체적으로는 플러드 노광이 EUV 패터닝 노광 이후에 수행되는 경우에, 궁극적으로, 거칠기 및 해상도를 유지하거나 개선하면서 더 낮은 EUV 선량을 가능하게 한다는 것이 입증되었다. 추가적으로, 이러한 결과는 레지스트 화학제에서 PS 및/또는 발색단과 같은 다른 흡광 성분 없이 달성될 수 있다는 것이 또한 놀랍다.
위에서 입증된 바와 같이, RLS 상충 관계는 고출력 중간-UV 플러드 노광 시스템을 사용하여 상이한 유형의 EUV 레지스트에 대해 극복될 수 있지만, 이상적인 파장 및 선량은 상이한 레지스트 간에 다를 수 있고, 주어진 재료의 흡수 특성에 따라 좌우될 가능성이 있을 수 있다. 따라서, 주어진 해상도에서의 개선된 EUV 레지스트 감광을 위해, LWR을 0.1 nm 초과만큼 증가시키지 않고 심지어 LWR을 감소시킴으로써, 그리고 타겟 값 이하인 LWR을 달성함으로써, EUV 선량을 더 낮게 만들도록 파장 및 선량이 최적화될 필요가 있을 수 있다. 예를 들어, 주어진 해상도에 대해, 5 nm와 같은, LWR에 대한 타겟 최대치가 식별될 수 있고, 달성 가능한 최저 선량 및 파장이 그 타겟을 충족시키도록 결정될 수 있다. 일 실시형태에서, 파장 및 선량은 참조 단일 패터닝 노광 공정과 비교하여, 적어도 3 mJ/cm2의 선량 감소와 함께 0.1 nm 초과의 LWR 감소를 달성하도록 선택된다.
노광 동안 산의 생성은 2가지 확률 과정 간의 조정을 필요로 한다 - 레지스트는 광자를 흡수하고, 광자로부터의 에너지는 광-산 발생제(PAG)(또는 유도체) 또는 광-분해 가능 염기(PDB)(또는 유도체)로 전달된다. EUV 패터닝 노광의 경우, 에너지 전달은 직접적인 흡수에 의해, 또는 광전자와의 상호 작용에 의해 수행될 수 있다. 일 실시형태에서, 고출력 중간-UV 플러드 시스템 또는 모듈(2차 파장)은, 레지스트 재료 자체 내에서 광전자 상호 작용 및/또는 직접적인 광자 흡수 이벤트("상호 작용/흡수 이벤트")를 달성하기 위해 사용되어 최적화된다. 상호 작용/흡수 이벤트는 몇 가지 가능한 결과를 유발할 수 있다. 하나의 결과는 추가적인 PAG 분해 또는 PDB 분해를 돕는 전자의 교환이다. PAG 또는 PDB 분해는 잠재적으로 더 많은 산 충전(loading), 또는 더 적은 염기 충전, 또는 이들의 조합을 유발한다. 이들 중 어느 하나 또는 둘 모두의 충전을 변화시키는 이러한 능력은 이미징된 레지스트 내의 타겟 형상부 에지에서 최적의 농도, 프로파일, 또는 임계치가 설정될 수 있게 함으로써, 단독 EUV 패터닝 노광과 비교하여 거칠기를 개선할 수 있다.
레지스트 재료에 의한 상호 작용/흡수 이벤트의 다른 결과는, (최대 현상 명암비의 보호 제거(de-protection) 임계치로 이동하는) 현상액과의 최적의 상호 작용을 보장하기 위해, 최적의 보호 제거 레벨/임계치가 타겟 형상부 에지에 집중될 수 있게 하기 위한 폴리머의 직접적인 보호 제거(현상 동안 용해도에 영향을 주는 보호기의 직접적인 벽개(cleaving))이다.
레지스트 재료에 의한 상호 작용/흡수 이벤트의 제3 결과는 발열 반응인 보호 제거 이벤트가 발생한다는 것이다. 이러한 국부적 가열 및 자유 체적 증가 효과는 국부적 제어(국부적 가열이 확산될 때 시간이 지남에 따른 산 포획)를 가능하게 한다. 국부적 가열이 확산되기 전에, 국부적 영역에서의 상당한 산 이동성(및 폴리머 이동성)이 짧은 시간 동안 발생할 수 있다. 이러한 증가된 이동성은 많은 수의 산 이벤트가 제어된/한정된 체적의 보호된 폴리머에서 발생할 수 있게 하며, 이는 거칠기를 감소시킬 수 있다.
다른 실시형태에서, 고출력 중간-UV 플러드 시스템 또는 모듈(2차 파장)은 PAG 자체와의 상호 작용/흡수 이벤트를 얻기 위해 사용된다. (중간-UV 흡수 이벤트에 의한) 추가적인 PAG 분해는 잠재적으로 더 많은 산 충전을 유발할 것이다. 유효 충전을 변화시키는 이러한 능력은 이미징된 레지스트 내의 타겟 형상부 에지에서 최적의 농도, 프로파일, 또는 임계치가 설정될 수 있게 함으로써, 단독 EUV 패터닝 노광과 비교하여, 거칠기를 개선할 수 있다. PAG의 상호 작용/흡수 이벤트에 의한 다른 결과는 발열 반응이다. 이러한 국부적 가열 및 자유 체적 증가 효과는 국부적 제어(국부적 가열이 확산될 때 시간이 지남에 따른 산 포획)를 가능하게 하지만, 짧은 시간 동안 국부적 영역에서의 상당한 산 이동성(및 폴리머 이동성)을 가능하게 한다. 이러한 증가된 이동성은 많은 수의 산 보호 제거 이벤트가 제어된/한정된 체적의 보호된 폴리머에서 발생할 수 있게 하며, 이는 거칠기를 감소시킬 수 있다.
다른 실시형태에서, 고출력 중간-UV 플러드 시스템 또는 모듈(2차 파장)은 PDB 자체와의 상호 작용/흡수 이벤트를 얻기 위해 사용된다. (중간-UV 흡수 이벤트에 의한) 추가적인 PDB 분해는 잠재적으로 더 적은 염기 충전을 유발할 것이다. 유효 충전을 변화시키는 이러한 능력은 이미징된 레지스트 내의 타겟 형상부 에지에서 최적의 농도, 프로파일, 또는 임계치가 설정될 수 있게 함으로써, 단독 EUV 패터닝 노광과 비교하여, 거칠기를 개선할 수 있다. PDB의 상호 작용/흡수 이벤트에 의한 다른 결과는 발열 반응이다. 이러한 국부적 가열 및 자유 체적 증가 효과는 국부적 제어(국부적 가열이 확산될 때 시간이 지남에 따른 산 포획)를 가능하게 하지만, 짧은 시간 동안 국부적 영역에서의 상당한 산 이동성(및 폴리머 이동성)을 가능하게 한다. 이러한 증가된 이동성은 많은 수의 산 보호 제거 이벤트가 제어된/한정된 체적의 보호된 폴리머에서 발생할 수 있게 하며, 이는 거칠기를 감소시킬 수 있다.
다른 실시형태에서, 고출력 중간-UV 플러드 시스템 또는 모듈(2차 파장)은 PAG/PDB 반응 중간체 및/또는 부산물과의 상호 작용/흡수 이벤트를 얻기 위해 사용된다. 이는 추가적인 PAG/PDB 분해를 야기하는 선택적 감광을 유발할 수 있다. (부산물 또는 중간체와의 중간-UV 상호 작용/흡수 이벤트에 의한) 추가적인 PAG 및/또는 PDB 분해는 잠재적으로 더 많은 산 충전, 또는 더 적은 염기 충전, 또는 둘 모두를 유발할 것이다. 중간체 및/또는 부산물이 노출된 영역에서만 형성된다는 것을 고려할 때, 패턴 노출 영역에서만 유효 충전을 변화시키는 이러한 능력은, 이미징된 레지스트 내의 타겟 형상부 에지에서 최적의 농도, 프로파일, 또는 임계치가 설정될 수 있게 함으로써, 단독 EUV 패터닝 노광과 비교하여, 거칠기를 개선할 수 있다.
일 실시형태에 따라, 감광층은 하나 이상의 오늄 염(onium salt)을 포함할 수 있다. 예를 들어, 감광층은 오늄 염을 포함하는 PAG를 포함할 수 있다. 오늄 염 PAG 막은 산 촉매 반응을 통해, EUV 노광 동안 케톤 유도체를 생성할 수 있는 아세탈 또는 티오아세탈 구조물을 포함할 수 있으므로, EUV 노출 영역에서 선택적 감광을 유발하는 PAG에서의 흡수 변화를 가능하게 한다. 보다 구체적으로는, 오늄 염은 자외선에 현저하게 흡수되지 않는 반면에, EUV에 의해 생성된 산은 아세탈 또는 티오아세탈의 존재로 인해, 오늄 염의 보호 제거된 케톤이 형성될 수 있게 한다. 이러한 보호 제거된 케톤은 유도체로 변환된다. 그 다음, 중간-UV 플러드 시스템에 흡수되는 케톤 유도체는 다른 PAG와의 상호 작용을 도입하여 추가적인 산을 형성한다. 레지스트 막에서의 케톤 유도체는 EUV로 조사되는 노출된 부분에서 생성되기 때문에, EUV에 의한 노출된 부분에서의 산 생성량이 고출력 중간-UV 플러드 시스템에 의해 증가될 수 있게 한다. 예시적인 실시형태에서, 플러드 노광은 케톤 유도체에 의한 흡수를 위해, 360 nm보다 더 큰 파장, 또는 360 nm 내지 400 nm의 파장의 에너지를 사용한다. 오늄 염은, 브로모늄 염, 옥소늄 염, 술포늄 염, 아르소늄 염, 암모늄 염, 요오도늄 염, 및 포스포늄 염을 포함할 수 있지만, 이에 제한되지 않는다.
상호 작용/흡수 이벤트, 그리고 국부적 가열 및 자유 체적 증가 효과는, PS 및/또는 발색단과 같은 다른 흡광 성분을 레지스트 화학제에 혼입할 필요 없이 달성된다. 통상적인 CAR 화학제가 사용될 수 있다.
일 실시형태에서, 감광층이 기판 상에 형성되고, 기판 상의 타겟층에 형성될 패턴에 대한 타겟 해상도가 한정된다. 참조 선량 및 타겟 해상도에서의 13 nm 내지 15 nm의 파장의 에너지를 사용하는 단일 패터닝 노광으로부터 기인하는 패턴에 대한 참조 선량 및 참조 선폭 거칠기에 기초하여, 방사성 에너지의 적어도 두 가지 노광이 감광층에 수행된다. 적어도 두 가지 노광은, 참조 선량 미만이도록 선택되고 15 mJ/cm2 내지 200 mJ/cm2의 범위에서 선택되는 선량, 및 13 nm 내지 15 nm의 파장의 에너지를 포함하는 패터닝 노광; 및 0.5 J/cm2 내지 20 J/cm2의 선량 및 200 nm 내지 420 nm의 파장의 에너지를 포함하는 플러드 노광을 포함한다. 그 다음, 감광층은 감광층에 마스크 패턴을 형성하기 위해 현상되며, 기판 상의 타겟층에 패턴을 형성하기 위해, 마스크 패턴을 사용하여 기판이 에칭된다. 결과적인 패턴은, 참조 선폭 거칠기 미만이거나 참조 선폭 거칠기와 대략적으로 동일하면서 5 nm 이하인 선폭 거칠기, 및 타겟 해상도를 갖는다. 이러한 방법에 의해, EUV 감광도의 감소, 그리고 동일하거나 더 우수한 LWR 및 해상도를 통해, 가능 처리량이 달성될 수 있으므로, RLS 삼각형을 극복할 수 있다. 플러드 노광은 제1 플러드 노광 및 제2 플러드 노광을 포함할 수 있으며, 제1 또는 제2 플러드 노광 중 적어도 하나는 200 nm 내지 420 nm의 파장, 및 0.5 J/cm2 내지 20 J/cm2의 선량을 포함한다. 대안적으로, 플러드 노광은 EUV 패터닝 노광 전 또는 후에 단일 플러드 노광을 포함한다. 유리하게는, 플러드 노광은 적어도 EUV 패터닝 노광 후에, 그리고 레지스트를 현상하기 전에 수행된다. 방법은 감광층이 기판 상에 형성된 후에, 그러나 기판을 플러드 노광 또는 패터닝 노광에 노출시키기 전에, 도포후 베이킹을 더 포함할 수 있다. 방법은 기판을 패터닝 노광에 노출시킨 후에, 그리고 일 실시형태에서, 두 가지 이상의 노광이 모두 완료된 후에, 노광후 베이킹을 더 포함할 수 있다.
다른 실시형태에서, 화학 증폭 레지스트(CAR) 층이 기판 상에 형성되며, CAR 층은 광-산 발생제(PAG), 광-분해 가능 염기(PDB), 또는 둘 모두를 포함하고, 감광제 성분이 첨가되지 않으며, 기판 상의 타겟층에 형성될 패턴에 대한 타겟 해상도가 한정된다. 참조 선량 및 타겟 해상도에서의 13 nm 내지 15 nm의 파장의 에너지를 사용하는 단일 패터닝 노광으로부터 기인하는 패턴에 대한 참조 선량 및 참조 선폭 거칠기에 기초하여, 방사성 에너지의 적어도 두 가지 노광이 CAR 층에 수행된다. 적어도 두 가지 노광은, 참조 선량보다 적어도 3 mJ/cm2 더 작도록 선택되고 20 mJ/cm2 내지 75 mJ/cm2의 범위에서 선택되는 선량, 및 13 nm 내지 15 nm의 파장의 에너지를 포함하는 패터닝 노광; 및 그 이후에, 2 J/cm2 내지 12 J/cm2의 선량 및 200 nm 내지 420 nm의 파장의 에너지를 포함하는 플러드 노광을 포함한다. 그 다음, CAR 층은 CAR 층에 마스크 패턴을 형성하기 위해 현상되며, 기판 상의 타겟층에 패턴을 형성하기 위해, 마스크 패턴을 사용하여 기판이 에칭된다. 결과적인 패턴은, 참조 선폭 거칠기보다 적어도 0.1 nm 더 작으면서 5 nm 이하인 선폭 거칠기, 및 타겟 해상도를 갖는다.
위에서 본 발명의 특정 실시형태만이 상세히 설명되었지만, 당업자는 본 발명의 새로운 교시 및 장점을 실질적으로 벗어나지 않으면서 실시형태에서 많은 변형이 가능하다는 것을 용이하게 이해할 것이다. 따라서, 이러한 모든 변형은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (22)

  1. 기판 상에 패턴을 형성하기 위한 방법으로서,
    상기 기판 상에 감광층을 형성하는 단계;
    상기 기판 상의 타겟층에 형성될 상기 패턴에 대한 타겟 해상도를 한정하는 단계;
    참조 선량 및 상기 타겟 해상도에서의 13 nm 내지 15 nm의 파장의 에너지를 사용하는 단일 패터닝 노광으로부터 기인하는 상기 패턴에 대한 참조 선량 및 참조 선폭 거칠기에 기초하여, 상기 감광층을 방사성 에너지의 적어도 두 가지 노광에 노출시키는 단계로서, 상기 적어도 두 가지 노광은,
    상기 참조 선량 미만이도록 선택되고 15 mJ/cm2 내지 200 mJ/cm2의 범위에서 선택되는 선량, 및 13 nm 내지 15 nm의 상기 파장의 상기 에너지를 포함하는 패터닝 노광, 및
    0.5 J/cm2 내지 20 J/cm2의 선량 및 200 nm 내지 420 nm의 파장의 에너지를 포함하는 플러드 노광을 포함하는, 단계;
    상기 감광층에 마스크 패턴을 형성하기 위해 상기 감광층을 현상하는 단계; 및
    상기 기판 상의 상기 타겟층에 상기 패턴을 형성하기 위해, 상기 마스크 패턴을 사용하여 상기 기판을 에칭하는 단계를 포함하며,
    상기 패턴은, 상기 참조 선폭 거칠기 미만이거나 상기 참조 선폭 거칠기와 대략적으로 동일하면서 5 nm 이하인 선폭 거칠기, 및 상기 타겟 해상도를 포함하는,
    기판 상에 패턴을 형성하기 위한 방법.
  2. 제1항에 있어서,
    상기 플러드 노광은 제1 플러드 노광 및 제2 플러드 노광을 포함하며, 상기 제1 또는 제2 플러드 노광 중 적어도 하나는 200 nm 내지 420 nm의 상기 파장, 및 0.5 J/cm2 내지 20 J/cm2의 상기 선량을 포함하는, 방법.
  3. 제2항에 있어서,
    상기 패터닝 노광은 상기 제1 플러드 노광과 상기 제2 플러드 노광 사이에 수행되는, 방법.
  4. 제1항에 있어서,
    상기 패터닝 노광은 상기 플러드 노광 후에 수행되는, 방법.
  5. 제1항에 있어서,
    상기 패터닝 노광은 상기 플러드 노광 전에 수행되는, 방법.
  6. 제1항에 있어서,
    상기 플러드 노광 파장은 360 nm 내지 400 nm인, 방법.
  7. 제1항에 있어서,
    상기 플러드 노광 파장은 365 nm인, 방법.
  8. 제1항에 있어서,
    상기 플러드 노광 선량은 2 J/cm2 내지 12 J/cm2인, 방법.
  9. 제1항에 있어서,
    상기 플러드 노광 선량은 3 J/cm2 내지 9 J/cm2인, 방법.
  10. 제1항에 있어서,
    상기 감광층이 상기 기판 상에 형성된 후에, 그러나 상기 기판을 상기 플러드 노광 또는 상기 패터닝 노광에 노출시키기 전에, 상기 감광층을 도포후 베이킹에 노출시키는 단계를 더 포함하는, 방법.
  11. 제1항에 있어서,
    상기 기판을 상기 패터닝 노광에 노출시킨 후에, 상기 감광층을 노광후 베이킹에 노출시키는 단계를 더 포함하는, 방법.
  12. 제1항에 있어서,
    상기 감광층은 광-산 발생제(PAG), 광-분해 가능 염기(PDB), 또는 둘 모두를 포함하는, 방법.
  13. 제12항에 있어서,
    상기 플러드 노광은 상기 감광층의 선택적 감광을 가능하게 하여 상기 감광층 내에서 상기 PAG의 분해를 증가시키는, 방법.
  14. 제1항에 있어서,
    상기 플러드 노광은 상기 감광층 내의 국부적 영역 내에서 가열 및 자유 체적 증가 및 증가된 산 이동성을 가능하게 하는, 방법.
  15. 제1항에 있어서,
    상기 기판 상에 스핀-온-탄소(SOC) 층을 형성하는 단계;
    상기 SOC 층 상에 스핀-온-글라스(SOG) 층을 형성하는 단계를 더 포함하며,
    상기 감광층은 상기 SOG 층 상에 형성되고, 상기 SOC 층은 상기 플러드 노광의 상기 파장에서 상기 기판으로부터의 방사성 에너지의 반사를 제한하도록 구성되는, 방법.
  16. 제1항에 있어서,
    기판 상에 하나 이상의 반사 방지 코팅(ARC) 층을 형성하는 단계를 더 포함하며,
    상기 하나 이상의 ARC 층은 상기 플러드 노광의 상기 파장의 광을 흡수하도록 조정된 흡수층을 포함하고, 상기 감광층은 상기 하나 이상의 ARC 층 상에 형성되는, 방법.
  17. 제1항에 있어서,
    상기 감광층은, 브로모늄 염, 옥소늄 염, 술포늄 염, 아르소늄 염, 암모늄 염, 요오도늄 염, 또는 포스포늄 염을 포함하는 하나 이상의 오늄 염을 포함하는, 방법.
  18. 제1항에 있어서,
    패터닝 노광 선량은 상기 참조 선량보다 적어도 3 mJ/cm2 더 작도록 선택되는, 방법.
  19. 제1항에 있어서,
    상기 감광층은, 브로모늄 염, 옥소늄 염, 술포늄 염, 아르소늄 염, 암모늄 염, 요오도늄 염, 또는 포스포늄 염으로부터 선택된 하나 이상의 오늄 염을 포함하는 광-산 발생제(PAG)를 포함하며,
    상기 플러드 노광은 상기 감광층의 선택적 감광을 가능하게 하여 상기 감광층 내에서 상기 PAG의 분해가 증가되도록, 360 nm 내지 400 nm의 파장의 에너지를 포함하는, 방법.
  20. 기판 상에 패턴을 형성하기 위한 방법으로서,
    상기 기판 상에 화학 증폭 레지스트(CAR) 층을 형성하는 단계로서, 상기 CAR 층은 광-산 발생제(PAG), 광-분해 가능 염기(PDB), 또는 둘 모두를 포함하고, 감광제 성분이 첨가되지 않는, 단계;
    상기 기판 상의 타겟층에 형성될 상기 패턴에 대한 타겟 해상도를 한정하는 단계;
    참조 선량 및 상기 타겟 해상도에서의 13 nm 내지 15 nm의 파장의 에너지를 사용하는 단일 패터닝 노광으로부터 기인하는 상기 패턴에 대한 참조 선량 및 참조 선폭 거칠기에 기초하여, 상기 CAR 층을 방사성 에너지의 적어도 두 가지 노광에 노출시키는 단계로서, 상기 적어도 두 가지 노광은,
    상기 참조 선량보다 적어도 3 mJ/cm2 더 작도록 선택되고 20 mJ/cm2 내지 75 mJ/cm2의 범위에서 선택되는 선량, 및 13 nm 내지 15 nm의 상기 파장에서의 상기 에너지를 포함하는 패터닝 노광, 및
    그 이후에, 2 J/cm2 내지 12 J/cm2의 선량 및 200 nm 내지 420 nm의 파장의 에너지를 포함하는 플러드 노광을 포함하는, 단계;
    상기 CAR 층에 마스크 패턴을 형성하기 위해 상기 CAR 층을 현상하는 단계; 및
    상기 기판 상의 상기 타겟층에 상기 패턴을 형성하기 위해, 상기 마스크 패턴을 사용하여 상기 기판을 에칭하는 단계를 포함하며,
    상기 패턴은, 상기 참조 선폭 거칠기보다 적어도 0.1 nm 더 작으면서 5 nm 이하인 선폭 거칠기, 및 상기 타겟 해상도를 포함하는,
    기판 상에 패턴을 형성하기 위한 방법.
  21. 제20항에 있어서,
    기판 상에 하나 이상의 반사 방지 코팅(ARC) 층을 형성하는 단계를 더 포함하며,
    상기 하나 이상의 ARC 층은 상기 플러드 노광의 상기 파장의 광을 흡수하도록 조정된 흡수층을 포함하고, 상기 CAR 층은 상기 하나 이상의 ARC 층 상에 형성되는, 방법.
  22. 제20항에 있어서,
    상기 CAR 층은, 브로모늄 염, 옥소늄 염, 술포늄 염, 아르소늄 염, 암모늄 염, 요오도늄 염, 또는 포스포늄 염으로부터 선택된 하나 이상의 오늄 염을 포함하는 PAG를 포함하며,
    상기 플러드 노광은 상기 CAR 층의 선택적 감광을 가능하게 하여 상기 CAR 층 내에서 상기 PAG의 분해가 증가되도록, 360 nm 내지 400 nm의 파장의 에너지를 포함하는, 방법.
KR1020207010368A 2017-09-22 2018-09-20 플러드 노광을 사용하여 포토레지스트에 감광성을 부여하기 위한 방법 KR20200054248A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762562187P 2017-09-22 2017-09-22
US62/562,187 2017-09-22
PCT/US2018/051988 WO2019060570A1 (en) 2017-09-22 2018-09-20 METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE

Publications (1)

Publication Number Publication Date
KR20200054248A true KR20200054248A (ko) 2020-05-19

Family

ID=63858066

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207010368A KR20200054248A (ko) 2017-09-22 2018-09-20 플러드 노광을 사용하여 포토레지스트에 감광성을 부여하기 위한 방법

Country Status (4)

Country Link
US (1) US11061332B2 (ko)
KR (1) KR20200054248A (ko)
TW (1) TWI758545B (ko)
WO (1) WO2019060570A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10020195B2 (en) * 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
EP3809206A4 (en) * 2018-06-14 2021-08-18 Osaka University METHOD OF MANUFACTURING A RESIST PATTERN
US10998191B2 (en) * 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
WO2020239430A1 (en) * 2019-05-29 2020-12-03 Asml Netherlands B.V. Apparatus and method for providing a substrate with radiation

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW536734B (en) * 2000-07-31 2003-06-11 Clariant Int Ltd Process for manufacturing a microelectronic device
US20080076058A1 (en) 2006-08-11 2008-03-27 Leeson Michael J Luminescent photoresist
JP5336283B2 (ja) * 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
WO2011133680A2 (en) * 2010-04-22 2011-10-27 Board Of Regents The University Of Texas System Novel dual-tone resist formulations and methods
JP5988115B2 (ja) * 2013-02-20 2016-09-07 国立大学法人大阪大学 レジストパターン形成方法
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists

Also Published As

Publication number Publication date
WO2019060570A1 (en) 2019-03-28
US11061332B2 (en) 2021-07-13
TWI758545B (zh) 2022-03-21
TW201923828A (zh) 2019-06-16
US20190094698A1 (en) 2019-03-28

Similar Documents

Publication Publication Date Title
KR100639680B1 (ko) 반도체 소자의 미세 패턴 형성방법
KR20200054248A (ko) 플러드 노광을 사용하여 포토레지스트에 감광성을 부여하기 위한 방법
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
JP5663656B2 (ja) リソグラフィ用途において放射線感受性を有する材料のラインを細くする方法
KR101924710B1 (ko) 레지스트패턴 형성방법, 레지스트잠상 형성장치 및 레지스트재료
KR20070070036A (ko) 반도체 소자의 제조 방법
JP2019517026A (ja) 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御
US11460776B2 (en) Method and apparatus of patterning a semiconductor device
KR100555497B1 (ko) 미세 패턴 형성 방법
JPH05127369A (ja) レジスト材料
Tsubaki et al. Negative-tone imaging with EUV exposure toward 13 nm hp
CN108931892A (zh) 光刻方法
JP3077648B2 (ja) 化学増幅系レジストのパターン形成方法
JPH11237745A (ja) フォトレジスト膜及びそのパターン形成方法
JPH08138993A (ja) 電子部品のパターン形成方法
JP3310202B2 (ja) レジストパターンの形成方法
CN108227409B (zh) 光刻图案化的方法
JP3063745B2 (ja) パターン形成されたレジスト膜の処理方法
KR20220046598A (ko) 확률 중심 결함 교정을 위한 방법 및 공정
JP4417090B2 (ja) パターン形成方法、マスクおよび露光装置
KR19990072893A (ko) 포토레지스트패턴의형성방법
JPH0954438A (ja) フォトレジストパターン及びその形成方法
JP3672155B2 (ja) 感光性組成物およびこれを用いたパターン形成方法
US20190371600A1 (en) Photosensitive Groups in Resist Layer
CN116263566A (zh) 光刻胶层的处理方法及光刻胶层

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right