KR20200026148A - 탄소 함량이 높은 규소 함유 필름을 제조하는 방법 - Google Patents

탄소 함량이 높은 규소 함유 필름을 제조하는 방법 Download PDF

Info

Publication number
KR20200026148A
KR20200026148A KR1020190106924A KR20190106924A KR20200026148A KR 20200026148 A KR20200026148 A KR 20200026148A KR 1020190106924 A KR1020190106924 A KR 1020190106924A KR 20190106924 A KR20190106924 A KR 20190106924A KR 20200026148 A KR20200026148 A KR 20200026148A
Authority
KR
South Korea
Prior art keywords
disilacyclobutane
film
trisilapentane
reactor
carbon
Prior art date
Application number
KR1020190106924A
Other languages
English (en)
Inventor
신지안 레이
하리핀 찬드라
무성 김
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20200026148A publication Critical patent/KR20200026148A/ko
Priority to KR1020220125423A priority Critical patent/KR20220137605A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/50Solid solutions
    • C01P2002/52Solid solutions containing elements as dopants
    • C01P2002/54Solid solutions containing elements as dopants one element only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명에서는 전자 장치의 제작 시 사용하기 위한 조성물 및 조성물을 사용하는 방법이 개시된다. 본 발명에서는 저 유전 상수(<5.0) 및 고 산소 애싱 내성 규소 함유 필름, 예컨대 제한 없이, 탄소-도핑된 산화규소 필름을 증착시키기 위한 화합물, 조성물 및 방법이 개시된다.

Description

탄소 함량이 높은 규소 함유 필름을 제조하는 방법{METHODS FOR MAKING SILICON CONTAINING FILMS THAT HAVE HIGH CARBON CONTENT}
관련 출원의 상호 참조
본 출원은 2018년 8월 29일에 출원된 미국 가출원 62/724,109에 대한 우선권을 주장하며, 이의 전체 내용은 모든 허용가능한 목적을 위해 참조로 본원에 포함된다.
발명의 분야
본 발명은 전자 장치 제작을 위한 조성물 및 방법에 관한 것이다. 보다 구체적으로, 본 발명은 고 산소 애싱 내성 규소 함유 필름, 예컨대 제한 없이, 탄화규소, 탄소-도핑된 산화규소 필름 및 탄소-도핑된 옥시질화규소 필름의 증착을 위한 화합물, 조성물 및 방법에 관한 것이다.
미국 특허 번호 8,575,033에는 기판 표면 상에 탄화규소 필름을 증착시키는 방법이 기술되어 있다. 상기 방법은 기상 카보실란 전구체의 사용을 포함하며, 플라즈마 강화 원자층 증착 공정을 이용할 수 있다.
미국 공개 번호 2013/022496에는 (i) 기판의 표면 상에 전구체를 흡착시키는 단계; (ii) 표면 상에서 그 흡착된 전구체 및 반응물 기체를 반응시키는 단계; 및 (iii) 단계 (i) 및 (ii)를 반복하여 기판 상에 적어도 Si-C 결합을 갖는 유전체 필름을 형성하는 단계를 포함하는, 원자층 증착(ALD)에 의해 반도체 기판 상에 Si-C 결합을 갖는 유전체 필름을 형성하는 방법이 교시되어 있다.
PCT 공개 번호 WO14134476A1에는 SiCN 및 SiOCN을 포함하는 필름의 증착 방법이 기술되어 있다. 특정 방법은 기판 표면을 제1 및 제2 전구체에 노출시키는 단계를 포함하며, 여기서 제1 전구체는 화학식 (XyH3-ySi)zCH4-z, (XyH3-ySi)(CH2)(SiXpH2-p)(CH2)(SiXyH3-y), 또는 (XyH3-ySi)(CH2)n(SiXyH3-y)(여기서, X는 할로겐이고, y는 1 내지 3의 값이고, z는 1 내지 3의 값이고, p는 0 내지 2의 값이고, n은 2 내지 5의 값이다)을 갖고, 제2 전구체는 환원성 아민을 포함한다. 특정 방법은 또한 기판 표면을 산소 공급원에 노출시켜 탄소-도핑된 산화규소를 포함하는 필름을 제공하는 것을 포함한다.
미국 공개 번호 2014/287596A에는 선결정된 횟수로 사이클을 수행함으로써 기판 상에 규소, 산소 및 탄소를 함유하는 얇은 필름을 형성하는 단계를 포함하는 반도체 디바이스의 제작 방법이 기술되어 있으며, 여기서 사이클은 규소, 탄소 및 할로겐 원소를 함유하고 Si-C 결합을 갖는 전구체 기체 및 제1 촉매 기체를 기판에 공급하는 것; 및 산화 기체 및 제2 촉매 기체를 기판에 공급하는 것을 포함한다.
미국 특허 번호 9,343,290 B에는 선결정된 횟수로 사이클을 수행함으로써 기판 상에 산화물 필름을 형성하는 단계를 포함하는 반도체 장치의 제작 방법이 기술되어 있다. 사이클은 기판에 전구체 기체를 공급하는 것; 및 기판에 오존 기체를 공급하는 것을 포함한다. 전구체 기체를 공급하는 작용에서, 전구체 기체는 촉매 기체가 기판에 공급되지 않는 상태에서 기판에 공급되며, 오존 기체를 공급하는 작용에서, 오존 기체는 아민계 촉매 기체가 기판에 공급되는 상태에서 기판에 공급된다.
미국 특허 번호 9,349,586 B에는 바람직한 에칭 내성 및 저 유전 상수를 갖는 얇은 필름이 개시되어 있다.
미국 공개 번호 2015/0044881 A에는 고 제어성으로 고농도의 탄소를 함유하는 필름을 형성하는 방법이 기술되어 있다. 반도체 장치를 제작하는 방법은 선결정된 횟수로 사이클을 수행함으로써 기판 상에 규소, 탄소 및 선결정된 원소를 함유하는 필름을 형성하는 단계를 포함한다. 그 선결정된 원소는 질소 및 산소 중 하나이다. 사이클은 1 몰당 적어도 2개의 규소 원자를 함유하는 전구체 기체, 탄소 및 할로겐 원소를 공급하여 기판에 Si-C 결합을 공급하는 것 및 선결정된 원소를 함유하는 개질 기체를 기판에 공급하는 것을 포함한다.
미국 특허 번호 9,234,276에는 SiC 필름을 제공하기 위한 방법 및 시스템이 개시되어 있다. SiC 층은 ≥1 Si-H 결합 및/또는 Si-Si 결합을 갖는 하나 이상의 Si-함유 전구체를 사용하는 공정 조건 하에서 제공될 수 있다. Si-함유 전구체는 또한 ≥1 Si-O 결합 및/또는 Si-C 결합을 가질 수 있다. 실질적으로 낮은 에너지 상태의 하나 이상의 라디칼 종은 Si-함유 전구체와 반응하여 SiC 필름을 형성할 수 있다. ≥1 라디칼 종은 원격 플라즈마 공급원에서 형성될 수 있다.
PCT 공개 번호 WO12039833A에는 기판 상에 탄화규소의 형성 방법이 기술되어 있다. 화학식 SinHaXb(여기서, n=1-5, a+b=2n + 2, a>0, X=F, CI, Br, I)의 제1 반응물 기체 및 화학식 MR3-bYb(여기서, R은 치환기를 함유하는 탄화수소이고, Y는 할라이드, 하이드라이드 또는 다른 리간드이며, b=1-3이다)의 제2 반응물 기체가 순차적으로 기판 상에 증착되고, 이어서 플라즈마에 노출되는, 탄화규소를 형성하는 원자층 증착 방법이 기술된다. 상기 공정은 복수의 탄화규소 층을 증착하기 위해 여러 회수로 반복될 수 있다.
미국 특허 번호 9,455,138에는 ≥1 공정 사이클에서 플라즈마 강화 원자층 증착(PEALD)에 의해 기판 상의 트렌치 내에 유전체 필름을 형성하는 방법이 개시되어 있으며, 각각의 공정 사이클은 (i) 규소 함유 전구체를 펄스로 공급하는 것, (ii) 질소 함유 기체의 부재 하에 수소 함유 반응물 기체를 30∼800 sccm의 유량으로 공급하는 것, (iii) 비활성 기체를 반응 공간에 공급하는 것, 및 (iv) 반응물 기체 및 비활성 기체의 존재 하에 그리고 임의의 전구체의 부재 하에 반응 공간에 RF 전력을 공급하여, 사이클당 하나 미만의 원자층 두께의 성장 속도로 유전체 필름을 기판 상에 구성하는 단층을 형성하는 것을 포함한다.
미국 특허 번호 8,722,546에는 사이클 증착에 의해 반도체 기판 상에 Si--C 결합 및/또는 Si--N 결합을 갖는 유전체 필름을 형성하는 방법이 개시되어 있으며, 이 방법은 (i) 반도체 기판이 배치되어 있는 반응 공간에서 Si 함유 전구체 및 반응물 기체를 사용하여 사이클 증착의 하나 이상의 사이클을 수행하는 단계; 및 (ii) 단계 (i) 전 또는 후에, Si 함유 전구체를 공급하지 않고 비활성 기체 및 처리 기체를 공급하면서 반응 공간에 RF 전력의 펄스를 적용하는 단계를 포함하며, 이로써 Si--C 결합 및/또는 Si--N 결합을 갖는 유전체 필름이 반도체 기판 상에 형성된다.
표제 "분자층 증착에 의한 고도로 안정한 초박형 카보실록산 필름"의 문헌(Han, Z. et al., Journal of Physical Chemistry C, 117, 19967 (2013))에는 1,2-비스[(디메틸아미노)디메틸실릴]에탄 및 오존을 사용하여 카보실록산 필름을 성장시키는 것이 교시되어 있다. 열적 안정성은 필름이 60℃에서 약간의 두께 손실을 갖지만 40℃까지 안정하다는 것을 보여준다.
문헌(Liu et al, Jpn. J. Appl. Phys., 38, 3482-3486 (1999))에는 스핀-온 기술로 증착된 폴리실세스퀴옥산에 대한 H2 플라즈마 사용이 교시되어 있다. H2 플라즈마는 안정한 유전 상수를 제공하고 필름 열적 안정성 및 O2 애싱 (플라즈마) 처리를 개선시킨다.
문헌(Kim et al, Journal of the Korean Physical Society, 40, 94 (2002))에는, PECVD 탄소-도핑된 산화규소 필름에 대한 H2 플라즈마 처리가 누설 전류 밀도(4-5 자리수의 크기)를 개선하고 동시에 유전 상수가 2.2에서 2.5로 증가한다는 것이 교시되어 있다. H2 플라즈마 후 탄소-도핑된 산화규소 필름은 산소 애싱 공정 동안 손상이 적다.
문헌(Posseme et al, Solid State Phenomena, 103-104, 337 (2005))에는 탄소-도핑된 산화규소 PECVD 필름에 대한 H2/불활성 플라즈마 처리가 교시되어 있다. k는 H2 플라즈마 처리 후 하지 않으며, 이는 벌크 변형이 없다는 것을 시사한다.
상기에서 확인된 특허, 특허 출원 및 공개물의 개시내용은 참조로 본원에 포함된다.
해당 기술 분야에서는 전자 산업 내에 속하는 특정 적용을 위한 높은 탄소 함량-도핑된 규소 함유 필름의 증착에 사용하기 위한 조성물 및 방법을 제공할 필요가 있었다. 이러한 필름은, X-선 광전자 분광법(XPS)으로 측정할 때, 약 10 원자% 또는 그 초과의 탄소 함량을 갖는 것이 추가로 필요로 하였다.
상술된 필요성은 플라즈마 ALD 공정을 통해 탄소-도핑된 산화규소 필름을 형성하는 방법을 제공함으로써 하나의 측면에서 충족된다. 상기 방법에 따르면, 표면 피처(feature)를 포함하는 기판이 반응기로 도입된다. 반응기는 약 400℃ 이하 범위의 하나 이상의 온도로 가열된다. 반응기는 100 torr 또는 그 미만의 압력에서 유지될 수 있다. 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 및 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄, 1-클로로-1,3,5-트리실라펜탄, 1,5-디클로로-1,3,5-트리실라펜탄, 1-브로모-1,3,5-트리실라펜탄, 1,5-디브로모-1,3,5-트리실라펜탄, 1-요오도-1,3,5-트리실라펜탄, 및 1,5-디요오도-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 2개의 Si-C-Si 연결을 갖는 적어도 하나의 규소 전구체가 반응기로 도입되어 기판 상에 화학흡착된 층을 형성하게 된다.
임의의 비소모된 전구체 및/또는 반응 부산물의 반응기는 적합한 불활성 기체로 퍼징된다. 수소를 포함하는 플라즈마가 반응기로 도입되어 화학흡착된 규소, 클로로/브로모/요오도, 및 탄소 종을 갖는 화학흡착된 층과 반응한다. 예시적인 플라즈마는, 이로 제한됨이 없이, 동일 계에서 또는 별도의 계에서 생성되는, 수소, 수소/아르곤, 수소/헬륨, 수소/네온 또는 이들의 조합을 포함한다.
이어서, 임의의 반응 부산물의 반응기는 다시 적합한 불활성 기체로 퍼징된다. 전구체(들)을 도입하는 단계, 필요한 경우 퍼징하는 단계, 플라즈마를 도입하는 단계, 필요한 경우 다시 퍼징하는 단계는 필요에 따라 반복하여 증착된 탄화규소 필름을 선결정된 두께로 만든다.
이어서, 생성되는 탄화규소 필름은 약 주위 온도 내지 1000℃, 바람직하게는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원에 노출되어 산소를 필름으로 도입함으로써 탄소-도핑된 산화규소 필름을 생성하게 된다. 임의적으로, 탄소-도핑된 산화규소 필름은 수소, 불활성 기체 및 이들의 조합인 혼합물로 이루어진 군으로부터 선택되는 플라즈마에 노출된다. 이들 단계에 의해 생성된 탄소-도핑된 산화규소 필름은 XPS 측정에 기반하고 본 발명의 방법에 따라 형성될 때 약 20 at.% 내지 약 40 at.% 범위의 탄소 함량을 갖는다.
본 발명의 추가의 측면은 5 또는 그 미만, 바람직하게는 4 또는 그 미만, 가장 바람직하게는 3 또는 그 미만의 유전 상수 k 및 XPS 측정에 기반하고 본 발명의 방법에 따라 형성될 때 적어도 약 20 at.%, 바람직하게는 30 at.% 또는 그 초과, 가장 바람직하게는 35 at.% 또는 그 초과의 탄소 함량을 갖는 탄소-도핑된 산화규소 필름에 관한 것이다.
본 발명의 또 다른 측면은 9 또는 그 미만, 바람직하게는 8 또는 그 미만, 가장 바람직하게는 7 또는 그 미만의 유전 상수 k 및 XPS 측정에 기반하고 본 발명의 방법에 따라 형성될 때 적어도 적어도 약 20 at.%, 바람직하게는 30 at.% 또는 그 초과, 가장 바람직하게는 35 at.% 또는 그 초과의 탄소 함량을 갖는 탄화규소 필름에 관한 것이다.
상술된 필요성 및 기타 필요성은 탄화규소 필름을 적어도 기판의 표면에 증착시키는 방법에 의해 추가로 충족된다. 상기 방법에 따르면, 기판은 반응기에 제공되고, 반응기는 약 400℃ 내지 약 600℃ 범위의 하나 이상의 온도로 가열된다. 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄, 1-클로로-1,3,5-트리실라펜탄, 1,5-디클로로-1,3,5-트리실라펜탄, 1-브로모-1,3,5-트리실라펜탄, 1,5-디브로모-1,3,5-트리실라펜탄, 1-요오도-1,3,5-트리실라펜탄, 및 1,5-디요오도-1,3,5-트리실라펜탄으로부터 선택되는 적어도 하나의 전구체가 반응기로 도입된다. 이어서, 수소 공급원을 포함하는 플라즈마가 반응기에 도입되어 전구체의 적어도 일부와 반응하여 탄화규소 필름을 형성하게 된다.
상술된 필요성 및 기타 필요성은 또한 플라즈마 강화 ALD 공정을 통해 탄화규소 또는 탄소-도핑된 산화규소 필름을 형성하는 또 다른 방법에 의해 충족된다. 상기 방법에 따르면, 표면 피처를 포함하는 기판은 반응기로 도입된다. 반응기는 약 600℃ 이하 범위의 하나 이상의 온도로 가열된다. 반응기는 100 torr 또는 그 미만의 온도에서 유지될 수 있다. 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 1개의 Si-C-Si 연결을 갖는 적어도 하나의 규소 전구체가 반응기로 도입되어 기판 상에 화학흡착된 층을 형성하게 된다.
임의의 비소모된 전구체 및/또는 반응 부산물의 반응기는 적합한 적합한 불활성 기체로 퍼징된다. 수소를 포함하는 플라즈마가 반응기로 도입되어 화학흡착된 층과 반응하여 탄화규소 필름을 형성하게 된다.
이어서, 임의의 반응 부산물의 반응기는 다시 적합한 불활성 기체로 퍼징된다. 전구체(들)을 도입하는 단계, 필요한 경우 퍼징하는 단계, 플라즈마를 도입하는 단계, 필요한 경우 다시 퍼징하는 단계는 필요에 따라 반복하여 탄화규소 필름을 선결정된 두께로 만든다.
이어서, 생성된 탄화규소 필름은 약 주위 온도 내지 1000℃, 바람직하게는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원에 노출되어 탄화규소 필름을 탄소-도핑된 산화규소 필름으로 전환시키게 된다. 이어서, 탄소-도핑된 산화규소 필름은 수소를 포함하는 플라즈마에 노출된다. 이들 단계에 의해 생성된 탄소-도핑된 산화규소 필름은 약 20 at.% 내지 약 40 at.% 범위의 탄소 함량을 갖는다.
발명의 상세한 설명
본 명세서 전체에서, 용어 "ALD 또는 ALD-유사"는 하기 공정을 포함하나 이에 제한되지 않는 공정을 지칭한다: a) 규소 전구체 및 반응성 기체를 포함하는 각각의 반응물이 반응기, 예컨대 단일 웨이퍼 ALD 반응기, 반-뱃치 ALD 반응기 또는 뱃치로 ALD 반응기로 순차적으로 도입되는 공정; b) 규소 전구체 및 반응성 기체를 포함하는 각각의 반응물이 기판을 반응기의 상이한 섹션으로 이동시키거나 회전시킴으로써 기판에 노출되고, 각각의 섹션이 불활성 기체 커튼, 즉 공간 ALD 반응기 또는 롤 대 롤 ALD 반응기에 의해 분리되는 공정.
본 명세서 전체에서, 용어 "수소를 포함하는 플라즈마"는 플라즈마 생성기를 통해 동일 계에서 또는 별도의 계에서 생성되는 반응성 기체 또는 기체 혼합물을 지칭한다. 기체 또는 기체 혼합물은 수소, 수소와 헬륨의 혼합물, 수소와 네온의 혼합물, 수소와 아르곤의 혼합물, 및 이들의 조합으로 이루어진 군으로부터 선택된다.
본 명세서 전체에서, 용어 "불활성 기체 플라즈마"는 플라즈마 생성기를 통해 동일 계에서 또는 별도의 계에서 생성되는 불활성 기체 또는 불활성 기체 혼합물을 지칭한다. 불활성 기체 또는 불활성 기체 혼합물은 헬륨, 네온, 아르곤 및 이들의 조합으로 이루어진 군으로부터 선택된다.
본 명세서 전체에서, 용어 "애싱"은 산소 공급원을 포함하는 플라즈마, 예컨대 O2/불활성 기체 플라즈마, O2 플라즈마, CO2 플라즈마, CO 플라즈마, H2/O2 플라즈마 또는 이들의 조합을 사용하는 반도체 제작 공정에서 포토레지스트 또는 탄소 하드 마스크를 제거하는 공정을 지칭한다.
본 명세서 전체에서, 용어 "손상 내성"은 산소 애싱 공정 후의 필름 특성을 지칭한다. 양호하거나 또는 높은 손상 내성은 산소 애싱 후 하기 필름 특성으로 정의된다: 필름 유전 상수가 4.5보다 낮은 것; 벌크 탄소 함량(필름 깊이 50 Å 초과)이 애싱 전과 비교하여 5 at.% 이내인 것; 표면 부근 필름(깊이 50 Å 미만)과 벌크 필름(깊이 50 Å 초과) 사이의 희석 HF 에칭 속도의 차이로 관찰되는 필름의 손상이 50 Å 미만인 것.
본 명세서 전체에서, 용어 "알킬 탄화수소"는 선형 또는 분지형 C1 내지 C20 탄화수소, 고리형 C6 내지 C20 탄화수소를 지칭한다. 예시적인 탄화수소는, 이로 제한됨이 없이, 헵탄, 옥탄, 노난, 데칸, 도데칸, 시클로옥탄, 시클로노난, 시클로데칸을 포함한다.
본 명세서 전체에서, 용어 "방향족 탄화수소"는 C6 내지 C20 방향족 탄화수소를 지칭한다. 예시적인 방향족 탄화수소는, 이로 제한됨이 없이, 톨루엔, 메시틸렌을 포함한다.
본 명세서 전체에서, 용어 "촉매"는 열적 ALD 공정 동안 히드록실 기와 Si-Cl 결합 사이의 표면 반응을 촉매화할 수 있는 기상의 루이스 염기를 지칭한다. 예시적인 촉매는, 이로 제한됨이 없이, 고리형 아민계 기체, 예컨대 아미노피리딘, 피콜린, 루티딘, 피페라진, 피페리딘, 피리딘 또는 유기 아민계 기체, 예컨대 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 프로필아민, 이소-프로필아민, 디-프로필아민, 디-이소-프로필아민, tert-부틸아민을 포함한다.
본 명세서 전체에서, 용어 "유기 아민"은 C1 내지 C20 탄화수소, 고리형 C6 내지 C20 탄화수소를 갖는 1급 아민, 2급 아민, 3급 아민을 지칭한다. 예시적인 유기 아민은, 이로 제한됨이 없이, 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 프로필아민, 이소-프로필아민, 디-프로필아민, 디-이소-프로필아민, tert-부틸아민을 포함한다.
본 명세서 전체에서, 용어 "실록산"은 적어도 하나의 Si-O-Si 연결 및 C4 내지 C20 탄소 원자를 갖는 선형, 분지형 또는 고리형 액체 화합물을 지칭한다. 예시적인 실록산은, 이로 제한됨이 없이, 테트라메틸디실록산, 헥사메틸디실록산(HMDSO), 1,1,1,3,3,5,5,5-옥타메틸트리실록산, 옥타메틸시클로테트라실록산(OMCTS)을 포함한다.
본 명세서 전체에서, 본원에서 사용되는 바와 같이 용어 "단계 커버리지"는 비아(via) 또는 트렌치(trench) 또는 둘 다를 갖는 구조화 또는 피처화된 기판에서 증착된 필름의 2개 두께의 백분율로서 정의되며, 바텀 단계 커버리지는 비율(%): 피처의 바텀의 두께를 피처의 톱의 두께로 나눈 것이고, 미들 단계 커버리지는 비율(%): 피처의 측벽 두께를 피처의 톱의 두께로 나눈 것이다. 본원에 기술된 방법을 이용하여 증착된 필름은 약 80% 또는 그 초과 또는 약 90% 또는 그 초과의 단계 커버리지를 나타내며, 이는 필름이 컨포멀(conformal)하다는 것을 나타낸다.
본원에는, 증착 공정, 예컨대, 제한 없이, 플라즈마 강화 원자층 증착 공정을 통해 탄소-도핑된(예컨대, XPS로 측정 시 약 20 at.% 또는 그 초과의 탄소 함량을 갖는) 규소 함유 필름을 증착시키기 위한 조성물 및 이러한 조성물을 포함하는 방법이 기술된다. 본원에 기술된 조성물 및 방법을 이용하는 증착된 필름은 매우 낮은 에칭 속도, 예컨대 희석 플루오르화수소산에서 측정할 때, 열 산화규소의 에칭 속도의 최대 0.5배(예컨대, 희석 HF(0.5 wt.%)에서 약 0.20 Å/s 또는 그 미만 또는 약 0.15 Å/s 또는 그 미만), 또는 열 산화규소의 에칭 속도의 최대 0.1배, 또는 열 산화규소의 에칭 속도의 최대 0.05배, 또는 열 산화규소의 에칭 속도의 최대 0.01배의 에칭 속도를 나타내고, 동시에 다른 조정가능한 특성, 예컨대 제한 없이, 밀도, 유전 상수, 굴절률 및 원소 조성에서 다양성을 나타낸다.
특정 실시양태에서, 본원에 기술된 규소 전구체 및 이를 사용하는 방법은 하기 방식으로 하기 특색 중 하나 이상을 제공한다. 첫째, 증착된 그대로의 반응성 탄소-도핑된 질화규소 필름은 적어도 1개의 Si-C-Si 연결 및 질소 공급원을 포함하는 규소 전구체를 사용하여 형성된다. 어떠한 이론 또는 설명에도 구애됨이 없이, 규소 전구체로부터의 Si-C-Si 연결은 생성되는 증착된 그대로의 필름에 잔류하고, XPS로 측정시, 적어도 10 at.% 또는 그 초과의 높은 탄소 함량(예컨대, 약 25 내지 약 50 at.%, 약 30 내지 약 40 at.% 및 일부 경우에 약 40 내지 약 50 at.% 탄소)을 제공하는 것으로 믿어진다. 둘째, 증착된 그대로의 필름이 산소 공급원, 예컨대 물에 노출될 때, 증착 공정 동안 간헐적으로, 증착후 처리로, 또는 이들의 조합으로, 필름의 질소 함량의 적어도 일부 또는 전부는 산소로 전환되어 탄소-도핑된 산화규소 또는 탄소-도핑된 옥시질화규소 필름으로부터 선택된 필름을 제공하게 된다. 증착된 그대로의 필름의 질소는 하나 이상의 질소 함유 부산물, 예컨대 암모니아 또는 아민 기로서 방출된다.
이러한 실시양태 또는 다른 실시양태에서, 최종 필름은 아마도 주로 저 밀도에 기인하여 다공성이며, 약 1.7 그램/입방 센티미터(g/cc) 또는 그 미만의 밀도 및 0.5 wt.% 희석 플루오르화수소에서 0.20 Å/s 또는 그 미만의 에칭 속도, 0.5 wt.% 희석 플루오르화수소에서 0.10 Å/s 또는 그 미만의 에칭 속도, 0.5 wt.% 희석 플루오르화수소에서 0.05 Å/s 또는 그 미만의 에칭 속도, 0.5 wt.% 희석 플루오르화수소에서 0.01 Å/s 또는 그 미만의 에칭 속도를 갖는다.
하나의 측면에서, 규소 함유 필름을 증착시키기 위한 조성물은 (a) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄,1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄, 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄로 이루어진 군으로부터 선택되는 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결을 갖는 적어도 하나의 규소 전구체; 및 (b) 적어도 하나의 용매를 포함한다.
Figure pat00001
Figure pat00002
Figure pat00003
본원에 기술된 조성물의 특정 실시양태에서, 예시적 용매는, 이로 제한됨이 없이, 에테르, 3급 아민, 알킬 탄화수소, 방향족 탄화수소, 3급 아미노에테르, 실록산 및 이들의 조합을 포함할 수 있다. 특정 실시양태에서, 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결을 갖는 화합물의 비점과 용매의 비점 사이의 차이는 40℃ 또는 그 미만이다. 용매 중의 규소 전구체 화합물의 wt%는 1 내지 99 wt%, 또는 10 내지 90 wt%, 또는 20 내지 80 wt%, 또는 30 내지 70 wt%, 또는 40 내지 60 wt%, 또는 50 내지 50 wt%로 다양할 수 있다. 일부 실시양태에서, 조성물은 통상의 직접 액체 주입 장비 및 방법을 이용하여 규소 함유 필름을 위한 반응기 챔버로 직접 액체 주입을 통해 전달될 수 있다.
본원에 기술된 방법의 하나의 실시양태에서, 20 at.% 내지 40 at.% 범위의 탄소 함량을 갖는 탄화규소 또는 탄소-도핑된 산화규소 필름은 플라즈마 강화 ALD 공정을 이용하여 증착된다. 이 실시양태에서, 상기 방법은
a) 표면 피처를 포함하는 하나 이상의 기판을 반응기로 배치하는 단계;
b) 반응기를 주위 온도 내지 약 600℃ 범위의 하나 이상의 온도로 가열하고, 임의적으로 반응기를 100 torr 또는 그 미만의 압력에서 유지하는 단계;
c) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 및 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 1개의 Si-C-Si 연결을 갖는 적어도 하나의 규소 전구체를 반응기로 도입하는 단계;
d) 불활성 기체로 퍼징하여 비반응된 규소 전구체를 제거하고 퍼지 기체 및 규소 전구체를 포함하는 조성물을 형성하는 단계;
e) 수소 공급원을 포함하는 플라즈마를 반응기로 제공하여 표면과 반응시켜 탄화규소 필름을 형성하는 단계;
f) 불활성 기체로 퍼징하여 반응 부산물을 제거하는 단계;
g) 단계 c) 내지 f)를 반복하여 원하는 두께의 탄화규소 필름을 제공하는 단계;
h) 임의적으로, 탄화규소 필름을 약 주위 온도 내지 1000℃ 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원으로 증착후(post-deposition) 처리하여 탄화규소 필름을 동일 계에서 또는 또 다른 챔버에서 탄소-도핑된 산화규소 필름으로 전환시키는 단계; 및
i) 임의적으로, 탄소-도핑된 산화규소 필름을 수소를 포함하는 플라즈마에 증착후 노출시켜 필름 특성 중 적어도 하나를 개선하는 단계;
j) 임의적으로, 탄소-도핑된 산화규소 필름을 400℃ 내지 1000℃의 온도 또는 UV 광원에서 열적 어닐링 또는 스파이크 어닐링으로 증착후 처리하는 단계
를 포함한다. 이러한 실시양태 또는 다른 실시양태에서, UV 노출 단계는 필름 증착 동안 또는 증착이 완료된 후에 수행될 수 있다.
하나의 실시양태에서, 기판은 적어도 하나의 피처를 포함하며, 여기서 피처는 1:9 또는 그 초과의 종횡비, 180 nm 또는 그 미만의 개구를 갖는 패턴 트렌치를 포함한다.
본원에 기술된 방법의 실시양태에서, 20 at.% 내지 40 at.% 범위의 탄소 함량을 갖는 탄화규소 또는 탄소-도핑된 산화규소 필름은 플라즈마 강화 ALD 공정을 이용하여 증착된다. 이 실시양태에서, 상기 방법은
a) 표면 피처를 포함하는 하나 이상의 기판을 반응기(예: 통상의 ALD 반응기)로 배치하는 단계;
b) 반응기를 주위 온도 내지 약 400℃ 범위의 하나 이상의 온도로 가열하고, 임의적으로 반응기를 100 torr 또는 그 미만의 압력에서 유지하는 단계;
c) 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄, 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄, 1-클로로-1,3,5-트리실라펜탄, 1,5-디클로로-1,3,5-트리실라펜탄, 1-브로모-1,3,5-트리실라펜탄, 1,5-디브로모-1,3,5-트리실라펜탄, 1-요오도-1,3,5-트리실라펜탄, 및 1,5-디요오도-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 2개의 Si-C-Si 연결을 갖는 적어도 하나의 규소 전구체를 반응기로 도입하는 단계;
d) 불활성 기체로 퍼징하는 단계;
e) 수소 공급원을 포함하는 플라즈마를 반응기로 제공하여 표면과 반응시켜 탄화규소 필름을 형성하는 단계;
f) 불활성 기체로 퍼징하여 반응 부산물을 제거하는 단계;
g) 단계 c) 내지 f)를 반복하여 원하는 두께의 탄화규소 필름을 제공하는 단계;
h) 임의적으로, 탄화규소 필름을 약 주위 온도 내지 1000℃ 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원으로 증착후 처리하여 탄화규소 필름을 동일 계에서 또는 또 다른 챔버에서 탄소-도핑된 산화규소 필름으로 전환시키는 단계;
i) 임의적으로, 탄소-도핑된 산화규소 필름을 수소를 포함하는 플라즈마에 증착후 노출시켜 필름의 물리적 특성 중 적어도 하나를 개선하는 단계;
j) 임의적으로, 탄소-도핑된 산화규소 필름을 400℃ 내지 1000℃의 온도 또는 UV 광원에서 열적 어닐링으로 증착후 처리하는 단계
를 포함한다. 이러한 실시양태 또는 다른 실시양태에서, UV 노출 단계는 필름 증착 동안 또는 증착이 완료된 후에 수행될 수 있다.
특정 실시양태에서, 1:1 비율의 규소 대 탄소를 갖는 규소 전구체가 탄화규소의 PEALD에 바람직하다. 적합한 규소 전구체는, 이로 제한됨이 없이, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 및 1,1,3,3-테트라요오도-1,3-디실라시클로부탄을 포함한다. 이론에 구애됨이 없이, 이러한 전구체는 화학량론적 탄화규소를 제공하는 더 좋은 기회를 가질 것으로 믿어진다.
특정 실시양태에서, 생성되는 탄소-도핑된 산화규소 필름은 Si-Me 또는 Si-H 또는 둘 다를 갖는 오가노아미노실란 또는 클로로실란에 노출되어 수소 플라즈마 처리 노출 전에 소수성의 얇은 층을 형성하게 된다. 적합한 오가노아미노실란은, 이로 제한됨이 없이, 디에틸아미노트리메틸실란, 디메틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, t-부틸아미노트리메틸실란, 이소-프로필아미노트리메틸실란, 디-이소프로필아미노트리메틸실란, 피롤리디노트리메틸실란, 디에틸아미노디메틸실란, 디메틸아미노디메틸실란, 에틸메틸아미노디메틸실란, t-부틸아미노디메틸실란, 이소-프로필아미노디메틸실란, 디-이소프로필아미노디메틸실란, 피롤리디노디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(디메틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(디-이소프로필아미노)디메틸실란, 비스(이소-프로필아미노)디메틸실란, 비스(tert-부틸아미노)디메틸실란, 디피롤리디노디메틸실란, 비스(디에틸아미노)디에틸실란, 비스(디에틸아미노)메틸비닐실란, 비스(디메틸아미노)메틸비닐실란, 비스(에틸메틸아미노)메틸비닐실란, 비스(디-이소프로필아미노)메틸비닐실란, 비스(이소-프로필아미노)메틸비닐실란, 비스(tert-부틸아미노)메틸비닐실란, 디피롤리디노메틸비닐실란, 2,6-디메틸피페리디노메틸실란, 2,6-디메틸피페리디노디메틸실란, 2,6-디메틸피페리디노트리메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디메틸아미노)메틸실란, 디-이소-프로필아미노실란, 디-sec-부틸아미노실란, 클로로디메틸실란, 클로로트리메틸실란, 디클로로메틸실란, 및 디클로로디메틸실란을 포함한다.
또 다른 실시양태에서, 생성된 탄소-도핑된 산화규소 필름은 수소 플라즈마 처리에 노출되기 전에 Si-Me 또는 Si-H 또는 둘 다를 갖는 알콕시실란 또는 고리형 알콕시실란에 노출되어 소수성의 얇은 층을 형성하게 된다. 적합한 알콕시실란 또는 고리형 알콕시실란은, 이로 제한됨이 없이, 디에톡시메틸실란, 디메톡시메틸실란, 디에톡시메틸실란, 디메톡시메틸실란, 2,4,6,8-테트라메틸시클로테트라실록산 또는 옥타메틸시클로테트라실록산을 포함한다. 어떠한 이론 또는 설명에도 구애됨이 없이, 오가노아미노실란 또는 알콕시실란 또는 고리형 알콕시실란에 의해 형성된 얇은 층은 플라즈마 애싱 공정 동안 조밀한 탄소-도핑된 산화규소로 전환되어 애싱 내성을 더욱 더 향상시킬 수 있을 것으로 믿어진다.
본원에 기술된 방법의 또 다른 실시양태에서, 30 at.% 내지 50 at.%의 탄소 함량을 갖는 탄화규소 필름은 플라즈마 강화 ALD 공정을 이용하여 증착된다. 이 실시양태에서, 상기 방법은
a) 표면 피처를 포함하는 하나 이상의 기판을 반응기(예: 통상의 ALD 반응기)로 배치하는 단계;
b) 반응기를 약 400C 내지 약 600℃ 범위의 하나 이상의 온도로 가열하고, 임의적으로 반응기를 100 torr 또는 그 미만의 압력에서 유지하는 단계;
c) 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄, 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄, 1-클로로-1,3,5-트리실라펜탄, 1,5-디클로로-1,3,5-트리실라펜탄, 1-브로모-1,3,5-트리실라펜탄, 1,5-디브로모-1,3,5-트리실라펜탄, 1-요오도-1,3,5-트리실라펜탄, 1,5-디요오도-1,3,5-트리실라펜탄, 1-클로로-1,3,5-트리실라펜탄, 1,5-디클로로-1,3,5-트리실라펜탄, 1-브로모-1,3,5-트리실라펜탄, 1,5-디브로모-1,3,5-트리실라펜탄, 1-요오도-1,3,5-트리실라펜탄, 및 1,5-디요오도-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 2개의 Si-C-Si 연결을 갖는 적어도 하나의 규소 전구체를 반응기로 도입하는 단계;
d) 불활성 기체로 퍼징하는 단계;
e) 수소 공급원을 포함하는 플라즈마를 반응기로 제공하여 표면과 반응시켜 탄화규소 필름을 형성하는 단계;
f) 불활성 기체로 퍼징하여 반응 부산물을 제거하는 단계;
g) 단계 c) 내지 f)를 반복하여 원하는 두께의 탄화규소 필름을 제공하는 단계;
h. 임의적으로,탄화규소 필름을 400℃ 내지 1000℃의 온도 또는 UV 광원에서 증착후 처리하여 증착된 탄화규소 필름을 추가로 조밀화하는 단계
를 포함한다. 이러한 실시양태 또는 다른 실시양태에서, UV 노출 단계는 필름 증착 동안 또는 증착이 완료된 후에 수행될 수 있다.
특정 실시양태에서, 도입 단계에서 반응기의 온도는 약 실온(예: 20℃) 내지 약 600℃ 범위의 하나 이상의 온도이다. 기판 온도에 대한 대안적 범위는 다음의 종점: 20, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 300, 325, 350, 375, 400, 425, 450, 475 및 500℃ 중 하나 이상을 갖는다. 예시적 온도 범위는 다음: 20 내지 300℃, 100 내지 300℃ 또는 100 내지 350℃을 포함한다.
또 다른 실시양태에서, 규소 함유 필름을 증착시키는 용기(vessel)는 본원에 기술된 하나 이상의 규소 전구체 화합물을 포함한다. 하나의 특정 실시양태에서, 용기는 적어도 하나의 가압가능한 용기(바람직하게는, 미국 특허 번호 US7334595; US6077356; US5069244; 및 US5465766(이들의 개시내용이 참조로 본원에 포함됨)에 개시된 것과 같은 디자인을 갖는 스테인리스강의 용기)이다. 용기는, 하나 이상의 전구체가 CVD 또는 ALD 공정을 위한 반응기에 전달될 수 있도록 적합한 밸브 및 피팅이 장착된, 유리(보로실리케이트 또는 석영 유리) 또는 유형 16, 316L, 304 또는 304L 스테인리스강(UNS 명칭 S31600, S31603, S30400, S30403)을 포함할 수 있다. 이러한 실시양태 또는 다른 실시양태에서, 규소 전구체는 스테인리스강으로 구성된 가압가능한 용기에 제공되며, 전구체의 순도는 반도체 적용에 적합한 98 중량% 또는 그 초과 또는 99.5% 또는 그 초과이다. 규소 전구체 화합물은 바람직하게는 금속 이온, 예컨대 Al3+, Fe2+, Fe3+, Ni2+, Cr3+ 이온이 실질적으로 없다. Al3+, Fe2+, Fe3+, Ni2+, Cr3+와 관련하여 본원에 사용된 용어 "실질적으로 없는"이란, XPS로 측정 시, 약 5 ppm(중량) 미만, 바람직하게는 약 3 ppm 미만, 더욱 바람직하게는 약 1 ppm 미만, 가장 바람직하게는 약 0.1 ppm을 의미한다. 특정 실시양태에서, 이러한 용기는 또한 원하는 경우 전구체를 하나 이상의 추가 전구체와 혼합하기 위한 수단을 가질 수 있다. 이러한 실시양태 또는 다른 실시양태에서, 용기(들)의 내용물은 추가 전구체와 예비혼합될 수 있다. 대안적으로, 규소 전구체 및/또는 다른 전구체는 별도의 용기에 또는 규소 전구체 및 다른 전구체를 저장 동안 서로 분리 유지하기 위한 분리 수단을 갖는 단일 용기에 있일 수 있거나 유지될 수 있다.
규소 함유 필름은 기판, 예컨대 반도체 기판의 적어도 표면에 증착된다. 본원에 기술된 방법에서, 규소, 예컨대 결정질 규소 또는 무정형 규소, 산화규소, 질화규소, 비정질 탄소, 옥시탄화규소, 옥시질화규소, 탄화규소, 게르마늄, 게르마늄 도핑된 규소, 붕소 도핑된 규소, 금속, 예컨대 구리, 텅스텐, 알루미늄, 코발트, 니켈, 탄탈), 질화금속, 예컨대 질화티탄, 질화탄탈, 산화금속, III/V족 금속 또는 메탈로이드, 예컨대 GaAs, InP, GaP 및 GaN, 및 이들의 조합의 필름을 포함하는 당해 분야에 널리 공지된 다양한 물질로 구성되고/되거나 코팅될 수 있다. 이러한 코팅은 반도체 기판을 완전 코팅할 수 있고, 다양한 물질의 다층으로 존재할 수 있고, 부분적으로 에칭되어 물질의 이면 층을 노출시킬 수 있다. 표면은 또한 패턴으로 노출되고 현상되어 기판을 부분적으로 코팅하게 되는 포토레지스트 물질을 그 위에 가질 수 있다. 특정 실시양태에서, 반도체 기판은 미세공, 비아, 트렌치 및 이들의 조합으로 이루어진 군으로부터 선택되는 적어도 하나의 표면 피처를 포함한다. 규소 함유 필름의 가능한 적용은, 이로 제한됨이 없이, FinFET 또는 나노시트를 위한 저 k 스페이서, 자가-정렬된 패턴화 공정(예: SADP, SAQP 또는 SAOP)을 위한 희생적 하드 마스크를 포함한다.
규소 함유 필름 또는 코팅물을 형성하는 데 이용되는 증착 방법은 증착 공정이다. 본원에 개시된 방법에 대한 적합한 증착 공정의 예는, 이로 제한됨이 없이, 화학적 증기 증착 또는 원자층 증착 공정을 포함한다. 본원에 사용된 바와 같이, 용어 "화학적 증기 증착 공정"은 기판이 하나 이상의 휘발성 전구체에 노출되어 기판 표면 상에서 반응 및/또는 분해되어 원하는 증착을 생성하는 임의의 공정을 지칭한다. 본원에 사용된 바와 같이 용어 "원자층 증착 공정"은, 다양한 조성의 기판 상에 물질의 필름을 증착시키는, 자기-제한적(예컨대, 각각의 반응 사이클에서 증착되는 필름 물질의 양이 일정함), 순차적 표면 화학을 지칭한다. 본원에서 사용되는 전구체, 시약 및 공급원이 때때로 "기체"로 기술될 수 있지만, 전구체는 직접 기화, 버블링 또는 승화를 통해 불활성 기체의 유무 하에 반응기로 수송되는 액체 또는 고체일 수 있는 것으로 이해해야 한다. 일부 경우, 기화된 전구체는 플라즈마 생성기를 통과할 수 있다.
하나의 실시양태에서, 규소 함유 필름은 ALD 공정을 이용하여 증착된다. 또 다른 실시양태에서, 규소 함유 필름은 CCVD 공정을 이용하여 증착된다. 추가의 실시양태에서, 규소 함유 필름은 열적 ALD 공정을 이용하여 증착된다. 본원에 사용된 바와 같이 용어 "반응기"는, 제한 없이, 반응 챔버 또는 증착 챔버를 포함한다.
특정 실시양태에서, 본원에 개시된 방법은 전구체(들)을 반응기로 도입하기 전에 및/또는 동안에 전구체(들)을 분리하는 ALD 또는 사이클 CVD 방법을 이용함으로써 전구체(들)의 예비반응(pre-reation)을 피한다. 이와 관련하여, 증착 기술, 예컨대 ALD 또는 CCVD 공정이 규소 함유 필름을 증착시키는 데 이용된다. 하나의 실시양태에서, 필름은 기판 표면을 하나 이상의 규소 함유 전구체, 산소 공급원, 질소 함유 공급원 또는 다른 전구체 또는 시약에 번갈아 노출시킴으로써 전형적인 단일 웨이퍼 ALD 반응기, 반-뱃치 ALD 반응기 또는 뱃치노 ALD 반응기에서 ALD 공정을 통해 증착된다. 필름 성장은 표면 반응, 각각의 전구체 또는 시약의 펄스 길이 및 증착 온도의 자기-제한적 제어에 의해 진행된다. 그러나, 일단 기판의 표면이 포화되면, 필름 성장은 중지된다. 또 다른 실시양태에서, 규소 전구체 및 반응성 기체를 포함하는 각각의 반응물은 기판을 반응기의 상이한 섹션으로 이동 또는 회전시킴으로써 기판에 노출되고, 각각의 섹션은 불활성 기체 커튼, 즉 공간적 ALD 반응기 또는 롤 대 롤 ALD 반응기에 의해 분리된다.
증착 방법에 따라, 특정 실시양태에서, 본원에 기술된 규소 전구체 및 임의적으로 다른 규소 함유 전구체는 선결정된 몰 부피로 또는 약 0.1 내지 약 1000 마이크로몰로 반응기로 도입될 수 있다. 이러한 실시양태 또는 다른 실시양태에서, 전구체는 선결정된 시간 동안 반응기로 도입될 수 있다. 특정 실시양태에서, 시간은 약 0.001 내지 약 500초의 범위이다.
산소 공급원은 적어도 하나의 산소 공급원의 형태로 반응기로 도입될 수 있고/있거나 우연히 증착 공정에 사용되는 다른 전구체에 존재할 수 있다. 적합한 산소 공급원 기체는, 예컨대 공기, 물(H2O)(예: 탈이온수, 정제수, 증류수, 수증기, 수증기 플라즈마, 산소첨가된 물, 공기, 물과 다른 유기 액체를 포함하는 조성물), 산소(O2), 산소 플라즈마, 오존(O3), 산화질소(NO), 이산화질소(NO2), 아산화질소(N2O), 일산화탄소(CO), 과산화수소(H2O2), 물을 포함하는 플라즈마, 물과 아르곤을 포함하는 플라즈마, 과산화수소, 수소를 포함하는 조성물, 수소와 산소를 포함하는 조성물, 이산화탄소(CO2), 공기 및 이들의 조합을 포함할 수 있다. 특정 실시양태에서, 산소 공급원은 약 1 내지 약 10000의 sccm(square cubic centimeter) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는 산소 공급원을 포함한다. 산소 공급원은 약 0.1 내지 약 100초 범위의 시간 동안 도입될 수 있다.
필름이 ALD 또는 주기적 CVD 공정에 의해 증착되는 양태에서, 전구체 펄스는 0.01초 초과의 펄스 지속시간을 가질 수 있고, 산소 공급원은 0.01초 미만의 펄스 지속시간을 가질 수 있으며, 물 펄스 지속시간은 0.01초 미만의 펄스 지속시간을 가질 수 있다.
특정 실시양태에서, 산소 공급원은 반응기로 연속적으로 유동하고, 반면에 전구체 펄스 및 플라즈마는 순차적으로 도입된다. 전구체 펄스는 0.01초 초과의 지속시간을 가질 수 있고, 플라즈마 지속시간은 0.01초 내지 100초 범위일 수 있다.
본원에 개시된 증착 방법은 퍼지 기체를 사용하여 반응기로부터 원치 않거나 비반응된 물질을 퍼징하는 하나 이상의 단계를 포함한다. 소모되지 않은 반응물 및/또는 반응 부산물을 퍼징하는 데 사용되는 퍼지 기체는 전구체와 반응하지 않는 불활성 기체이다. 예시적인 퍼기 기체는, 이로 제한됨이 없이, 아르곤(Ar), 질소(N2), 헬륨(He), 네온(Ne), 수소(H2) 및 이들의 조합을 포함한다. 특정 실시양태에서, 퍼지 기체, 예컨대 Ar은 약 10 내지 약 10000 sccm 범위의 유량으로 약 0.1 내지 1000초 동안 반응기에 공급됨으로써 반응기에 남아 있을 수 있는 비반응ㄷ된 물질 및 임의의 부산물을 퍼징한다.
전구체, 수소 함유 공급원 및/또는 다른 전구체, 공급원 기체 및/또는 시약을 공급하는 각각의 단계는 생성되는 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 시간을 변화시킴으로써 수행될 수 있다.
에너지가 전구체, 환원제, 예컨대 수소 플라즈마, 다른 전구체 또는 이들의 조합 중 적어도 하나에 적용된다. 이러한 에너지는, 이로 제한됨이 없이, 열, 플라즈마, 펄스화 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 커플링된 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법 및 이들의 조합에 의해 제공될 수 있다.
특정 실시양태에서, 부수적인 RF 주파수 공급원이 기판 표면에서의 플라즈마 특성을 변형시키는 데 사용될 수 있다. 증착이 플라즈마를 수반하는 실시양태에서, 플라즈마 생성 공정은 플라즈마가 직접적으로 반응기에서 생성되는 직접 플라즈마 생성 공정, 또는 대안적으로 플라즈마가 반응기 외부에서 생성되어 반응기로 공급되는 원격 플라즈마 생성 공정을 포함할 수 있다.
규소 전구체 및/또는 다른 규소 함유 전구체는 다양한 방식으로 반응 챔버, 예컨대 CVD 또는 ALD 반응기로 전달될 수 있다. 하나의 실시양태에서, 액체 전달 시스템이 사용될 수 있다. 대안적 실시양태에서는, 조합된 액체 전달 및 플래시 기화 공정 유닛, 예컨대 터보 기화기(제조사: MSP Corporation, Shoreview, MN) 등을 사용하여 낮은 휘발성 물질을 부피적으로 전달할 할 수 있으며, 이는 전구체의 열 분해 없이 재현가능한 수송 및 증착을 유도한다. 액체 전달 제제에서, 본원에 기술된 전구체는 순(neat) 액체 형태로 전달될 수 있거나, 대안적으로 용매 제제 또는 이를 포함하는 조성물에 사용될 수 있다. 따라서, 특정 실시양태에서, 전구체 제제는 소정의 최종 용도 적용에서 기판 상에 필름을 형성하기에 바람직하고 유리할 수 있는 적합한 특성의 용매 성분(들)을 포함할 수 있다.
이러한 실시양태 또는 다른 실시양태에서, 본원에 기술된 방법은 다양한 순서로 수행될 수 있으며, 순차적으로 또는 동시적으로(예컨대, 또 다른 단계의 적어도 일부 동안) 및 임의의 이들의 조합으로 수행될 수 있다. 전구체 및 질소 함유 공급원 기체를 공급하는 각각의 단계는 생성되는 규소 함유 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 지속시간을 변화시킴으로써 수행될 수 있다.
본원에 기술된 방법의 또 다른 실시양태에서, 필름 또는 증착된 그대로의 필름은 처리 단계를 수행하게 된다. 처리 단계는 증착 단계의 적어도 일부 동안에, 증착 단계 후에 그리고 이들의 조합으로 수행될 수 있다. 예시적인 처리 단계는, 이로 제한됨이 없이, 필름의 하나 이상의 특성에 영향을 미치는, 고온 열적 어닐링을 통한 처리; 플라즈마 처리; 자외선(UV) 광 처리; 레이저; 전자빔 처리 및 이들의 조합을 포함한다. 본원에 기술된 1개 또는 2개의 Si-C-Si 연결을 갖는 규소 전구체로 증착된 필름은, 동일 조건 하에 앞서 개시된 규소 전구체에 의해 증착된 필름과 비교할 때, 개선된 특성들, 예컨대 제한 없이, 처리 단계 전의 필름의 습식 에칭 속도보다 낮은 습식 에칭 속도 또는 처리 단계 전의 밀도보다 높은 밀도를 갖는다. 하나의 특정 실시양태에서, 증착 공정 동안, 증착된 그대로의 필름은 간헐적으로 처리된다. 이러한 간헐적 또는 중간적 증착 처리는, 예컨대 각각의 ALD 사이클 후, 특정 회수의 ALD 후, 에컨대 제한 없이, 1회(1) ALD 사이클 후, 2회(2) ALD 사이클 후, 5회(5) ALD 사이클 후 또는 매 10회 이상 ALD 사이클 후 수행될 수 있다.
필름이 고온 어닐링 단계로 처리되는 실시양태에서, 어닐링 온도는 적어도 100℃ 또는 증착 온도보다 높다. 이러한 실시양태 또는 다른 실시양태에서, 어닐링 온도는 약 400℃ 내지 약 1000℃의 범위이다. 이러한 실시양태 또는 다른 실시양태에서, 어닐링 처리는 진공(< 760 Torr), 불활성 환경 또는 산소 함유 환경(예: H2O, N2O, NO2 또는 O2)에서 수행될 수 있다.
필름이 UV 처리로 처리되는 실시양태에서, 필름은 광대역 UV 또는 대안적으로 약 150 나노미터(nm) 내지 약 400 nm 범위의 파장을 갖는 UV 공급원에 노출된다. 하나의 실시양태에서, 증착된 그대로의 필름은 목적하는 필름 두께에 도달된 후 증착 챔버와 상이한 챔버에서 UV에 노출된다.
필름이 플라즈마로 처리되는 실시양태에서, 후속 플라즈마 처리에서 염소 및 질소 오염이 필름을 침투하는 것을 방지하기 위해, 부동태화층, 예컨대 탄소-도핑된 산화규소가 증착된다. 부동태화층은 원자층 증착 또는 사이클 화학 기상 증착을 이용하여 증착될 수 있다.
필름이 플라즈마로 처리되는 실시양태에서, 플라즈마 공급원은 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마로 이루어진 군으로부터 선택된다. 수소 플라즈마는 필름 유전 상수를 낮추고 플라즈마 애싱 공정에 따른 손상 내성을 증강시키면서 벌크 탄소 함량을 거의 변하지 않게 유지시킨다.
하기 실시예는 본 발명의 특정 측면을 설명하지만 첨부된 청구범위의 영역을 제한하지는 않는다.
실시예
하기 실시예에서, 달리 지시되지 않는 한, 특성은 기판으로서 5-20 Ω-cm의 비저항을 갖는 규소 웨이퍼 상에 증착된 샘플 필름으로부터 수득될 것이다. 모든 필름 증착은 13.56 MHz 직접 플라즈마와 함께 샤워헤드 디자인을 구비한 CN-1 반응기를 사용하여 수행된다.
전형적인 공정 조건에서, 달리 지시되지 않는 한, 챔버 압력은 약 1 내지 약 5 Torr 범위의 압력으로 고정된다. 추가 불활성 기체는 챔버 압력을 유지하기 위해 사용된다.
필름 증착은 표 2의 플라즈마 강화 ALD에 열거된 단계를 포함한다. 표 2의 단계 a) 내지 d)는 하나의 ALD 또는 PEALD 사이클을 구성하며, 달리 특정되어 있지 않는 한, 총 100회 또는 200회 또는 300회 또는 500회 반복되어 원하는 필름 두께를 얻는다.
[표 2]
Figure pat00004
증착된 필름에 대한 굴절률(RI) 및 두께는 타원계를 사용하여 측정된다. 필름 불균일성은 표준 수학식: 불균일성% = ((최대 두께 - 최소 두께)/(2*평균 (avg) 두께))을 이용하여 계산된다. 필름 구조 및 조성은 푸리에 변환 적외선(FTIR) 분광법 및 X-선 광전자 분광법(XPS)에 의해 분석된다. 필름 밀도는 X-선 반사측정법(XRR)으로 측정된다. 습식 에칭 속도는 탈이온수 중의 약 0.5 wt.% 플루오르화수소산(HF)을 사용하여 수행된다. 열 산화물 웨이퍼가 각각의 뱃치에 대한 참조로서 사용되어 용액 농도를 검증한다. 탈이온수 중의 0.5% HF에 대한 전형적인 열 산화물 웨이퍼 습식 에칭 속도는 0.5 Å/s이다.
실시예 1
1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 수소 플라즈마를 사용하는 PEALD 탄화규소
실리콘 웨이퍼를 13.56 MHz 직접 플라즈마와 함께 샤워헤드 디자인이 장착된 CN-1 반응기로 로딩하고, 2 torr의 챔버 압력에 의해 500℃로 가열하였다. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄을 버블링 또는 증기 드로우(vapor draw)를 사용하여 반응기에 증기로서 전달하였다.
ALD 사이클은 표 2에 제공된 공정 단계로 구성하고, 하기 공정 파라미터를 사용하였다:
a) 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 증기의 반응기로의 도입
아르곤 유동: 전구체 용기를 통한 100 sccm
펄스: 2초
수소 유동: 500 sccm
b) 퍼징
수소 유동: 500 sccm
퍼지 시간: 5초
c) 수소 플라즈마 도입
수소 유동: 500 sccm
펄스: 5초
플라즈마 전력: 100 W
d) 퍼징
수소 유동: 500 sccm
퍼지 시간: 5초
단계 a) 내지 d)를 500회 사이클 동안 반복하여 32.2 at.% 탄소, 62.7 at.% 규소 및 3.8 at.% 산소의 조성을 갖는 원하는 두께의 탄화규소를 제공하였다. 굴절률(RI)은 약 1.90이었다. 5 at.% 미만의 산소를 갖는 것은, 필름이 공기와 같은 산소 공급원에 대해 안정하다는 것을 시사한다. 따라서, 높은 품질의 탄화규소를 제공할 수 있다.
실시예 2
1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 수소/아르곤 플라즈마를 사용하는 PEALD 탄화규소
실리콘 웨이퍼를 13.56 MHz 직접 플라즈마와 함께 샤워헤드 디자인이 장착된 CN-1 반응기로 로딩하고, 2 torr의 챔버 압력에 의해 500℃로 가열하였다. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄을 버블링 또는 증기 드로우를 사용하여 반응기에 증기로서 전달하였다.
ALD 사이클은 표 2에 제공된 공정 단계로 구성하고, 하기 공정 파라미터를 사용하였다:
a) 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 증기의 반응기로의 도입
아르곤 유동: 전구체 용기를 통한 100 sccm
펄스: 2초
아르곤 유동: 100 sccm
수소 유동: 500 sccm
b) 퍼징
아르곤 유동: 100 sccm
수소 유동: 500 sccm
퍼지 시간: 5초
c) 수소 플라즈마 도입
아르곤 유동: 100 sccm
수소 유동: 500 sccm
펄스: 5초
플라즈마 전력: 100 W
d) 퍼징
아르곤 유동: 100 sccm
수소 유동: 500 sccm
퍼지 시간: 5초
단계 a) 내지 d)를 500회 사이클 동안 반복하여 37.9 at.% 탄소, 52.4 at.% 규소, 및 7.7 at.% 산소의 조성을 갖는 원하는 두께의 탄화규소를 제공하였다. 굴절률(RI)은 약 1.9이었다. 본 실험은 더 높은 증착 온도가 안정한 탄화규소를 제공할 수 있고 증착된 그대로의 필름이 공기와 같은 산소 공급원에 대해 덜 반응적이므로 공기에 노출된 후 더 적은 산소 함량을 제공한다는 것을 입증해 보여준다.
실시예 3
1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 수소/헬륨 플라즈마를 사용하는 PEALD 탄소-도핑된 산화규소
실리콘 웨이퍼를 13.56 MHz 직접 플라즈마와 함께 샤워헤드 디자인이 장착된 CN-1 반응기로 로딩하고, 2 torr의 챔버 압력에 의해 300℃로 가열하였다. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄을 버블링 또는 증기 드로우를 사용하여 반응기에 증기로서 전달하였다.
ALD 사이클은 표 2에 제공된 공정 단계로 구성하고, 하기 공정 파라미터를 사용하였다:
a) 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 증기의 반응기로의 도입
아르곤 유동: 100 sccm
수소 유동: 250 sccm
헬륨 유동: 250 sccm
펄스: 3초
b) 퍼징
수소 유동: 250 sccm
헬륨 유동: 250 sccm
퍼지 시간: 5초
c) 수소 플라즈마 도입
수소 유동: 250 sccm
헬륨 유동: 250 sccm
펄스: 5초
플라즈마 전력: 300 W
d) 퍼징
수소 유동: 250 sccm
헬륨 유동: 250 sccm
퍼지 시간: 5초
탄화규소의 두께를 제공하도록 단계 a) 내지 d)를 300회 사이클 동안 반복하였다. 생성된 필름을 공기에 노출시켰으며, XPS 측정은 36.2 at.% 탄소, 36.3 at.% 규소 및 20.3 at.% 산소의 조성을 제공하였다. 굴절률(RI)은 약 1.90이었다. 이 실시예는 증착된 그대로의 탄화규소가 낮은 증착 온도(300℃)에서 증착될 때 불안정하고 공기와 같은 산소 공급원에 반응적이므로, 30 at.% 보다 높은 탄소 함량을 갖는탄소-도핑된 산화규소를 예기치 않게 형성할 수 있다는 것을 입증해 보여준다.
본 발명은 상기에서 특정한 구체 실시양태 및 실시예를 참조하여 예시되고 설명되었지만, 그럼에도 불구하고 제시된 상세한 설명에 제한되는 것이 아니다. 오히려, 청구범위의 등가물의 영역 및 범위 내에서 그리고 본 발명의 기술적 사상으로부터 벗어나지 않으면서 다양한 변형이 상세히 이루어질 수 있다. 예를 들어, 본원에서 광범위하게 인용되는 모든 범위는 그 영역 내에서 보다 넓은 범위에 속하는 모든 보다 좁은 범위를 포함한다는 것이 명백히 의도된다.

Claims (18)

  1. 플라즈마 강화 ALD 공정을 통해 탄소-도핑된 산화규소 필름을 형성하는 방법으로서,
    a) 표면 피처를 포함하는 기판을 반응기에 제공하는 단계;
    b) 반응기를 약 20℃ 내지 약 400℃ 범위의 하나 이상의 온도로 가열하고, 임의적으로 반응기를 100 torr 또는 그 미만의 압력에서 유지하는 단계;
    c) 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 및 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄, 1-클로로-1,3,5-트리실라펜탄, 1,5-디클로로-1,3,5-트리실라펜탄, 1-브로모-1,3,5-트리실라펜탄, 1,5-디브로모-1,3,5-트리실라펜탄, 1-요오도-1,3,5-트리실라펜탄, 및 1,5-디요오도-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 2개의 Si-C-Si 연결을 갖는 적어도 하나의 규소 전구체를 반응기로 도입하여 기판 상에 화학흡착된 층을 고정시키는 단계;
    d) 단계 c)로부터의 임의의 비소모된 전구체 및/또는 반응 부산물의 반응기를 불활성 기체로 퍼징하는 단계;
    e) 수소, 불활성 기체 및 이들의 혼합물로 이루어진 군으로부터 선택되는 플라즈마를 반응기로 제공하여 화학흡착된 층과 반응시켜 탄화규소 필름을 형성하는 단계;
    f) 단계 e)로부터의 임의의 반응 부산물의 반응기를 불활성 기체로 퍼징하는 단계;
    g) 단계 c) 내지 f)를 필요에 따라 반복하여 탄화규소 필름을 선결정된 두께로 만드는 단계;
    h) 생성된 탄화규소 필름을 약 주위 온도 내지 1000℃ 범위의 하나 이상의 온도에서 산소 공급원에 노출시켜 탄화규소 필름을 탄소-도핑된 산화규소 필름으로 전환시키는 단계
    를 포함하고,
    탄소-도핑된 산화규소 필름은 약 20 at.% 내지 약 40 at.% 범위의 탄소 함량을 갖는 것인 방법.
  2. 제1항의 방법에 따라 형성된 필름으로서, 4 또는 그 미만의 k 및 적어도 약 30 at.%의 탄소 함량을 갖는 필름.
  3. 제1항의 방법에 따라 형성된 필름으로서, 탈이온수 중의 약 0.5 wt.% 플루오르화수소산 중에서 측정할 때 열 산화규소의 에칭 속도의 약 0.5배 이하의 에칭 속도를 갖는 필름.
  4. 제1항의 방법에 따라 형성된 필름으로서, 탈이온수 중의 약 0.5 wt.% 플루오르화수소산 중에서 측정할 때 열 산화규소의 에칭 속도의 약 0.1배 이하의 에칭 속도를 갖는 필름.
  5. 제1항의 방법에 따라 형성된 필름으로서, 탈이온수 중의 약 0.5 wt.% 플루오르화수소산 중에서 측정할 때 열 산화규소의 에칭 속도의 약 0.05배 이하의 에칭 속도를 갖는 필름.
  6. 제1항의 방법에 따라 형성된 필름으로서, 탈이온수 중의 약 0.5 wt.% 플루오르화수소산 중에서 측정할 때의 열 산화규소의 에칭 속도의 약 0.01배 이하의 에칭 속도를 갖는 필름.
  7. 제1항의 방법에 따라 형성된 필름으로서, 탄소-도핑된 산화규소 필름은 산소 애싱 공정 후 탄소-도핑된 산화규소 필름의 50 Å 또는 그 미만의 깊이가 손상되는 것을 특징으로 하는 필름.
  8. 제7항에 있어서, 산소 애싱 공정 후 20 Å 또는 그 미만의 깊이가 손상되는 것인 필름.
  9. 제8항에 있어서, 산소 애싱 공정 후 10 Å 또는 그 미만의 깊이가 손상되는 것인 필름.
  10. 제9항에 있어서, 산소 애싱 공정 후 5 Å 또는 그 미만의 깊이가 손상되는 것인 필름.
  11. 제1항에 있어서, 300 내지 1000℃의 온도에서 탄소-도핑된 산화규소 필름 상에서 열적 어닐링을 수행하는 단계를 추가로 포함하는 방법.
  12. 제1항에 있어서, 25℃ 내지 600℃ 범위의 온도에서 탄소-도핑된 산화규소 필름 상에서 불활성 기체 플라즈마 또는 수소/불활성 플라즈마를 사용하여 플라즈마 처리를 수행하는 단계를 추가로 포함하는 방법.
  13. 탄화규소 필름을 적어도 기판의 표면에 증착시키는 방법으로서,
    기판을 반응기에 제공하는 단계;
    반응기를 약 400℃ 내지 약 600℃ 범위의 하나 이상의 온도로 가열하는 단계;
    1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,3,3,5,5-헥사클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄, 1-요오도-1,3-디실라시클로부탄, 1,1-디요오도-1,3-디실라시클로부탄, 1,3-디요오도-1,3-디실라시클로부탄, 1,1,3-트리요오도-1,3-디실라시클로부탄, 1,1,3,3-테트라요오도-1,3-디실라시클로부탄, 및 1,3-디요오도-1,3-디메틸-1,3-디실라시클로부탄, 1-클로로-1,3,5-트리실라펜탄, 1,5-디클로로-1,3,5-트리실라펜탄, 1-브로모-1,3,5-트리실라펜탄, 1,5-디브로모-1,3,5-트리실라펜탄, 1-요오도-1,3,5-트리실라펜탄, 및 1,5-디요오도-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 전구체를 반응기로 도입하는 단계; 및
    수소 공급원을 포함하는 플라즈마를 반응기로 도입하여 전구체의 적어도 일부와 반응시켜 탄화규소 필름을 형성하는 단계
    를 포함하는 방법.
  14. 제13항에 있어서, 25℃ 내지 600℃ 범위의 온도에서 탄화규소 필름을 불활성 기체 플라즈마 또는 수소/불활성 플라즈마로 처리하는 단계를 추가로 포함하는 것인 방법.
  15. 플라즈마 강화 ALD 공정을 통해 탄소-도핑된 산화규소 필름을 형성하는 방법으로서,
    a) 표면 피처를 포함하는 기판을 반응기에 제공하는 단계;
    b) 반응기를 약 550℃ 이하 범위의 하나 이상의 온도로 가열하고, 임의적으로 반응기를 100 torr 또는 그 미만의 압력에서 유지하는 단계;
    c) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판 및 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 1개의 Si-C-Si 연결을 갖는 적어도 하나의 규소 전구체를 반응기로 도입하여 기판 상에 화학흡착된 층을 형성하는 단계;
    d) 단계 c)로부터의 임의의 비소모된 전구체 및/또는 반응 부산물의 반응기를 불활성 기체로 퍼징하는 단계;
    e) 수소를 포함하는 플라즈마를 반응기로 제공하여 화학흡착된 층과 반응시켜 탄화규소 필름을 형성하는 단계;
    f) 단계 e)로부터의 임의의 반응 부산물의 반응기를 불활성 기체로 퍼징하는 단계;
    g) 단계 c) 내지 f)를 필요에 따라 반복하여 탄화규소 필름을 선결정된 두께로 만드는 단계;
    h) 생성된 탄화규소 필름을 약 주위 온도 내지 1000℃, 바람직하게는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원에 노출시켜 탄화규소 필름을 탄소-도핑된 산화규소 필름으로 전환시키는 단계
    를 포함하고,
    탄소-도핑된 산화규소 필름은 약 20 at.% 내지 약 40 at.% 범위의 탄소 함량을 갖는 것인 방법.
  16. 제15항에 있어서, 탄소-도핑된 산화규소 필름을 400℃ 내지 1000℃의 온도에서 스파이크 어닐링으로 처리하는 단계를 추가로 포함하는 방법.
  17. 제15항에 있어서, 탄소-도핑된 산화규소 필름을 UV 광원으로 노출시키는 단계를 추가로 포함하는 방법.
  18. 제1항에 있어서, 탄소-도핑된 산화규소 필름을 Si-Me 기 및 Si-H 기 중 하나 또는 둘 다를 갖는 오가노아미노실란 또는 클로로실란에 노출시키는 단계를 추가로 포함하는 방법.
KR1020190106924A 2018-08-29 2019-08-29 탄소 함량이 높은 규소 함유 필름을 제조하는 방법 KR20200026148A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220125423A KR20220137605A (ko) 2018-08-29 2022-09-30 탄소 함량이 높은 규소 함유 필름을 제조하는 방법

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862724109P 2018-08-29 2018-08-29
US62/724,109 2018-08-29
US16/553,080 2019-08-27
US16/553,080 US20200071819A1 (en) 2018-08-29 2019-08-27 Methods For Making Silicon Containing Films That Have High Carbon Content

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220125423A Division KR20220137605A (ko) 2018-08-29 2022-09-30 탄소 함량이 높은 규소 함유 필름을 제조하는 방법

Publications (1)

Publication Number Publication Date
KR20200026148A true KR20200026148A (ko) 2020-03-10

Family

ID=67809388

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020190106924A KR20200026148A (ko) 2018-08-29 2019-08-29 탄소 함량이 높은 규소 함유 필름을 제조하는 방법
KR1020220125423A KR20220137605A (ko) 2018-08-29 2022-09-30 탄소 함량이 높은 규소 함유 필름을 제조하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220125423A KR20220137605A (ko) 2018-08-29 2022-09-30 탄소 함량이 높은 규소 함유 필름을 제조하는 방법

Country Status (7)

Country Link
US (2) US20200071819A1 (ko)
EP (1) EP3620550B1 (ko)
JP (2) JP6999620B2 (ko)
KR (2) KR20200026148A (ko)
CN (1) CN110872700B (ko)
SG (1) SG10201907962WA (ko)
TW (1) TWI762809B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
CN111453695B (zh) * 2020-06-16 2020-10-16 中芯集成电路制造(绍兴)有限公司 氧化硅层的刻蚀方法、mems器件及其形成方法
JP2023531513A (ja) * 2020-06-23 2023-07-24 インテグリス・インコーポレーテッド ケイ素前駆体化合物及びケイ素含有膜を形成するための方法
US20220091513A1 (en) * 2020-09-18 2022-03-24 Applied Materials, Inc. Film structure for electric field assisted bake process
US20220098732A1 (en) * 2020-09-30 2022-03-31 Gelest, Inc. Silicon Carbide Thin Films and Vapor Deposition Methods Thereof
US20240087881A1 (en) * 2022-08-26 2024-03-14 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
KR100364115B1 (ko) 1996-12-17 2002-12-11 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 화학 증착용 시약 공급 용기
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
EP1504138A2 (en) 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
JP5530744B2 (ja) 2010-02-15 2014-06-25 大陽日酸株式会社 絶縁膜のダメージ回復方法及びダメージが回復された絶縁膜
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
KR20130135261A (ko) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
CN102487035B (zh) * 2010-12-01 2014-03-19 中芯国际集成电路制造(上海)有限公司 具有多孔结构的超低介电常数的层间介质的淀积方法
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
KR20150121217A (ko) 2013-03-01 2015-10-28 어플라이드 머티어리얼스, 인코포레이티드 SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
JP6112928B2 (ja) 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
JP6125946B2 (ja) 2013-08-08 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP6585724B2 (ja) 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films

Also Published As

Publication number Publication date
KR20220137605A (ko) 2022-10-12
EP3620550B1 (en) 2024-05-01
TW202012682A (zh) 2020-04-01
SG10201907962WA (en) 2020-03-30
US20200071819A1 (en) 2020-03-05
JP6999620B2 (ja) 2022-01-18
US20220145453A1 (en) 2022-05-12
CN110872700B (zh) 2022-11-08
TWI762809B (zh) 2022-05-01
JP2022008973A (ja) 2022-01-14
CN110872700A (zh) 2020-03-10
JP2020036013A (ja) 2020-03-05
EP3620550A1 (en) 2020-03-11

Similar Documents

Publication Publication Date Title
US11742200B2 (en) Composition and methods using same for carbon doped silicon containing films
EP3620550B1 (en) Methods for making silicon containing films that have high carbon content
TWI785891B (zh) 含矽及氮膜的製造方法
TWI814264B (zh) 用於含有矽及硼的膜之組合物及使用其之方法
TW202348590A (zh) 用於碳摻雜的含矽膜的組合物及使用其的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2022101001805; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20221004

Effective date: 20230720