KR20200001631A - 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적인 인-시튜 세정 - Google Patents

반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적인 인-시튜 세정 Download PDF

Info

Publication number
KR20200001631A
KR20200001631A KR1020197038831A KR20197038831A KR20200001631A KR 20200001631 A KR20200001631 A KR 20200001631A KR 1020197038831 A KR1020197038831 A KR 1020197038831A KR 20197038831 A KR20197038831 A KR 20197038831A KR 20200001631 A KR20200001631 A KR 20200001631A
Authority
KR
South Korea
Prior art keywords
processing chamber
cleaning
reactive species
substrate
containing film
Prior art date
Application number
KR1020197038831A
Other languages
English (en)
Inventor
유지아 자이
웬칭 다이
라이 자오
샹신 루이
동길 임
태경 원
수영 최
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200001631A publication Critical patent/KR20200001631A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22FCHANGING THE PHYSICAL STRUCTURE OF NON-FERROUS METALS AND NON-FERROUS ALLOYS
    • C22F1/00Changing the physical structure of non-ferrous metals or alloys by heat treatment or by hot or cold working
    • C22F1/16Changing the physical structure of non-ferrous metals or alloys by heat treatment or by hot or cold working of other metals or alloys based thereon
    • C22F1/18High-melting or refractory metals or alloys based thereon
    • C22F1/186High-melting or refractory metals or alloys based thereon of zirconium or alloys based thereon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Thermal Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Epidemiology (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)

Abstract

본원에서 설명되는 구현들은 일반적으로, 기판-프로세싱 챔버의 하나 이상의 내부 표면들로부터, 원하지 않는 증착 축적물을 인-시튜로 제거하기 위한 방법들 및 장치에 관한 것이다. 일 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖는 프로세싱 챔버 내로 반응성 종을 도입하는 단계를 포함한다. 반응성 종은 BCl3으로부터 형성되고, 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함한다. 방법은, 휘발성 생성물을 형성하기 위해 잔류 ZrO2 함유 막을 반응성 종과 반응시키는 단계를 더 포함한다. 방법은 휘발성 생성물을 프로세싱 챔버로부터 제거하는 단계를 더 포함하며, 잔류 ZrO2 함유 막의 제거 레이트는 Al2O3의 제거 레이트보다 더 크다.

Description

반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-K 막들의 선택적인 인-시튜 세정
[0001] 본원에서 설명되는 구현들은 일반적으로, 기판-프로세싱 챔버의 하나 이상의 내부 표면들로부터, 원하지 않는 증착 축적물을 인-시튜(in-situ)로 제거하기 위한 방법들 및 장치에 관한 것이다.
[0002] 디스플레이 디바이스들은, 다양한 전자 애플리케이션들, 이를테면, TV들, 모니터들, 모바일 폰들, MP3 플레이어들, e-북 리더들, 개인 휴대 정보 단말(PDA; personal digital assistant)들 등에 널리 사용되어 왔다. 디스플레이 디바이스는 일반적으로, 2개의 기판들(예컨대, 픽셀 전극과 공통 전극) 사이의 갭을 채우고(fill), 유전체 필드의 강도를 제어하는 비등방성 유전율을 갖는 액정에 전계를 인가함으로써 이미지를 생성하도록 설계된다. 기판들을 통해 투과된 광의 양을 조정함으로써, 광 및 이미지 강도, 품질 및 전력 소비가 효율적으로 제어될 수 있다.
[0003] 다양한 상이한 디스플레이 디바이스들, 이를테면, 능동 매트릭스 액정 디스플레이(AMLCD; active matrix liquid crystal display) 또는 능동 매트릭스 유기 발광 다이오드(AMOLED; active matrix organic light emitting diode)들이, 디스플레이를 위한 광 소스들로서 이용될 수 있다. 디스플레이 디바이스들의 제조에서, 높은 전자 이동도, 낮은 누설 전류 및 높은 항복 전압을 갖는 전자 디바이스는, 광 송신 및 회로의 집적을 위한 더 많은 픽셀 영역을 가능하게 하여서, 더 밝은 디스플레이, 더 높은 전체 전기 효율, 더 고속의 응답 시간 및 더 높은 해상도의 디스플레이들을 유발할 것이다. 디바이스에 형성된, 불순물들 또는 낮은 막 밀도들을 갖는 유전체 층과 같은 재료 층들의 낮은 막 품질들은 종종, 불충분한 디바이스 전기적 성능 및 디바이스들의 짧은 서비스 수명을 초래한다. 따라서, 전자 디바이스의 개선된 전체 성능 및 더 낮은 임계 전압 시프트가 바람직한, 전자 디바이스들을 제조하는 데 사용하기 위한, 높은 항복 전압 및 낮은 막 누설을 갖는 디바이스 구조를 제공하기 위해, TFT 및 OLED 디바이스들 내에 막 층들을 형성 및 집적하기 위한 안정적이고 신뢰할 수 있는 방법이 중대해졌다.
[0004] 특히, 금속 전극 층과 인근의 절연 재료 사이의 계면(interface)의 부적절한 재료 선택은 불리하게, 바람직하지 않은 엘리먼트들이 인접 재료들 내로 확산되는 것을 초래할 수 있고, 이는 결국, 전류 단락, 전류 누설, 또는 디바이스 장애를 유발할 수 있기 때문에, 금속 전극 층과 인근의 절연 재료들 사이의 계면 관리가 중요해졌다. 게다가, 상이한 더 높은 유전율을 갖는 절연 재료들은 종종, 디바이스 구조들에서 상이한 커패시턴스를 제공하는 것과 같이, 상이한 전기적 성능을 제공한다. 절연 재료들의 재료의 선택이 디바이스의 전기적 성능에 영향을 미칠 뿐만 아니라, 전극들에 대한 절연 재료들의 재료 비호환성이 또한 막 구조 박리(peeling), 불충분한 계면 접착, 또는 계면 재료 확산을 초래할 수 있으며, 이는 결국, 디바이스 장애 및 낮은 제품 수율을 유발할 수 있다.
[0005] 일부 디바이스들에서, 디스플레이 디바이스들이 동작 중일 때 전하(electric charge)들을 저장하기 위해, 커패시터들(예컨대, 2개의 전극들 사이에 유전체 층이 배치됨)이 종종 활용되고 형성된다. 형성되는 커패시터는, 디스플레이 디바이스들을 위한 높은 커패시턴스를 갖도록 요구된다. 커패시턴스는, 유전체 재료, 및 전극들 사이에 형성된 유전체 층의 치수 및/또는 유전체 층의 두께를 변경함으로써 조정될 수 있다. 예컨대, 유전체 층이, 더 높은 유전율 갖는 재료(예컨대, 지르코늄 옥사이드)로 대체될 때, 커패시터의 커패시턴스가 또한 증가될 것이다.
[0006] 디스플레이 디바이스들에 대한 해상도 요건이 점점 더 난제가 됨에 따라(예컨대, 2,000 PPI(pixels per inch)보다 더 큰 디스플레이 해상도), 디스플레이 디바이스들은 전기 성능을 증가시키기 위해 커패시터들을 형성하기 위한 제한된 영역을 갖는다. 따라서, 디스플레이 디바이스들에 형성되는 커패시터를 비교적 작은 영역을 갖는 한정된 위치에 유지하는 것이 중대해졌다. 더 높은 유전율 재료들, 이를테면, 지르코늄 옥사이드가 더 높은 해상도의 디스플레이 디바이스를 가능하게 하는 것으로 밝혀졌다. 그러나, 지르코늄 옥사이드의 증착은 기판으로 제한되지 않으며, 종종 프로세싱 챔버의 내부 전체에 걸쳐 잔류 막을 형성한다. 그러한 원하지 않는 잔류 증착은 챔버 내에서 입자들 및 플레이크(flake)들을 생성할 수 있어서, 프로세스 컨디션들의 드리프트(drift)를 초래하며, 이는 프로세스 재현성(reproducibility) 및 균일성에 영향을 미친다.
[0007] 생산을 위한 소유 비용을 감소시키고 막 품질을 유지하면서 높은 챔버 가용성(availability)을 달성하기 위해, 프로세스 키트들, 예컨대, 샤워헤드 등을 포함하여, 프로세싱 챔버의 내부 표면들로부터 잔류 막 잔류물을 제거하기 위하여, 챔버 세정이 수행된다. 불행히도, 대부분의 알려진 세정 기법들, 이를테면, 플루오린-함유 플라즈마들은 지르코늄 옥사이드를 제거할 수 없거나 또는 플루오린-함유 플라즈마들이 챔버 컴포넌트들을 손상시킨다는 점에서 너무 가혹하다. 따라서, 지르코늄 옥사이드에 대해 실행가능한 인-시튜 세정 기법들은 현재 이용가능하지 않다. 현재, 지르코늄 옥사이드는, 생산이 중단되고, 프로세싱 챔버가 개방되고, 그리고 챔버 부품들이 세정을 위해 제거되고 습식-세정 프로세스들을 사용하여 세정되는 엑스-시튜(ex-situ) 세정 프로세스들을 사용하여 프로세싱 챔버들로부터 제거된다.
[0008] 따라서, 기판-프로세싱 챔버들로부터의, 원하지 않는 지르코늄 옥사이드 증착물들의 인-시튜 제거를 위한 방법들이 필요하다.
[0009] 본원에서 설명되는 구현들은 일반적으로, 기판-프로세싱 챔버의 하나 이상의 내부 표면들로부터, 원하지 않는 증착 축적물을 인-시튜로 제거하기 위한 방법들 및 장치에 관한 것이다. 일 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖는 프로세싱 챔버 내로 반응성 종을 도입하는 단계를 포함한다. 반응성 종은 BCl3으로부터 형성되고, 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함한다. 방법은, 휘발성 생성물을 형성하기 위해 잔류 ZrO2 함유 막을 반응성 종과 반응시키는 단계를 더 포함한다. 방법은 휘발성 생성물을 프로세싱 챔버로부터 제거하는 단계를 더 포함하며, 잔류 ZrO2 함유 막의 제거 레이트는 Al2O3의 제거 레이트보다 더 크다.
[0010] 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은 프로세싱 챔버의 하나 이상의 내부 표면 및 기판-프로세싱 챔버 내에 배치된 기판 상에 ZrO2 함유 막을 증착하는 단계를 포함한다. 방법은 기판을 기판-프로세싱 챔버 밖으로 이송하는 단계를 더 포함한다. 방법은 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖는 프로세싱 챔버 내로 반응성 종을 도입하는 단계를 더 포함한다. 반응성 종은 BCl3으로부터 형성되고, 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함한다. 방법은, 휘발성 생성물을 형성하기 위해 잔류 ZrO2 함유 막을 반응성 종과 반응시키는 단계를 더 포함한다. 방법은 휘발성 생성물을 프로세싱 챔버로부터 제거하는 단계를 더 포함하며, 잔류 ZrO2 함유 막의 제거 레이트는 Al2O3의 제거 레이트보다 더 크다.
[0011] 또 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은 보론 트리클로라이드(BCl3) 함유 세정 가스 혼합물을, 프로세싱 챔버와 유동적으로 커플링된 원격 플라즈마 소스 내로 유동시키는 단계를 포함한다. 방법은 BCl3 함유 세정 가스 혼합물로부터 반응성 종을 형성하는 단계를 더 포함한다. 방법은 반응성 종을 프로세싱 챔버 내로 이송하는 단계를 더 포함한다. 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖고, 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함한다. 방법은 가스상 상태의 지르코늄 클로라이드를 형성하기 위해, 반응성 종이 잔류 ZrO2 함유 막과 반응하도록 허용하는 단계를 더 포함한다. 방법은 가스상 상태의 지르코늄 클로라이드를 프로세싱 챔버 밖으로 퍼지하는 단계를 더 포함한다.
[0012] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 구현들의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0100] 도 1a는 본 개시내용의 하나 이상의 구현들에 따른 세정 프로세스들로부터 이익을 얻을 수 있는 프로세싱 챔버의 단면도를 도시하고;
[0101] 도 1b는, 본 개시내용의 하나 이상의 구현들을 사용하여 제거될 수 있는 잔류 막이 하나 이상의 내부 표면들 상에 형성되어 있는, 도 1a의 프로세싱 챔버의 단면도를 도시하고; 그리고
[0013] 도 2는 프로세싱 챔버로부터 하이-k 재료들을 제거하는 데 사용될 수 있는 방법의 일 구현의 프로세스 흐름도를 도시한다.
[0014] 이해를 용이하게 하기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 구현의 엘리먼트들 및 특징들이 추가의 언급없이 다른 구현들에 유익하게 통합될 수 있음이 고려된다.
[0015] 다음의 개시내용은 기판-프로세싱 챔버로부터의, 지르코늄 옥사이드의 인-시튜 제거를 위한 기법들을 설명한다. 본 개시내용의 다양한 구현들의 완전한 이해를 제공하기 위해, 다음의 설명 및 도면들에서 특정 세부사항들이 설명된다. 플라즈마 세정과 종종 연관되는 잘 알려진 구조들 및 시스템들을 설명하는 다른 세부사항들은 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해 다음의 개시내용에서 설명되지 않는다.
[0016] 도면들에 도시된 많은 세부사항들, 치수들, 각도들 및 다른 특징들은 단지 특정 구현들의 예일 뿐이다. 따라서, 다른 구현들은 본 개시내용의 사상 또는 범위를 벗어나지 않으면서 다른 세부사항들, 컴포넌트들, 치수들, 각도들 및 특징들을 가질 수 있다. 게다가, 본 개시내용의 추가의 구현들은 아래에서 설명되는 세부사항들 중 몇몇 세부사항들 없이 실시될 수 있다.
[0017] 본원에서 설명되는 구현들은, 임의의 적합한 박막 증착 시스템을 사용하여 수행될 수 있는 하이-k 유전체 증착 프로세스를 참조하여 아래에서 설명될 것이다. 그러한 시스템의 일 예는 기판 사이즈 3000 mm x 3000 mm 또는 더 큰 사이즈의 기판들에 적합한 AKT-90K PECVD 시스템이며, AKT-90K PECVD 시스템은 캘리포니아 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능하다. 하이-k 유전체 증착 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에서 설명되는 구현들로부터 이익을 얻도록 적응될 수 있다. 게다가, 본원에서 설명되는 하이-k 유전체 증착 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에서 설명되는 장치 설명은 예시적인 것이며, 본원에서 설명되는 구현들의 범위를 제한하는 것으로 이해되거나 해석되어서는 안된다.
[0018] 본 개시내용의 구현들은 일반적으로, 프로세싱 챔버들로부터의, 하이-k 재료들, 이를테면, ZrO2의 인-시튜 제거에 관한 것이다. 프로세싱 챔버는, 고해상도 디스플레이 백플레인 TFT 회로들의 제조에서 활용되는 PECVD, ALD 또는 다른 프로세싱 챔버들을 포함한다(그러나 이에 제한되지 않음). ZrO2는, 고해상도 디스플레이 디바이스들, 이를테면, 가상 현실(VR; Virtual Reality) 디바이스들을 가능하게 하기 위해 반도체 산업 및 잠재적으로는 평판 디스플레이 산업에서 현재 사용되는 고유전율 재료이다. ZrO2와 같은 하이-k 재료들은 고해상도 디스플레이 디바이스들(예컨대, PPI >2000)을 가능하게 하는 데 중요하다. 현재, 전체 픽셀 영역이 축소되어 해상도를 증가시킴에 따라, 픽셀 회로에서 스토리지 커패시터의 영역이 감소될 필요가 있다. 동일한 커패시턴스를 달성하기 위해, 스토리지 커패시터들에서 사용되는 현재 유전체 층들(예컨대, SiN, K~7)은 하이-K 재료들, 이를테면, K>20을 갖는 ZrO2로 대체되고 있다. 디스플레이 애플리케이션들에서 ZrO2를 가능하게 하기 위한 하나의 요인은, 입자들을 감소시키고 수율을 개선시키기 위한, 프로세싱 챔버로부터의 잔류 ZrO2 막들의 효율적인 제거이다.
[0019] 전형적으로, ZrO2 막들의 증착은 기판으로 제한되지 않으며, 챔버 전체에 걸쳐 잔류 막을 형성한다. 이러한 잔류 막은 입자 형성, 균일성 저하 및 가스 유입 막힘을 야기하여서, 수율 손실 및 소유 비용 증가를 유발할 수 있다. 챔버 벽 또는 다른 챔버 컴포넌트들 상의 원하지 않는 잔류 막을 제거하는 하나의 방식은, 몇몇 증착 사이클들 후에 주기적으로 챔버를 분해하고 용액 또는 용매로 막들을 제거하는 것이다. 챔버를 분해하고, 컴포넌트들을 세정하고, 챔버를 재조립하는 것은 상당한 시간이 걸리며, 툴의 업타임(uptime)에 상당한 영향을 미친다. 다른 접근법은 라디오 주파수(RF) 에너지의 인가에 의해 반응성 가스들의 여기 및/또는 해리를 촉진하기 위해 플라즈마를 인가하는 것이다. 플라즈마는, 원하지 않는 잔류 재료와 반응하고 그 원하지 않는 잔류 재료를 에칭하는 고 반응성 종을 포함한다. 예컨대, NF3 플라즈마는 프로세싱 챔버들로부터 SiOx 및 SiNx 막들을 제거하기 위해 디스플레이 산업에서 널리 사용된다. 그러나, NF3 플라즈마는 ZrO2 잔류 막을 에칭할 수 없다.
[0020] 본 개시내용의 구현들은 챔버 세정 프로세스, 및 현재 하드웨어 재료들의 수정 둘 모두를 포함한다. 본 개시내용의 일부 구현들은, BCl3으로부터 형성된 반응성 종을 프로세싱 챔버 내로 도입하여 잔류 ZrO2 함유 막과 반응시킴으로써, ZrO2 잔류 막들을 프로세싱 챔버로부터 효과적으로 제거한다. 반응성 종은 인-시튜 플라즈마 또는 엑스-시튜 플라즈마로서 생성될 수 있다. 플라즈마의 생성은 유도-결합 플라즈마(ICP; inductive-coupled plasma), 용량-결합 플라즈마(CCP; capacitive-coupled plasma) 또는 마이크로파 플라즈마일 수 있다(그러나 이에 제한되지 않음). 본 개시내용의 일부 구현들에서, 잔류 ZrO2 함유 막들은, BCl3 가스를 프로세싱 챔버 내로 유동시키고, 그런 다음, BCl3 가스를 여기 및/또는 해리시켜 프로세싱 챔버 내에 플라즈마를 형성함으로써, 제거된다. BCl3으로부터의 여기된 자유 라디칼(free radical)들은 잔류 ZrO2 함유 막들을 챔버 바디로부터 에칭한다. BCl3의 플라즈마는 ZrO2 및 알루미늄을 에칭하지만, 어떤 외부 직류(DC) 바이어스도 인가되지 않는 경우, Al2O3을 에칭하지 않는다. 따라서, 본 개시내용의 일부 구현들에서, 알루미늄 챔버 컴포넌트들은 세정 프로세스 동안 얇은 Al2O3 코팅에 의해 보호된다. 일부 구현들에서, Al2O3 코팅은 표면 양극산화처리 프로세스에 의해 적용된다. Al2O3을 제거할 필요가 있는 경우, Al2O3의 에칭을 용이하게 하기 위해 프로세스 동안 DC 바이어스가 BCl3 플라즈마에 인가될 수 있다. 따라서, BCl3은, 플라즈마 컨디션들에 따라 ZrO2 및 Al2O3 둘 모두를 제거하기 위해 또는 Al2O3에 비해 ZrO2를 선택적으로 제거하기 위해 사용될 수 있다.
[0021] 도 1a는 본 개시내용의 하나 이상의 구현들에 따른 세정 프로세스들로부터 이익을 얻을 수 있는 기판-프로세싱 챔버(100)의 단면도를 도시한다. 도 1b는, 본 개시내용의 하나 이상의 구현들을 사용하여 제거될 수 있는 잔류 막이 하나 이상의 내부 표면들 상에 형성되어 있는, 도 1a의 기판-프로세싱 챔버(100)의 단면도를 도시한다. 기판-프로세싱 챔버(100)는 CVD, 플라즈마 강화-CVD(PE-CVD), 펄스형(pulsed)-CVD, ALD, PE-ALD, 또는 이들의 조합들을 수행하는 데 사용될 수 있다. 일부 구현들에서, 기판-프로세싱 챔버는 하이-k 유전체 층, 이를테면, ZrO2를 증착하도록 구성될 수 있다. 일부 구현들에서, 기판-프로세싱 챔버(100)는, 액정 디스플레이(LCD; liquid crystal display)들, 평판 디스플레이들, 유기 발광 다이오드(OLED; organic light emitting diode)들, 또는 태양 전지 어레이들에 대한 광기전력 전지들의 제조에 사용하기 위한 대면적 기판(102)(이하 기판(102)) 상에 구조들 및 디바이스들을 형성하는 데 있어서 플라즈마를 사용하여 기판(102)을 프로세싱하도록 구성된다.
[0022] 기판-프로세싱 챔버(100)는 일반적으로, 측벽들(142), 최하부 벽(104) 및 리드 어셈블리(112)를 포함하며, 이들은 프로세스 볼륨(106)을 정의한다. 리드 어셈블리(112)는 일반적으로 알루미늄으로 구성된다. 리드 어셈블리(112)는 리드 어셈블리(112)의 표면 상에 Al2O3 층을 형성하기 위해 양극산화처리될 수 있다. 측벽들(142) 및 최하부 벽(104)은 알루미늄 또는 플라즈마 프로세싱에 대해 호환적인 다른 재료의 단일의 블록으로 제조될 수 있다. 측벽들(142) 및 최하부 벽(104)은 리드 어셈블리(112)의 표면 상에 Al2O3 층을 형성하기 위해 양극산화처리될 수 있다. 측벽들(142) 및 최하부 벽(104)은 전기적으로 접지될 수 있다.
[0023] 가스 분배 플레이트(110) 및 기판 지지 어셈블리(130)는 프로세스 볼륨(106) 내에 배치된다. 프로세스 볼륨(106)은, 기판(102)이 기판-프로세싱 챔버(100) 내로 그리고 기판-프로세싱 챔버(100) 밖으로 이송될 수 있도록, 측벽들(142)을 통해 형성된 슬릿 밸브 개구(108)를 통해 액세스된다.
[0024] 기판 지지 어셈블리(130)는 기판-수용 표면(132)을 포함하며, 기판-수용 표면(132)은 기판-수용 표면(132) 상에 기판(102)을 지지하기 위한 것이다. 기판 지지 어셈블리(130)는 일반적으로, 최하부 벽(104)을 통해 연장되는 스템(134)에 의해 지지되는 전기 전도성 바디를 포함한다. 스템(134)은 기판 지지 어셈블리(130)를 리프트 시스템(136)에 커플링시키고, 리프트 시스템(136)은 기판 지지 어셈블리(130)를 기판 이송 포지션과 프로세싱 포지션 사이에서 상승 및 하강시킨다. 섀도우 프레임(133)은, 기판(102)의 에지 상의 증착을 방지하기 위해, 프로세싱 동안 기판(102)의 주변부 위에 배치될 수 있다. 리프트 핀들(138)은 기판 지지 어셈블리(130)를 통해 이동가능하게 배치되고, 기판(102)을 기판-수용 표면(132)으로부터 이격시키도록 적응된다. 기판 지지 어셈블리(130)는 또한, 기판 지지 어셈블리(130)를 선택된 온도로 유지하는 데 활용되는 가열 및/또는 냉각 엘리먼트들(139)을 포함할 수 있다. 기판 지지 어셈블리(130)는 또한, 기판 지지 어셈블리(130)의 주변부 둘레에 RF 리턴 경로를 제공하기 위한 접지 스트랩(grounding strap)들(131)을 포함할 수 있다.
[0025] 가스 분배 플레이트(110)는 그 자신의 주변부에서 서스펜션(114)에 의해 기판-프로세싱 챔버(100)의 측벽들(142) 또는 리드 어셈블리(112)에 커플링된다. 일 특정 구현에서, 가스 분배 플레이트(110)는 알루미늄으로 제조된다. 가스 분배 플레이트의 표면은 가스 분배 플레이트(110)의 표면 상에 Al2O3 층을 형성하기 위해 양극산화처리될 수 있다. 가스 분배 플레이트(110)는 또한, 처짐(sag)을 방지하는 것 및/또는 가스 분배 플레이트(110)의 진직도(straightness)/곡률(curvature)을 제어하는 것을 돕기 위해 하나 이상의 중앙 지지부들(116)에 의해 리드 어셈블리(112)에 커플링될 수 있다. 가스 분배 플레이트(110)는 상이한 치수들을 갖는 상이한 구성들을 가질 수 있다. 예시적인 구현에서, 가스 분배 플레이트(110)는 사변형의 평면 형상(quadrilateral plan shape)을 갖는다. 가스 분배 플레이트(110)는 다운스트림 표면(150)을 가지며, 다운스트림 표면(150)은, 가스 분배 플레이트(110)를 통해 형성되고 그리고 기판 지지 어셈블리(130) 상에 배치된 기판(102)의 상부 표면(118)을 향하는 복수의 애퍼처들(111)을 갖는다. 애퍼처들(111)은 가스 분배 플레이트(110)에 걸쳐 상이한 형상들, 수, 밀도들, 치수들, 및 분포들을 가질 수 있다. 일 구현에서, 애퍼처들(111)의 직경은 대략 0.01 인치 내지 대략 1 인치 사이에서 선택될 수 있다.
[0026] 리드 어셈블리(112)를 통해, 그리고 그런 다음, 가스 분배 플레이트(110)에 형성된 애퍼처들(111)을 통해 프로세스 볼륨(106)으로 가스를 제공하기 위해, 가스 소스(120)가 리드 어셈블리(112)에 커플링된다. 프로세스 볼륨(106) 내의 가스를 선택된 압력으로 유지하기 위해, 진공 펌프(109)가 기판-프로세싱 챔버(100)에 커플링된다.
[0027] 가스 분배 플레이트(110)와 기판 지지 어셈블리(130) 사이에 존재하는 가스들로부터 플라즈마가 생성될 수 있도록, 가스 분배 플레이트(110)와 기판 지지 어셈블리(130) 사이에 전기장을 생성하는 전력을 제공하기 위해, 전력의 제1 소스(122)가 리드 어셈블리(112)와 커플링되고 그리고/또는 가스 분배 플레이트(110)에 커플링된다. 리드 어셈블리(112) 및/또는 가스 분배 플레이트(110) 전극은, 임피던스 매칭 회로일 수 있는 선택적 필터를 통해 전력의 제1 소스(122)에 커플링될 수 있다. 전력의 제1 소스(122)는 DC 전력, 펄스형 DC 전력, RF 바이어스 전력, 펄스형 RF 소스 또는 바이어스 전력, 또는 이들의 조합일 수 있다. 일 구현에서, 전력의 제1 소스(122)는 RF 바이어스 전력일 수 있다.
[0028] 일 구현에서, 전력의 제1 소스(122)는 RF 전력 소스일 수 있다. 일 구현에서, 전력의 제1 소스(122)는, 0.3 MHz 내지 대략 14 MHz, 예컨대 대략 13.56 MHz의 주파수로 RF 전력을 제공하도록 동작될 수 있다. 전력의 제1 소스(122)는, 대략 10 와트 내지 대략 20,000 와트(예컨대, 대략 10 와트 내지 대략 5000 와트; 대략 300 와트 내지 대략 1500 와트; 또는 대략 500 와트 내지 대략 1000 와트)의 RF 전력을 생성할 수 있다.
[0029] 기판 지지 어셈블리(130)는, 전력의 제1 소스(122)에 의해 가스 분배 플레이트(110)에 공급되는 RF 전력이, 프로세스 볼륨(106) 내에서, 기판 지지 어셈블리(130)와 가스 분배 플레이트(110) 사이에 배치된 가스들을 여기시킬 수 있도록, 접지될 수 있다. 기판 지지 어셈블리(130)는 금속들 또는 다른 필적하는 전기 전도성 재료들로 제조될 수 있다. 일 구현에서, 기판 지지 어셈블리(130)의 적어도 일부는 전기 절연 코팅으로 커버될 수 있다. 코팅은 유전체 재료, 이를테면, 특히, 옥사이드들, 실리콘 나이트라이드, 실리콘 디옥사이드, 알루미늄 디옥사이드, 탄탈룸 펜톡사이드, 실리콘 카바이드, 폴리이미드일 수 있다. 대안적으로, 기판 지지 어셈블리(130)의 기판-수용 표면(132)은 코팅되지 않거나 양극산화처리되지 않을 수 있다.
[0030] 바이어스 전극 및/또는 정전 척킹 전극일 수 있는 전극(도시되지 않음)이 기판 지지 어셈블리(130)에 커플링될 수 있다. 일 구현에서, 전극은 기판 지지 어셈블리(130)의 바디에 포지셔닝된다. 전극은, 임피던스 매칭 회로일 수 있는 선택적 필터를 통해 전력의 제2 소스(160)에 커플링될 수 있다. 전력의 제2 소스(160)는 DC 전력, 펄스형 DC 전력, RF 바이어스 전력, 펄스형 RF 소스 또는 바이어스 전력, 또는 이들의 조합일 수 있다.
[0031] 일 구현에서, 전력의 제2 소스(160)는 DC 바이어스 소스이다. DC 바이어스 전력은, 300 kHz의 주파수에서 대략 10 와트 내지 대략 3000 와트(예컨대, 대략 10 와트 내지 대략 1000 와트; 또는 대략 10 와트 내지 대략 100 와트)로 공급될 수 있다. 일 구현에서, DC 바이어스 전력은, 대략 500 Hz 내지 대략 10 kHz의 RF 주파수에서 대략 10 내지 대략 95 퍼센트의 듀티 사이클(duty cycle)로 펄싱될(pulsed) 수 있다. 이론에 의해 구속되지는 않지만, DC 바이어스는 플라즈마와 기판 지지부 사이에 바이어스를 확립하여서, 플라즈마 내의 이온들이 기판 지지부에 충돌하여, 에칭 효과를 향상시키는 것으로 여겨진다.
[0032] 일 구현에서, 전력의 제2 소스(160)는 RF 바이어스 전력이다. RF 바이어스 전력은, 300 kHz의 주파수에서 대략 0 와트 내지 대략 1000 와트(예컨대, 대략 10 와트 내지 대략 100 와트)로 공급될 수 있다. 일 구현에서, RF 바이어스 전력은, 대략 500 Hz 내지 대략 10 kHz의 RF 주파수에서 대략 10 내지 대략 95 퍼센트의 듀티 사이클로 펄싱될 수 있다.
[0033] 일 구현에서, 가스 분배 플레이트(110)의 다운스트림 표면(150)의 에지들은, 가스 분배 플레이트(110) 및 기판-수용 표면(132)의 에지와 코너들 사이에, 그리고 결과적으로, 가스 분배 플레이트(110)와 기판(102)의 상부 표면(118) 사이에 간격 그레디언트(spacing gradient)가 정의되도록, 만곡될 수 있다. 다운스트림 표면(150)의 형상은 특정 프로세스 요건들을 충족시키도록 선택될 수 있다. 예컨대, 다운스트림 표면(150)의 형상은 볼록형, 평면형, 오목형 또는 다른 적합한 형상일 수 있다. 따라서, 에지 대 코너 간격 그레디언트(edge to corner spacing gradient)는, 기판의 에지에 걸쳐 막 특성 균일성을 튜닝하여서, 기판의 코너에 배치된 막들의 특성 불균일성을 정정하는 데 활용될 수 있다. 부가적으로, 에지 대 중앙 간격(edge to center spacing)이 또한 제어될 수 있어서, 막 특성 분포 균일성이 기판의 에지와 중앙 사이에서 제어될 수 있다. 일 구현에서, 가스 분배 플레이트(110)의 오목한 만곡형 에지가 사용되어서, 가스 분배 플레이트(110)의 에지의 중앙 부분이 가스 분배 플레이트(110)의 코너들보다 기판(102)의 상부 표면(118)으로부터 더 멀리 이격될 수 있다. 다른 구현에서, 가스 분배 플레이트(110)의 코너들이 가스 분배 플레이트(110)의 에지들보다 기판(102)의 상부 표면(118)으로부터 더 멀리 이격되도록, 가스 분배 플레이트(110)의 볼록한 만곡형 에지가 사용될 수 있다.
[0034] 원격 플라즈마 소스(124), 이를테면, 유도 결합 원격 플라즈마 소스가 또한, 가스 소스와 가스 분배 플레이트(110) 사이에 커플링될 수 있다. 기판들을 프로세싱하는 사이에, 챔버 컴포넌트들을 세정하는 데 활용되는 플라즈마를 원격으로 제공하기 위해, 세정 가스가 원격 플라즈마 소스(124) 내에서 에너자이징될(energized) 수 있다. 프로세스 볼륨(106)에 진입하는 세정 가스는, 전력의 제1 소스(122)에 의해 가스 분배 플레이트(110)에 제공되는 RF 전력에 의해 추가로 여기될 수 있다. 적합한 세정 가스들은 BCl3 및 선택적으로는 불활성 가스를 포함한다(그러나 이에 제한되지 않음).
[0035] 일 구현에서, 기판-프로세싱 챔버(100) 내에서 프로세싱될 수 있는 기판(102)은 10,000 cm2 이상, 이를테면, 25,000 cm2 이상, 예컨대 대략 55,000 cm2 이상의 표면적을 가질 수 있다. 프로세싱 후에, 더 작은 다른 디바이스들을 형성하기 위해 기판이 커팅될 수 있다는 것이 이해된다.
[0036] 일 구현에서, 가열 및/또는 냉각 엘리먼트들(139)은 세정 동안 대략 600℃ 이하; 대략 10℃ 내지 대략 300℃; 대략 200℃ 내지 대략 300℃; 대략 10℃ 내지 대략 50℃, 또는 대략 10℃ 내지 대략 30℃의 기판 지지 어셈블리 온도를 제공하도록 세팅될 수 있다.
[0037] 기판-수용 표면(132) 상에 배치된 기판(102)의 상부 표면(118)과 가스 분배 플레이트(110) 사이의 세정 동안의 공칭 간격(nominal spacing)은 일반적으로, 400 mil 내지 대략 1,200 mil 사이에서, 이를테면, 400 mil 내지 대략 800 mil 사이에서, 또는 추구하는 증착 결과들을 획득하기 위한 다른 거리로 변화할 수 있다. 가스 분배 플레이트(110)가 오목한 다운스트림 표면을 갖는 일 예시적 구현에서, 가스 분배 플레이트(110)의 에지의 중앙 부분과 기판-수용 표면(132) 사이의 간격은 대략 400 mil 내지 대략 1,400 mil이고, 가스 분배 플레이트(110)의 코너들과 기판-수용 표면(132) 사이의 간격은 대략 300 mil 내지 대략 1,200 mil이다.
[0038] 도 1b는, 기판(102)이 제거된, 도 1a의 기판-프로세싱 챔버(100)의 단면도를 도시한다. 도 1b는, 내부 에너지 소스, 이를테면, 인-시튜 플라즈마 또는 외부 에너지 소스를 각각 사용하여 챔버 세정을 수행하기에 적합한 기판-프로세싱 챔버(100)의 예시를 제공한다. 도 1b에서, 반응성 제제(reactive agent)(170)(예컨대, BCl3)(도 1b에서 실선 화살표들로 도시됨)가, 세정 프로세스 동안 제거될 잔류 막(180)(예컨대, 도시된 ZrO2와 같은 하이-k 잔류 막)을 갖는 프로세스 볼륨(106) 내로 도입된다. 도 1b에 도시된 바와 같이, 잔류 막(180)은, 기판-프로세싱 챔버(100) 내의 노출된 표면의 적어도 일부, 구체적으로, 가스 분배 플레이트(110), 기판 지지 어셈블리(130), 섀도우 프레임(133) 등 상에 증착된다. 반응성 제제(170)는 에너지 소스, 이를테면, 전력의 제1 소스(122), 전력의 제2 소스(160), 또는 원격 플라즈마 소스(124)에 노출되며, 이는 반응성 종(190), 이를테면, Cl을 생성한다. 반응성 종(190)은 잔류 막(180)과 반응하여 휘발성 생성물을 형성한다. 휘발성 생성물은 기판-프로세싱 챔버(100)로부터 제거된다. 기판-프로세싱 챔버(100)의 하나 이상의 내부 표면들(예컨대, 가스 분배 플레이트(110), 기판 지지 어셈블리(130), 섀도우 프레임(133), 측벽들(142) 등)은 그 내부 표면들 상에 형성된 적어도 하나의 노출된 Al2O3 막을 갖는다.
[0039] 도 2는 기판-프로세싱 챔버로부터 하이-k 재료들을 제거하는 데 사용될 수 있는 방법(200)의 일 구현의 프로세스 흐름도를 도시한다. 기판-프로세싱 챔버는 도 1a 및 도 1b에 도시된 기판-프로세싱 챔버(100)와 유사할 수 있다. 동작(210)에서, 지르코늄 옥사이드(ZrO2) 함유 층이, 기판-프로세싱 챔버에 배치된 기판 위에 증착된다. 기판 위에 지르코늄 옥사이드 함유 층을 증착하는 동안, 지르코늄 옥사이드 및/또는 지르코늄 옥사이드 함유 화합물들은 기판-프로세싱 챔버의 챔버 컴포넌트들(예컨대, 가스 분배 플레이트, 기판 지지 어셈블리, 섀도우 프레임, 측벽들 등)을 포함하는 내부 표면들 위에 증착될 수 있다. 지르코늄 옥사이드 함유 층은 알루미늄-도핑된 지르코늄 옥사이드 함유 층일 수 있다. 지르코늄 옥사이드 함유 층은, 예컨대 화학 기상 증착(CVD; chemical vapor deposition) 프로세스, 플라즈마-강화 화학 기상 증착(PECVD; plasma-enhanced chemical vapor deposition) 프로세스, 챔버, 원자 층 증착(ALD; atomic layer deposition) 프로세스, 및 물리 기상 증착(PVD; physical vapor deposition) 프로세스를 사용하여 증착될 수 있다. 일부 구현들에서, 챔버 컴포넌트들 중 적어도 부분들은 알루미늄으로 구성된다. 일부 구현들에서, 챔버 컴포넌트들의 적어도 부분들은 그 부분들 상에 배치된 알루미나(Al2O3) 층을 갖는다.
[0040] 동작(220)에서, 기판은 기판-프로세싱 챔버 밖으로 이송된다. 일부 구현에서, 기판은 세정 프로세스 동안 기판-프로세싱 챔버 내에 유지된다.
[0041] 동작(230)에서, 반응성 종이 기판-프로세싱 챔버 내로 도입된다. 반응성 종은 인-시튜로 생성된 플라즈마를 활용하여 생성될 수 있거나, 또는 플라즈마는 엑스-시튜로(예컨대, 원격으로) 생성될 수 있다. 적합한 플라즈마 생성 기법들, 이를테면, 유도-결합 플라즈마(ICP), 용량-결합 플라즈마(CCP), 또는 마이크로파 플라즈마 생성 기법들이 반응성 종을 형성하는 데 활용될 수 있다. 일부 구현들에서, 반응성 종은 인-시튜 플라즈마 프로세스를 통해 인-시튜로 형성된다. 일부 구현들에서, 반응성 종은 원격 플라즈마 소스를 통해 엑스-시튜로 형성된다.
[0042] 일 구현에서, 반응성 종은, 세정 가스 혼합물을 프로세스 볼륨(106) 내로 유동시킴으로써 생성될 수 있다. 일 구현에서, 세정 가스 혼합물은 BCl3, 및 선택적으로 희석제 가스를 포함한다. 희석제 가스는, 헬륨, 아르곤, 또는 이들의 조합들로부터 선택된 불활성 가스일 수 있다. 세정 가스 혼합물은 RF 소스 및/또는 바이어스 전력에 노출된다. RF 소스 및/또는 바이어스 전력은, 플라즈마가 지속될(sustained) 수 있도록, 프로세스 볼륨(106) 내의 세정 가스 혼합물을 에너자이징한다. 일 구현에서, 전력의 제1 소스(122)는, 0.3 MHz 내지 대략 14 MHz, 예컨대 대략 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. 전력의 제1 소스(122)는, 대략 10 와트 내지 대략 5000 와트(예컨대, 대략 300 와트 내지 대략 1500 와트; 대략 500 와트 내지 대략 1000 와트)의 RF 전력을 생성할 수 있다.
[0043] 일부 구현들에서, RF 소스 전력 외에, 플라즈마를 형성하는 세정 가스 혼합물의 해리를 돕기 위해 세정 프로세스 동안 RF 바이어스 전력이 또한 활용될 수 있다. RF 바이어스는 전력의 제2 소스(160)에 의해 제공될 수 있다. 일 구현에서, 전력의 제1 소스(122)는, 0.3 MHz 내지 대략 14 MHz, 예컨대 대략 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. RF 바이어스 전력은, 300 kHz의 주파수에서 대략 0 와트 내지 대략 1000 와트(예컨대, 대략 10 와트 내지 대략 100 와트)로 공급될 수 있다. 일 구현에서, RF 바이어스 전력은, 대략 500 Hz 내지 대략 10 kHz의 RF 주파수에서 대략 10 내지 대략 95 퍼센트의 듀티 사이클로 펄싱될 수 있다. 일부 구현들에서, 외부 DC 바이어스가 인가되는 경우, 잔류 ZrO2 함유 막과 함께 Al2O3이 제거된다.
[0044] 일부 구현들에서, RF 소스 전력 외에, 플라즈마를 형성하는 세정 가스 혼합물의 해리를 돕기 위해 세정 프로세스 동안 DC 바이어스 전력이 또한 활용될 수 있다. DC 바이어스는 전력의 제2 소스(160)에 의해 제공될 수 있다. 일 구현에서, 전력의 제1 소스(122)는, 0.3 MHz 내지 대략 14 MHz, 예컨대 대략 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. 전력의 제2 소스(160)는, 300 kHz의 주파수에서 대략 10 와트 내지 대략 3000 와트(예컨대, 대략 10 와트 내지 대략 1000 와트; 또는 대략 10 와트 내지 대략 100 와트)로 DC 바이어스 전력을 제공하도록 동작될 수 있다. 일 구현에서, DC 바이어스 전력은, 대략 500 Hz 내지 대략 10 kHz의 주파수에서 대략 10 내지 대략 95 퍼센트의 듀티 사이클로 펄싱될 수 있다. 이론에 의해 구속되지 않지만, DC 바이어스는 에칭을 향상시키기 위해 플라즈마와 기판 사이에 전위차를 확립하는 것으로 여겨진다.
[0045] 일부 구현들에서, 플라즈마는 용량성 또는 유도성 수단에 의해 형성될 수 있고, 세정 가스 혼합물 내로 RF 전력을 커플링시킴으로써 에너자이징될 수 있다. RF 전력은, 고주파수 컴포넌트 및 저주파수 컴포넌트를 갖는 듀얼-주파수 RF 전력일 수 있다. RF 전력은 전형적으로, 대략 50W 내지 대략 2,500W의 전력 레벨로 인가되며, 이는 모두, 예컨대, 대략 13.56 MHz의 주파수의 고-주파수 RF 전력일 수 있거나, 또는 예컨대, 대략 300 kHz의 주파수의 저주파수 전력과 고-주파수 전력의 혼합일 수 있다.
[0046] 일부 구현들에서, 반응성 종이 엑스-시튜로 형성되는 경우, BCl3 함유 가스 혼합물은 기판-프로세싱 챔버와 유동적으로 커플링된 원격 플라즈마 소스 내로 유동된다. BCl3 함유 가스 혼합물은 BCl3 및 선택적으로 불활성 가스를 포함한다. 일부 구현들에서, 선택적인 불활성 가스는 캐리어 가스로서 기능할 수 있다. 일부 구현들에서, 선택적인 불활성 가스는 라디칼 종의 수명을 연장시킬 수 있고, 라디칼 종의 밀도를 증가시킬 수 있다. 일부 구현들에서, BCl3 함유 가스 혼합물은 원격 플라즈마 소스 내로 유동되고, 다른 프로세스 가스들은 챔버에 별개로 전달된다. 선택적인 불활성 가스는, 헬륨, 아르곤, 또는 이들의 조합들로 이루어진 그룹으로부터 선택될 수 있다.
[0047] 원격 플라즈마 소스는 유도 결합 플라즈마 소스일 수 있다. 원격 플라즈마 소스는 BCl3 함유 가스 혼합물을 수용하고, BCl3 함유 가스 혼합물에서 플라즈마를 형성하며, 이는, BCl3 함유 가스 혼합물의 해리를 야기하여 반응성 종을 형성한다. 반응성 종은 클로린 라디칼들을 포함할 수 있다. 원격 플라즈마 소스는, BCl3 함유 가스 혼합물의 고효율 해리를 제공한다.
[0048] 일부 구현들에서, 원격 플라즈마는, BCl3 함유 가스 혼합물을 원격 플라즈마 챔버 내로 도입하기 전에, 아르곤 또는 유사한 불활성 가스의 초기 유동으로 개시된다(initiated).
[0049] BCl3 함유 가스 혼합물은 대략 100 sccm 내지 대략 10,000 sccm의 유량으로 기판-프로세싱 챔버 내로 유동될 수 있다. 일부 구현들에서, BCl3 함유 가스 혼합물은 대략 500 sccm 내지 대략 4,000 sccm의 유량으로 기판-프로세싱 챔버 내로 유동된다. 일부 구현들에서, BCl3 함유 가스 혼합물은 대략 1,000 sccm의 유량으로 기판-프로세싱 챔버 내로 유동된다.
[0050] 기판-프로세싱 챔버 내의 압력은 대략 10 mTorr 내지 대략 300 Torr일 수 있다. 기판-프로세싱 챔버 내의 압력은 10 mTorr 내지 대략 5 Torr, 예컨대 대략 20 mTorr일 수 있다.
[0051] 일부 구현들에서, 원격 플라즈마는, BCl3을 원격 플라즈마 소스 내로 도입하기 전에, 아르곤 또는 유사한 불활성 가스의 초기 유동으로 개시된다. 그런 다음, BCl3이 원격 플라즈마 챔버 내로 도입됨에 따라, 아르곤의 유량은 감소된다. 예로서, 원격 플라즈마는 아르곤의 3,000 sccm의 유동으로 개시될 수 있으며, 아르곤의 유동은, BCl3이, 1,000 sccm의 초기 유량으로 그리고 그런 다음, 1,500 sccm의 유동으로 증가되면서 원격 플라즈마 챔버 내로 도입됨에 따라, 점차적으로 1,000 sccm으로 그리고 그런 다음, 500 sccm으로 감소된다.
[0052] 일부 구현들에서, 세정 프로세스는 실온에서 수행된다. 일부 구현들에서, 기판 지지 페디스털은, 대략 600℃ 이하, 예컨대, 대략 10℃ 내지 대략 200℃, 또는 대략 10℃ 내지 대략 50℃, 이를테면, 대략 10℃ 내지 30℃의 온도까지 가열된다. 온도를 제어하는 것은 코발트/코발트 함유 증착물들의 제거/에칭 레이트를 제어하는 데 사용될 수 있다. 제거 레이트는 챔버 온도가 증가함에 따라 증가할 수 있다.
[0053] BCl3 가스 혼합물로부터 형성된 반응성 종이 기판-프로세싱 챔버로 이송된다. 반응성 종은 클로린 라디칼들을 포함한다.
[0054] 동작(240)에서, 반응성 종은 지르코늄 옥사이드 함유 증착물들과 반응하여 가스상 상태의 휘발성 생성물을 형성한다. 휘발성 생성물은 지르코늄 테트라클로라이드(ZrCl4)를 포함한다. 일부 구현들에서, 잔류 ZrO2 함유 막의 제거 레이트는 알루미늄 챔버 컴포넌트들의 적어도 일부를 코팅하는 Al2O3의 제거 레이트보다 더 크다. 일부 구현들에서, 잔류 ZrO2 함유 막의 제거 레이트는 200 Å/min보다 더 크다(예컨대, 대략 220 Å/min 내지 대략 400 Å/min; 또는 대략 240 Å/min 내지 대략 300 Å/min). 일부 구현들에서, 휘발성 생성물을 형성하기 위해 잔류 ZrO2 함유 막을 반응성 종과 반응시키는 것은 바이어스-프리 프로세스(bias-free process)이다. 어떤 외부 DC 바이어스도 인가되지 않는 일부 구현들에서, Al2O3의 제거 레이트는 50 Å/minute 미만이다(예컨대, 대략 0 Å/min 내지 대략 50 Å/min; 대략 0 Å/min 내지 대략 10 Å/min, 또는 제로 Å/min).
[0055] 선택적으로, 동작(250)에서, 가스상 상태인 휘발성 생성물은 기판-프로세싱 챔버 밖으로 퍼지된다. 기판-프로세싱 챔버는, 퍼지 가스를 기판-프로세싱 챔버 내로 유동시키는 것에 의해 능동적으로 퍼지될 수 있다. 대안적으로, 또는 퍼지 가스를 도입하는 것에 부가하여, 기판-프로세싱 챔버는, 기판-프로세싱 챔버로부터 임의의 잔류 세정 가스뿐만 아니라 임의의 부산물들을 제거하기 위해, 감압될 수 있다. 기판-프로세싱 챔버는, 기판-프로세싱 챔버를 진공배기시킴으로써 퍼지될 수 있다. 퍼지 프로세스의 시간-기간은 일반적으로, 휘발성 생성물들을 기판-프로세싱 챔버로부터 제거하기에 충분히 길어야 한다. 퍼지 가스 유동의 시간-기간은 일반적으로, 챔버 컴포넌트들을 포함하는 챔버의 내부 표면들로부터 휘발성 생성물들을 제거하기에 충분히 길어야 한다.
[0056] 동작(260)에서, 동작(230), 동작(240), 및 동작(250) 중 적어도 하나는, 선택된 세정 종료점이 달성될 때까지 반복된다. 몇몇 사이클들의 세정이, 세정 사이클들 사이에서 수행되는 선택적인 퍼지 프로세스와 함께 적용될 수 있음이 이해되어야 한다.
[0057] 일부 구현들에서, 방법(200)은 Al2O3 함유 막을 기판-프로세싱 챔버로부터 제거하는 것을 더 포함한다. Al2O3은, 반응성 종을 형성하는 동안 그리고/또는 Al2O3 함유 막을 반응성 종과 반응시켜 제2 휘발성 생성물을 형성하는 동안, 외부 DC 바이어스를 인가함으로써 제거된다. 제2 휘발성 생성물은 기판-프로세싱 챔버로부터 제거될 수 있다.
[0058] 예들:
[0059] 본원에서 설명되는 구현들을 추가로 예시하기 위해, 다음의 비-제한적인 예들이 제공된다. 그러나, 그 예들은 완전히 포괄적인(all-inclusive) 것으로 의도되지 않고, 본원에서 설명되는 구현들의 범위를 제한하는 것으로 의도되지 않는다. 표 1은 본 개시내용의 일 구현들에 따라 수행된 세정 프로세스에 대한 결과들을 묘사한다. 표 1에 묘사된 바와 같이, BCl3을 이용하여 그리고 DC 바이어스 없이 수행되는 유도 결합 플라즈마 프로세스는, Al2O3에 비해, ZrO2, 알루미늄-도핑된 ZrO2 및 알루미늄에 대해 더 높은 제거 레이트를 갖는다. 표 1에 추가로 묘사된 바와 같이, DC 바이어스가 인가될 때, 프로세스는 또한 Al2O3을 제거한다.
Figure pct00001
[0060] 요약하면, 본 개시내용의 일부 이익들은, Al2O3을 에칭하지 않으면서 잔류 ZrO2 막들을 선택적으로 에칭하는 능력을 포함한다. 이러한 선택성은 알루미늄 챔버 컴포넌트들을 보호하는 데 사용될 수 있다. 알루미늄 챔버 컴포넌트들은 전형적으로, 플라즈마 세정 프로세스들 동안 에칭된다. 본 발명자들은, 챔버 내의 알루미늄 컴포넌트들을 보호하기 위해 Al2O3 양극산화처리를 사용하는 것은 알루미늄 컴포넌트들을 손상시키지 않으면서 잔류 ZrO2 막들의 우선적인 제거를 가능하게 하며, 이는 하드웨어 부분들의 신뢰성 및 수명을 보장한다는 것을 발견하였다. 인-시튜 세정 능력을 가능하게 하기 위해서는 선택성이 가장 중요하다. 따라서, 세정 동안, 잔류 막들은 세정제(예컨대, BCl3)에 의해 제거될 수 있지만, 챔버 내부의 알루미늄 측벽들 및 다른 알루미늄 하드웨어 컴포넌트들은 온전하게 유지된다. 위에서 언급된 바와 같이, 본 개시내용의 구현들은, 잔류 ZrO2 막들을 세정하기 위해 BCl3 플라즈마를 사용하는 것, 및 알루미늄 하드웨어 부분들을 보호하기 위해 챔버 내부의 알루미늄 하드웨어 부분들 상의 Al2O3 코팅을 사용하는 것을 포함한다. BCl3 플라즈마는 ZrO2 및 알루미늄을 효과적으로 에칭할 수 있지만, 어떤 추가의 DC 바이어스도 인가되지 않는 경우, Al2O3을 에칭하지 않는다. 따라서, Al2O3으로 코팅(이는 표면 양극산화처리에 의해 수행될 수 있음)되어 있는 한, 알루미늄은 하드웨어 부분들의 재료로서 사용될 수 있다. 외부 DC 바이어스가 인가될 때, BCl3 플라즈마는 또한 Al2O3을 에칭할 수 있다. 이러한 특징들은 BCl3 플라즈마를 ZrO2 증착 챔버들의 인-시튜 세정을 위한 이상적인 세정제로 만든다.
[0061] 본 개시내용의 엘리먼트들, 또는 그 엘리먼트들의 예시적인 양상들 또는 구현(들)을 도입하는 경우에, 단수 표현들, "그" 및 "상기"는 하나 이상의 그러한 엘리먼트들이 존재함을 의미하도록 의도된다.
[0062] "포함하는", "구비하는", 및 "갖는"이라는 용어들은 포괄적이도록 의도되고, 열거된 엘리먼트들 이외의 부가적인 엘리먼트들이 존재할 수 있음을 의미한다.
[0063] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 구현들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖는 상기 프로세싱 챔버 내로 반응성 종을 도입하는 단계 ― 상기 반응성 종은 BCl3으로부터 형성되고, 그리고 상기 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함함 ―;
    휘발성 생성물을 형성하기 위해 상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키는 단계; 및
    상기 휘발성 생성물을 상기 프로세싱 챔버로부터 제거하는 단계를 포함하며,
    상기 잔류 ZrO2 함유 막의 제거 레이트는 Al2O3의 제거 레이트보다 더 큰,
    프로세싱 챔버를 세정하기 위한 방법.
  2. 제1 항에 있어서,
    상기 Al2O3의 제거 레이트는 제로 Å/minute인,
    프로세싱 챔버를 세정하기 위한 방법.
  3. 제1 항에 있어서,
    상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키기에 그리고 휘발성 생성물을 형성하기에 충분한 하나 이상의 에너지 소스들에 상기 반응성 종을 노출시키는 단계를 더 포함하는,
    프로세싱 챔버를 세정하기 위한 방법.
  4. 제3 항에 있어서,
    상기 하나 이상의 에너지 소스들은 용량-결합 플라즈마 소스, 유도-결합 플라즈마 소스, 및 마이크로파 플라즈마 소스로부터 선택되는,
    프로세싱 챔버를 세정하기 위한 방법.
  5. 제1 항에 있어서,
    휘발성 생성물을 형성하기 위해 상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키는 단계의 압력은 적어도 대략 10 mTorr 내지 대략 5 Torr인,
    프로세싱 챔버를 세정하기 위한 방법.
  6. 제1 항에 있어서,
    상기 프로세싱 챔버는 플라즈마 강화 화학 기상 증착(PECVD; plasma-enhanced chemical vapor deposition) 챔버, 원자 층 증착(ALD; atomic layer deposition) 챔버, 및 물리 기상 증착(PVD; physical vapor deposition) 챔버인,
    프로세싱 챔버를 세정하기 위한 방법.
  7. 제1 항에 있어서,
    휘발성 생성물을 형성하기 위해 상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키는 단계는 바이어스-프리 프로세스(bias-free process)인,
    프로세싱 챔버를 세정하기 위한 방법.
  8. 제1 항에 있어서,
    휘발성 생성물을 형성하기 위해 상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키는 단계 동안 어떤 외부 DC 바이어스도 인가되지 않는,
    프로세싱 챔버를 세정하기 위한 방법.
  9. 제8 항에 있어서,
    외부 DC 바이어스를 인가하면서, 제2 휘발성 생성물을 형성하기 위해 상기 Al2O3 함유 막을 상기 반응성 종과 반응시키는 단계; 및
    상기 제2 휘발성 생성물을 상기 프로세싱 챔버로부터 제거하는 단계를 더 포함하는,
    프로세싱 챔버를 세정하기 위한 방법.
  10. 프로세싱 챔버의 하나 이상의 내부 표면들 및 기판-프로세싱 챔버 내에 배치된 기판 상에 ZrO2 함유 막을 증착하는 단계;
    상기 기판을 상기 기판-프로세싱 챔버 밖으로 이송하는 단계;
    상기 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖는 상기 프로세싱 챔버 내로 반응성 종을 도입하는 단계 ― 상기 반응성 종은 BCl3으로부터 형성되고, 그리고 상기 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함함 ―;
    휘발성 생성물을 형성하기 위해 상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키는 단계; 및
    상기 휘발성 생성물을 상기 프로세싱 챔버로부터 제거하는 단계를 포함하며,
    상기 잔류 ZrO2 함유 막의 제거 레이트는 Al2O3의 제거 레이트보다 더 큰,
    프로세싱 챔버를 세정하기 위한 방법.
  11. 제10 항에 있어서,
    상기 Al2O3의 제거 레이트는 제로 Å/minute인,
    프로세싱 챔버를 세정하기 위한 방법.
  12. 제10 항에 있어서,
    상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키기에 그리고 휘발성 생성물을 형성하기에 충분한 하나 이상의 에너지 소스들에 상기 반응성 종을 노출시키는 단계를 더 포함하는,
    프로세싱 챔버를 세정하기 위한 방법.
  13. 제12 항에 있어서,
    상기 하나 이상의 에너지 소스들은 용량-결합 플라즈마 소스, 유도-결합 플라즈마 소스, 및 마이크로파 플라즈마 소스로부터 선택되는,
    프로세싱 챔버를 세정하기 위한 방법.
  14. 제10 항에 있어서,
    휘발성 생성물을 형성하기 위해 상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키는 단계는 바이어스-프리 프로세스인,
    프로세싱 챔버를 세정하기 위한 방법.
  15. 제10 항에 있어서,
    휘발성 생성물을 형성하기 위해 상기 잔류 ZrO2 함유 막을 상기 반응성 종과 반응시키는 단계 동안 어떤 외부 DC 바이어스도 인가되지 않는,
    프로세싱 챔버를 세정하기 위한 방법.
KR1020197038831A 2017-06-05 2018-04-30 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적인 인-시튜 세정 KR20200001631A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/613,862 2017-06-05
US15/613,862 US20180350571A1 (en) 2017-06-05 2017-06-05 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
PCT/US2018/030213 WO2018226323A1 (en) 2017-06-05 2018-04-30 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor

Publications (1)

Publication Number Publication Date
KR20200001631A true KR20200001631A (ko) 2020-01-06

Family

ID=64460324

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197038831A KR20200001631A (ko) 2017-06-05 2018-04-30 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적인 인-시튜 세정

Country Status (4)

Country Link
US (1) US20180350571A1 (ko)
KR (1) KR20200001631A (ko)
CN (1) CN110785829A (ko)
WO (1) WO2018226323A1 (ko)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
KR20040074681A (ko) * 2003-02-18 2004-08-26 삼성전자주식회사 인시츄 챔버 클리닝 방법 및 이를 이용한 반도체 웨이퍼처리 장치
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
JP4836112B2 (ja) * 2004-12-24 2011-12-14 国立大学法人京都大学 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法
JP4796464B2 (ja) * 2005-11-17 2011-10-19 株式会社神戸製鋼所 耐食性に優れたアルミニウム合金部材
US9520303B2 (en) * 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch

Also Published As

Publication number Publication date
CN110785829A (zh) 2020-02-11
US20180350571A1 (en) 2018-12-06
WO2018226323A1 (en) 2018-12-13

Similar Documents

Publication Publication Date Title
TWI455204B (zh) 基板處理用之邊緣環裝置
JP5554705B2 (ja) 基材処理のための方法および装置
KR101895437B1 (ko) 플라즈마 에칭 방법
KR101336479B1 (ko) 플라즈마 프로세싱 챔버의 선택적 프리-코트를 위한 방법 및 장치
US8513563B2 (en) Plasma processing apparatus and plasma processing method
EP1973140A2 (en) Plasma species and uniformity control through pulsed VHF operation
EP1840937A1 (en) Plasma processing apparatus and plasma processing method
US20180345330A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
KR20090129417A (ko) 유전체 커버를 갖는 에지 전극
CN107622945B (zh) 等离子体蚀刻方法、等离子体蚀刻装置和基板载置台
US20180347037A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US8181597B2 (en) Plasma generating apparatus having antenna with impedance controller
TWI811421B (zh) 用於處理腔室的塗層材料
JPWO2002058125A1 (ja) プラズマ処理装置およびプラズマ処理方法
US11427906B2 (en) Chamber cleaning device and chamber cleaning method
CN109801827B (zh) 等离子体处理装置
CN107731681B (zh) 等离子体蚀刻方法和等离子体蚀刻系统
JP6727338B2 (ja) 非シャドウフレーム式プラズマ処理チャンバ
CN114008755A (zh) 接地带组件
KR20200001631A (ko) 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적인 인-시튜 세정
CN111066121B (zh) 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜
US20070221332A1 (en) Plasma processing apparatus
KR100672696B1 (ko) 플라즈마를 이용한 반도체 소자의 세정장치 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application