KR20190090062A - 일체형 열 초크를 사용한 고온 rf 접속 - Google Patents

일체형 열 초크를 사용한 고온 rf 접속 Download PDF

Info

Publication number
KR20190090062A
KR20190090062A KR1020197021618A KR20197021618A KR20190090062A KR 20190090062 A KR20190090062 A KR 20190090062A KR 1020197021618 A KR1020197021618 A KR 1020197021618A KR 20197021618 A KR20197021618 A KR 20197021618A KR 20190090062 A KR20190090062 A KR 20190090062A
Authority
KR
South Korea
Prior art keywords
connector
rod
thermal choke
threaded
tubular segment
Prior art date
Application number
KR1020197021618A
Other languages
English (en)
Other versions
KR102059782B1 (ko
Inventor
티모시 에스. 토마스
빈스 버캇
조엘 홀링스워스
데이비드 프렌치
데미안 슬레븐
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020197037514A priority Critical patent/KR102469534B1/ko
Publication of KR20190090062A publication Critical patent/KR20190090062A/ko
Application granted granted Critical
Publication of KR102059782B1 publication Critical patent/KR102059782B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

RF 소스를 플라즈마 프로세싱 시스템의 기판 지지부에 연결하는 열 초크 로드는 기판 지지부에 커플링된 RF 로드에 연결하기 위한 제 1 커넥터 및 RF 소스에 커플링하는 RF 스트랩에 연결하기 위한 제 2 커넥터를 갖는 튜브형 부재를 포함한다. 튜브형 세그먼트가 제 1 커넥터와 제 2 커넥터 사이에서 연장한다. 제 1 커넥터는 튜브형 세그먼트를 향한 방향에서 내측 표면으로부터 외측 표면으로 테이퍼되는 원뿔 형상 단부 영역, 및 제 1 커넥터의 단자 단부로부터 미리 규정된 거리로 연장하는 슬릿들을 갖는다. 튜브형 세그먼트의 외측 표면은 제 1 커넥터와 원뿔 형상 단부 영역과 콘택트할 때 제 1 커넥터 위에 피팅하고 제 1 커넥터의 내경을 감소시키는 환형 캡과 쓰레드된 인게이지하기 위한 쓰레드된 영역을 갖는다.

Description

일체형 열 초크를 사용한 고온 RF 접속
차세대 PECVD (plasma enhanced chemical vapor deposition) 프로세스들은 상승된 고 주파수 전력을 필요로 한다. 이러한 상승된 전력 요건은 기존의 전기 커넥터들 및 도전체들의 제한들을 초과한다. 높은 환경 온도들 및 도전체들 및 접속부들을 통해 이동하는 전류로부터의 열이 일반적으로 사용된 컴포넌트들의 능력을 초과하는 온도 조건들을 생성하는데 원인이 된다.
일부 현재 PECVD 프로세스들을 위한 전기적 접속부들은 스크루 쓰레드들 (screw threads) 및 프리로딩 스프링 워셔들 (preloaded spring washers) 을 사용한다. 쓰레드된 전기적 접속부들의 일 한계는 쓰레드들이 불량하고, 비-반복성 (non-repeatable) 전기적 콘택트 구역을 제공한다는 것이다. 이는 접속부의 보다 큰 전기 저항성 열을 유발한다. 쓰레드된 전기적 접속부들의 또 다른 한계는 RF 페데스탈 로드 (rod) 의 쓰레드들이 매우 부서지기 쉽고 (fragile), 그렇지 않으면 쓰레드들이 손상되어, 약한 토크 (torque) 만으로 조여질 수 있다는 것이다. 이러한 약한 토크는 고전력 전기적 접속을 위해 필요한 전기적 콘택트를 제공할 수 없다.
다른 상업적으로 입수가능한 전기적 커넥터들은 전기적 콘택트를 만들기 위해 스프링 힘에 의존한다. 이들 커넥터들은 최대 약 150 내지 200 ℃의 온도들에서 사용될 수 있다. 상승된 고주파수 전력을 필요로 하는 PECVD 프로세스들에서, 전기적 커넥터가 기능해야만 하는 분위기는 이러한 프로세스들에서 세라믹 페데스탈이 통상적으로 사용되기 때문에 300 내지 350 ℃의 범위일 수 있다.
이러한 맥락에서 본 실시예들이 발생하였다.
예시적인 실시예에서, RF (radio frequency) 소스를 플라즈마 프로세싱 시스템의 기판 지지부에 연결하는데 사용하기 위한 열 초크 로드 (thermal choke rod) 는 기판 지지부에 커플링된 RF 로드에 연결하기 위한 제 1 커넥터 및 RF 소스에 커플링하는 RF 스트랩을 연결하기 위한 제 2 커넥터를 갖는 튜브형 부재를 포함한다. 내경을 갖는 튜브형 세그먼트가 제 1 커넥터와 제 2 커넥터 사이에서 연장한다. 제 1 커넥터는 튜브형 세그먼트의 내측 표면의 연속인 내측 표면을 갖고, 제 1 커넥터는 튜브형 세그먼트를 향한 방향에서 제 1 커넥터의 내측 표면으로부터 제 1 커넥터의 외측 표면으로 테이퍼되는 원뿔 형상 단부 영역을 갖는다. 제 1 커넥터는 제 1 커넥터의 단자 단부로부터 미리 규정된 거리를 따라 제 1 커넥터의 벽 두께를 통해 형성된 복수의 슬릿들을 갖는다. 튜브형 세그먼트의 외측 표면은 제 1 커넥터의 원뿔 형상 단부 영역과 콘택트할 때 제 1 커넥터 위에 피팅하고 (fit) 제 1 커넥터의 내경을 감소시키도록 구성되는 환형 캡과 쓰레드된 인게이지 (threaded engagement) 하기 위해 제 1 커넥터에 근접한 쓰레드된 영역을 갖는다.
일 실시예에서, 환형 캡은 제 1 커넥터에 근접한 쓰레드된 영역과 쓰레드된 인게이지하기 위해 내측 쓰레드된 영역, 및 제 1 커넥터의 원뿔 형상 단부 영역과 정합하도록 (mate) 구성된 내측 테이퍼된 벽을 갖는다. 일 실시예에서, 제 2 커넥터는 내측 쓰레드된 영역을 갖고, 그리고 열 초크 로드는 RF 스트랩을 열 초크 로드의 제 2 커넥터에 연결하도록 구성되는 쓰레드된 기계적 패스너 (fastener) 를 더 포함한다. 일 실시예에서, 쓰레드된 기계적 패스너는 볼트이거나 머신 스크루 (machine screw) 이다.
일 실시예에서, 열 초크 로드는 저 열전도도를 갖는 모재 (base material) 로 형성되고, 모재는 고도의 전기 전도성 재료로 도금된다. 일 실시예에서, 저 열전도도를 갖는 모재는 스테인리스 스틸 또는 니켈-크롬 기반 초합금 (nickel-chromium-based superalloy) 을 포함하고, 모재 상에 도금된 고도의 전기 전도성 재료는 금을 포함한다.
다른 예시적인 실시예에서, 플라즈마 프로세싱 시스템이 제공된다. 플라즈마 프로세싱 시스템은 플라즈마 프로세싱 챔버에 연결된 제 1 단부 및 RF 소스로부터 RF 신호들을 수신하기 위한 제 2 단부를 갖는 RF 입력 로드를 포함한다. 시스템은 또한 제 1 커넥터, 제 2 커넥터, 및 제 1 커넥터와 제 2 커넥터 사이에서 연장하는 튜브형 세그먼트를 갖는 열 초크 로드를 포함한다. 제 1 커넥터는 튜브형 세그먼트의 내측 표면의 연속인 내측 표면을 갖는다. 또한 제 1 커넥터는 튜브형 세그먼트를 향한 방향에서 제 1 커넥터의 내측 표면으로부터 제 1 커넥터의 외측 표면으로 테이퍼되는 원뿔 형상 단부 영역을 갖는다. 제 1 커넥터는 또한 제 1 커넥터의 단자 단부로부터 미리 규정된 거리를 따라 제 1 커넥터의 벽 두께를 통해 형성된 복수의 슬릿들을 갖는다. 튜브형 세그먼트의 외측 표면은 제 1 커넥터에 근접한 쓰레드된 영역을 갖는다. 제 1 커넥터는 RF 입력 로드의 제 2 단부를 수용하도록 구성되고, 제 2 커넥터는 RF 스트랩에 연결되도록 구성된다. 환형 캡이 제 1 커넥터의 원뿔 형상 단부 영역과 콘택트할 때 제 1 커넥터 위에 피팅하고 제 1 커넥터의 내경을 감소시키도록 구성된다. 시스템은 또한 RF 스트랩에 커플링된 RF 소스를 포함한다.
일 실시예에서, 플라즈마 프로세싱 챔버는 프로세싱 영역을 포함하고, 그리고 플라즈마 프로세싱 시스템은 챔버 내에서 프로세싱 영역 아래에 배치된 기판 지지부를 더 포함한다. 이 실시예에서, RF 입력 로드는 기판 지지부에 커플링된다.
일 실시예에서, 환형 캡은 제 1 커넥터에 근접한 쓰레드된 영역과 쓰레드된 인게이지하기 위해 내측 쓰레드된 영역, 및 제 1 커넥터의 원뿔 형상 단부 영역과 정합하도록 구성되는 내측 테이퍼된 벽을 갖는다. 일 실시예에서, 제 2 커넥터는 내측 쓰레드된 영역을 갖고, 열 초크 로드는 RF 스트랩을 열 초크 로드의 제 2 커넥터에 연결하도록 구성되는 쓰레드된 기계적 패스너를 더 포함한다. 일 실시예에서, 쓰레드된 기계적 패스너는 볼트이거나 머신 스크루이다.
일 실시예에서, 열 초크 로드는 저 열전도도를 갖는 모재로 형성되고, 모재는 고도의 전기 전도성 재료로 도금된다. 일 실시예에서, 저 열전도도를 갖는 모재는 스테인리스 스틸 또는 니켈-크롬 기반 초합금을 포함하고, 모재 상에 도금된 고도의 전기 전도성 재료는 금을 포함한다.
또 다른 예시적인 실시예에서, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법이 제공된다. 방법은 제 1 커넥터, 제 2 커넥터, 및 제 1 커넥터와 제 2 커넥터 사이에서 연장하는 튜브형 세그먼트를 갖는 열 초크 로드를 제공하는 단계로서, 제 1 커넥터는 튜브형 세그먼트의 내측 표면의 연속인 내측 표면을 갖는, 열 초크 로드를 제공하는 단계를 포함한다. 방법은 또한 RF 입력 로드의 제 1 단부 부분을 열 초크 로드의 제 1 커넥터 내로 삽입하고 RF 입력 로드의 제 1 단부 부분을 열 초크 로드 내 미리 규정된 위치에 포지셔닝하는 단계를 포함하고, RF 입력 로드는 플라즈마 프로세싱 챔버에 커플링된 제 2 단부 부분을 갖는다. 또한, 방법은 RF 입력 로드에 기계적으로 고정되도록, 제 1 커넥터의 내경을 감소시키고 제 1 커넥터의 내측 표면으로 하여금 RF 입력 로드의 외측 표면에 콘택트하고 가압되게 하도록 제 1 커넥터를 압축하는 단계를 포함한다. 방법은 또한 RF 스트랩을 열 초크 로드의 제 2 커넥터에 부착하는 단계를 포함하고, RF 스트랩은 RF 소스에 커플링된다.
일 실시예에서, 열 초크 로드를 제공하는 단계는 저 열전도도를 갖는 모재를 포함하는 열 초크 로드를 제공하는 것을 포함하고, 그리고 모재는 고도의 전기 전도성 재료로 도금된다. 일 실시예에서, 저 열전도도를 갖는 모재는 스테인리스 스틸 또는 니켈-크롬 기반 초합금을 포함하고, 모재 상에 도금된 고도의 전기 전도성 재료는 금을 포함한다.
일 실시예에서, 제 1 커넥터의 내경을 감소시키도록 제 1 커넥터를 압축하는 단계는 제 1 커넥터의 단부 영역에 제공된 테이퍼된 표면과 제 1 커넥터의 단부 영역에 제공된 테이퍼된 표면과 정합하도록 구성되는 테이퍼된 표면을 콘택팅시키는 것을 포함한다. 일 실시예에서, 제 1 커넥터의 단부 영역에 제공된 테이퍼된 표면과 정합하도록 구성되는 테이퍼된 표면은 제 1 커넥터 위에 피팅하도록 구성된 환형 캡의 내측 표면이다.
일 실시예에서, RF 입력 로드의 제 1 단부 부분이 포지셔닝되는 열 초크 로드 내 미리 규정된 위치는 제 1 커넥터 및 튜브형 세그먼트의 일부를 포함한다. 일 실시예에서, RF 스트랩을 열 초크 로드의 제 2 커넥터에 부착하는 단계는 열 초크 로드의 제 2 커넥터에 기계적 패스너를 부착하는 것을 포함한다.
본 명세서의 개시들의 다른 양태들 및 장점들은 본 개시들의 원리들을 예로서 예시하는, 첨부된 도면들과 함께 취해진 이하의 상세한 기술로부터 자명해질 것이다.
도 1은 일 실시예에 따른, 증착 동작들에 사용된 플라즈마 프로세싱 시스템을 도시하는 간략화된 개략적 단면도이다.
도 2는 일 실시예에 따른, 열 초크 로드가 RF 입력 로드에 연결되는 프로세스의 단면도이다.
도 3a 및 도 3b는 일 실시예에 따른, 열 초크 로드를 RF 입력 로드에 연결하는 프로세스를 예시하는 확대된 단면도들이다.
도 4a는 일 실시예에 따른, 열 초크 로드의 부분적인 사시도이다.
도 4b는 또 다른 실시예에 다른, 열 초크 로드의 부분적인 사시도이다.
도 5는 일 실시예에 따른, 열 초크 로드에 연결된 RF 입력 로드를 예시하는 절단 사시도이다.
도 6은 예시적인 실시예에 따른, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 동작들이 수행된 방법을 예시하는 흐름도이다.
이하의 기술에서, 다수의 구체적인 상세들이 예시적인 실시예들의 완전한 이해를 제공하도록 진술된다. 그러나, 이들 구체적인 상세들 중 일부가 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 프로세스 동작들 및 구현예 상세들은 이미 공지되었다면, 상세히 기술되지 않았다.
본 발명의 실시예들은 RF 입력 로드를 RF 소스에 전기적으로 접속하기 위해 강한 클램핑 힘을 제공하는 콜릿 (collet) 접속부를 갖는 열 초크 로드를 제공한단. 열 초크 로드는 또한 페데스탈에 의해 생성된 높은 열 및 업스트림에 위치된 센서티브 전기적 컴포넌트들로부터의 전류 저항성 열을 격리하도록 기능한다. 이 열적 기능성은 저 열전도도 및 고온을 견디는 능력을 갖는 모재로부터 열 초크 로드를 형성함으로써 달성된다. 전력을 전도하기 위해 필요한 전기 전도도를 갖는 열 초크 로드를 제공하기 위해, 모재는 일 실시예에서, 모재 상에 도금되는, 고도의 전기 전도성 재료와 함께 사용된다.
도 1은 일 실시예에 따른, 증착 동작들을 위해 사용된 플라즈마 프로세싱 시스템을 도시하는 간략화된 개략적인 단면도이다. 플라즈마 프로세싱 시스템 (100) 은 이를 통해 프로세스 가스들이 흐를 수 있는 복수의 개구부들 (104a) 을 갖는 가스 분배 샤워헤드 (104) 및 기판 지지부 (106) 가 내부에 배치되는 챔버 (102) 를 포함한다. 챔버 (102) 는 샤워헤드 (104) 와 기판 지지부 (106) 사이에 위치되는 프로세싱 영역 (108) 을 갖는다. PECVD (plasma enhanced chemical vapor deposition) 프로세스를 위해, 기판 지지부 (106) 는 증착 동안 기판, 예를 들어, 웨이퍼를 지지하기 위한 페데스탈일 수 있다. 기판을 가열하기 위해 히터들 (110) 이 기판 지지부 (106) 내에 제공되고, 히터 각각은 AC (alternating current) 생성기에 커플링된다. RF 입력 로드 (114) 의 일 단부 부분이 기판 지지부, 예를 들어, 페데스탈의 일부를 형성하는 전극으로 RF 전력을 제공하도록 기판 지지부 (106) 에 커플링된다. RF 입력 로드 (114) 의 다른 단부 부분은 환형 캡 (116a) 을 포함하는, 열 초크 로드 (116) 에 연결된다. 열 초크 로드에 관한 부가적인 상세들은 도 2, 도 3a 및 도 3b, 도 4a 및 도 4b, 및 도 5를 참조하여 이하에 기술된다.
RF 스트랩 (118) 이 또한 열 초크 로드 (116) 에 연결된다. RF 스트랩 (118) 은 적합한 와이어 커넥터를 통해 임피던스 매칭 네트워크 (120) 에 커플링된다. 하나 이상의 RF 생성기들을 포함하는, RF (Radio frequency) 생성기 시스템 (122) 은 적합한 와이어 커넥터를 통해 임피던스 매칭 네트워크 (120) 에 커플링된다. 동작시, RF 생성기 시스템 (122) 은 임피던스 매칭 네트워크 (120) 의 입력부로 송신되는 RF 신호를 생성한다. 임피던스 매칭 네트워크 (120) 는 매칭 네트워크의 출력부에 커플링된 부하의 임피던스를 매칭 네트워크의 입력부에 커플링된 소스의 임피던스와 매칭시키고 수정된 RF 신호를 생성한다. 수정된 RF 신호는 임피던스 매칭 네트워크 (120) 로부터 열 초크 로드 (116) 로 와이어 커넥터 및 RF 스트랩 (118) 을 통해 송신된다. 이어서 수정된 RF 신호 (RF 전류) 는 보통 열 초크 로드 (116) 의 외측 표면을 따라 전도되고, 도 2, 도 3a 및 도 3b를 참조하여 이하에 보다 상세히 설명될 바와 같이, 열 초크 로드가 RF 입력 로드와 콘택트하는, 생성된 전기적 접속을 통해 RF 입력 로드 (114) 로 송신된다.
도 2는 일 실시예에 따른, RF 입력 로드에 연결되는 프로세스의 열 초크 로드의 단면도이다. 도 2에 도시된 바와 같이, 열 초크 로드 (116) 는 제 1 커넥터 (116-1), 제 2 커넥터 (116-2), 및 제 1 커넥터와 제 2 커넥터 사이에서 연장하는 튜브형 세그먼트 (116x) 를 포함한다. 튜브형 세그먼트 (116x) 는 내경을 갖는다. 일 실시예에서, 내경은 약 0.125" 내지 약 0.156"의 범위 내이다. 본 명세서에 사용된 바와 같이, 용어들 "약" 및 "대략"은 명시된 파라미터가 예를 들어, ± 20 %의 합당한 허용오차 내에서 가변할 수 있다는 것을 의미한다. 제 1 커넥터 (116-1) 의 내측 표면은 튜브형 세그먼트 (116x) 의 내측 표면의 연속이다. 일 실시예에서, 제 1 커넥터 (116-1) 의 내경은 튜브형 세그먼트 (116x) 의 내경보다 작다. 이는 인터페이스 존에서 엄격한 허용오차, 국부적으로-머시닝된 구역을 가능하게 하고, 또한 이하에 보다 상세히 기술된 바와 같이, 열 초크 로드가 RF 입력 로드 상으로 완전히 슬라이딩 (slid) 될 때를 나타내는 단계를 제공한다. 제 1 커넥터 (116-1) 의 벽 두께는 튜브형 세그먼트 (116x) 의 벽 두께에 상대적으로 감소된다. 일 실시예에서, 튜브형 세그먼트 (116x) 의 벽 두께는 대략 0.250"이고 제 1 커넥터 (116-1) 의 벽 두께는 대략 0.205"이다. 이하에 보다 상세히 기술될 바와 같이, 감소된 제 1 커넥터 (116-1) 의 벽 두께는 압축력의 인가에 의해 제 1 커넥터의 내경으로 하여금 감소되게 한다.
제 1 커넥터의 단부 영역 (116-1) 은 튜브형 세그먼트 (116x) 를 향한 방향에서 제 1 커넥터의 내측 표면으로부터 제 1 커넥터의 외측 표면으로 테이퍼되는 원뿔 형상을 갖는다. 일 실시예에서, 원뿔 형상 단부 영역의 표면 (116-1z) 은 수평 기준 라인에 상대적으로 약 10 ° 내지 약 30 ° 의 각도로 배치된다. 환형 캡 (116a) 과의 쓰레드된 인게이지를 인에이블하도록, 튜브형 세그먼트 (116x) 의 외측 표면은 제 1 커넥터 (116-1) 에 근접하거나 근방에 쓰레드된 영역 (116x-1) 을 구비한다. 도 2의 예에서, 쓰레드된 영역 (116x-1) 은 제 1 커넥터 (116-1) 에 인접하다. 다른 실시예들에서, 쓰레드된 영역 (116x-1) 은 미리 규정된 거리만큼 제 1 커넥터 (116-1) 로부터 분리될 수 있다.
환형 캡 (116a) 은 제 1 커넥터 (116-1) 위에 피팅하고 제 1 커넥터와 RF 입력 로드 (114) 사이에 확실한 접속부를 생성하도록 커넥터의 내경을 감소시키도록 구성된다. 환형 캡 (116a) 은 튜브형 세그먼트 (116x) 의 외측 표면 상의 쓰레드된 영역 (116x-1) 과의 쓰레드된 인게이지를 위한 내측 쓰레드된 영역 (116a-1) 을 갖는다. 환형 캡 (116a) 은 또한 제 1 커넥터 (116-1) 의 원뿔 형상 단부 영역의 표면 (116-1z) 과 정합하도록 구성되는 내측 테이퍼된 벽 (116a-w) 을 갖는다. 일 실시예에서, 내측 테이퍼된 벽 (116a-w) 은 수평 기준 라인에 상대적으로 약 10 ° 내지 약 30 °의 각도로 배치된다.
RF 입력 로드 (114) 를 열 초크 로드 (116) 에 연결하기 위해, RF 입력 로드는 환형 캡이 열 초크 로드로부터 분리되거나 제 1 커넥터 (116-1) 위에 느슨하게 쓰레드되는 동안 환형 캡 (116a) 의 중앙 개구부를 통해 삽입된다. 이어서 RF 입력 로드 (114) 의 단부 부분은 제 1 커넥터 (116-1) 내로 삽입되고 열 초크 로드 내 미리 규정된 위치에 포지셔닝된다. 도 2에 도시된 예에서, RF 입력 로드 (114) 의 단부 부분의 미리 규정된 위치는 제 1 커넥터 (116-1) 및 튜브형 세그먼트 (116x) 의 일부를 포함한다. 일 실시예에서, RF 입력 로드 (114) 의 단부 부분의 외경에 단차부 (step) (114a) 및 튜브형 세그먼트 (116x) 의 내경에 단차부 (116x-2) 가 있다. 이 실시예에서, 단차부 (116x-2) 는 RF 입력 로드 (114) 의 삽입 깊이에 대한 위치 정지부로서 작용한다. 열 초크 로드 내 미리 규정된 위치 (116) 에 배치된 RF 입력 로드 (114) 를 사용하여, 환형 캡 (116a) 은 튜브형 세그먼트 (116x) 의 외측 표면 상의 쓰레드된 영역 (116x-1) 상으로 쓰레드된다. 환형 캡 (116a) 이 최초 인게이지 포지션으로부터 완전히 인게이지된 포지션으로 이동함에 따라, 환형 캡의 내측 테이퍼된 벽 (116a-w) 은 제 1 커넥터 (116-1) 의 원뿔 형상 단부 영역의 표면 (116-1z) 과 콘택트한다. 이 콘택트는 제 1 커넥터의 내경을 감소시키는, 제 1 커넥터 (116-1) 상에 가해질 압축력을 유발한다. 제 1 커넥터 (116-1) 의 내경이 감소됨에 따라, 제 1 커넥터를 RF 입력 로드에 기계적으로 고정하기 위해 제 1 커넥터의 내측 표면의 일부가 RF 입력 로드 (114) 의 외측 표면에 콘택트하고 가압되게 된다. RF 입력 로드를 열 초크 로드에 연결하는 프로세스에 관한 부가적인 상세들은 도 3a, 도 3b, 도 5 및 도 6을 참조하여 이하에 기술된다.
도 2를 계속해서 참조하면, 제 2 커넥터 (116-2) 는 쓰레드된 기계적 패스너 (116b) 를 사용하여 쓰레드된 인게이지하기 위해 내측 쓰레드된 영역 (116-2y) 을 갖는다. 쓰레드된 기계적 패스너 (116b) 는 예를 들어, 볼트 또는 머신 스크루와 같은 임의의 적합한 패스너일 수 있다. RF 스트랩 (118) 은 RF 스트랩의 개구부를 통해 쓰레드된 기계적 패스너 (116b) 를 삽입하고 이어서 RF 스트랩을 제 2 커넥터에 단단히 부착하기 위해 쓰레드된 영역 (116-2y) 의 완전히 인게이지된 포지션 내로 패스너를 쓰레드함으로써 열 초크 로드 (116) 의 제 2 커넥터 (116-2) 에 부착될 수 있다.
도 3a 및 도 3b는 일 실시예에 따른, 열 초크 로드를 RF 입력 로드에 연결하는 프로세스를 예시하는 확대된 단면도들이다. 도 3a는 환형 캡이 완전히 인게이지된 포지션에 도달하기 전에, 제 1 커넥터 (116-1), 환형 캡 (116a), 및 RF 입력 로드 (114) 의 상대적인 포지션들을 도시한다. 도 3a에 도시된 바와 같이, 환형 캡 (116a) 의 내측 테이퍼된 벽 (116a-w) 은 여전히 제 1 커넥터 (116-1) 의 원뿔 형상 단부 영역의 표면 (116-1z) 를 콘택트해야 한다. 이 상태에서, RF 입력 로드 (114) 의 외측 표면과 제 1 커넥터 (116-1) 의 내측 표면 사이에 갭 G1이 있다. 도 3b는 일단 환형 캡이 완전히 인게이지된 포지션에 도달하면, 제 1 커넥터 (116-1), 환형 캡 (116a), 및 RF 입력 로드 (114) 의 상대적인 포지션들을 도시한다. 도 3b에 도시된 바와 같이, 환형 캡을 완전히 인게이지된 포지션이 되게 하도록 환형 캡 (116a) 은 도 3a에 도시된 환형 캡의 포지션에 상대적으로 제 1 커넥터 (116-1) 을 향한 방향으로 (즉, 페이지 상에서 오른쪽으로부터 왼쪽으로) 거리, X만큼 이동되었다. 완전히 인게이지된 포지션에서, 내측 테이퍼된 벽 (116a-w) 은 제 1 커넥터 (116-1) 의 원뿔 형상 단부 영역의 표면 (116-1z) 과 콘택트하고 제 1 커넥터에 압축력을 가한다. 이 압축력은 제 1 커넥터 (116-1) 의 내경을 감소시키고 제 1 커넥터의 내측 표면의 일부로 하여금 RF 입력 로드 (114) 의 외측 표면에 콘택트하고 가압하게 한다. 도 3b에 도시된 실시예에서, 제 1 커넥터 (116-1) 의 내측 표면 및 RF 입력 로드 (114) 의 외측 표면이 콘택트내로 가압되는 영역은 CR1로 라벨링되고, 콘택트 영역 CR1 에서 제 1 커넥터의 내측 표면과 RF 입력 로드의 외측 표면 사이에 갭이 없다. 이와 같이, 도 3b에 나타낸 바와 같이, G2 는 0과 같다.
제 1 커넥터 (116-1) 의 내측 표면 및 RF 입력 로드 (114) 의 외측 표면이 콘택트 내로 가압되는 콘택트 영역의 길이는 제 1 커넥터의 원뿔 형상 단부 영역의 사이즈 및 형상을 변화시키고 환형 캡 (116a) 의 내측 테이퍼된 벽 (116a-w) 의 각도에 대응하는 변화들을 만듦으로써 가변될 수 있다. 따라서, 다른 실시예들에서, 콘택트 영역의 길이는 도 3b에 도시된 콘택트 영역 CR1 의 길이보다 길 수 있다. 예를 들어, 도 3b에 도시된 콘택트 영역 CR2은 제 1 커넥터 (116-1) 의 원뿔 형상 단부 영역을 넘어 연장한다.
도 4a는 실시예에 따른, 열 초크 로드의 부분적인 사시도이다. 도 4a에 도시된 열 초크 로드 (116) 의 일 부분은 튜브형 세그먼트 (116x) 및 제 1 커넥터 (116-1) 를 포함한다. 예시의 편의성을 위해, 튜브형 세그먼트 (116x) 의 외측 표면 상의 쓰레드된 부분 (116x-1) 이 도 4a로부터 생략되었다. 도 4a의 실시예에서, 복수의 슬릿들 (140) 은 축방향 핑거들 (116-11, 116-12, 116-13, 및 116-14) 을 규정하도록 제 1 커넥터 (116-1) 내에 형성된다. 슬릿들 (140) 은 제 1 커넥터 (116-1) 의 길이에 대해 연장하지만, 도 4b를 참조하여 이하에 기술될 바와 같이, 슬릿 길이는 가변할 수 있다. 축방향 핑거들 (116-11, 116-12, 116-13, 및 116-14) 은 RF 입력 로드가 제 1 커넥터를 사용하여 배치될 때 RF 입력 로드에 대고 강한 클램핑 힘을 가하기 위해 상기 기술된 방식으로, 환형 캡이 열 초크 로드에 부착될 때 방사상으로 압축된다. 이러한 방식으로, 제 1 커넥터의 축방향 핑거들은 열 초크 로드 내에 튼튼하게 RF 입력 로드를 홀딩하기 위한 콜릿으로서 기능한다. 축방향 핑거들의 방사상 압축에 의해 제공된 강한 클램핑 힘은 열 초크 로드의 제 1 커넥터와 RF 입력 로드 사이에 개선된 전기적 콘택트를 제공한다.
도 4b는 또 다른 실시예에 따른, 열 초크 로드의 부분적인 사시도이다. 도 4b에 도시된 열 초크 로드 (116) 의 일부는 튜브형 세그먼트 (116x) 및 제 1 커넥터 (116-1) 를 포함한다. 예시의 편의성을 위해, 튜브형 세그먼트 (116x) 의 외측 표면 상의 쓰레드된 부분 (116x-1) 은 도 4b에서 생략되었다. 도 4b에 도시된 실시예는 제 1 커넥터에 형성된 슬릿들의 길이를 제외하고, 도 4a에 도시된 실시예들과 동일하다. 도 4b에 도시된 바와 같이, 슬릿들 (140') 은 도 4a에 도시된 바와 같이, 제 1 커넥터의 길이로 연장하는 것과 반대로, 제 1 커넥터 (116-1) 의 길이의 일부에 대해 연장한다. 일 실시예에서, 슬릿들 (140') 는 제 1 커넥터 (116-1) 의 길이의 약 1/4 (25 %) 내지 약 1/3 (33 %) 로 연장된다. 다른 실시예들에서, 슬릿들 (140') 은 보다 긴 거리, 예를 들어, 제 1 커넥터의 길이의 약 40 %, 50 %, 60 %, 등, 또는 보다 짧은 거리, 예를 들어, 제 1 커넥터의 길이의 약 10 %, 20 %, 등으로 연장할 수 있다. 제 1 커넥터 (116-1) 내에 형성된 슬릿들 (140') 은 환형 캡이 열 초크 로드에 부착될 때 축방향 핑거들 (116-11, 116-12, 116-13, 및 116-14) 로 하여금 RF 입력 로드에 대고 방사상으로 압축되게 하기 충분한 길이로 연장해야 한다. 한편, 슬릿들의 길이는 벤딩 또는 달리 변형되기 쉬워, 슬릿들에 의해 규정된 축방향 핑거들이 열 초크 로드의 수명을 제한할 수도 있어, 그렇게 길지 않아야 한다.
도 5는 일 실시예에 따른, 열 초크 로드에 연결된 RF 입력 로드를 예시하는 절단 사시도이다. 도 5에 도시된 바와 같이, RF 입력 로드 (114) 는 환형 캡 (116a) 의 환형 개구부를 통해 그리고 열 초크 로드 (116) 내로 연장하여 RF 입력 로드의 단부 부분이 튜브형 세그먼트 (116x) 내에 놓인다. 환형 캡 (116a) 은 제 1 커넥터 (116-1) 위에 피팅되었고 내측 쓰레드된 영역 (116a-1) 은 튜브형 세그먼트 (116x) 의 외측 표면 상의 쓰레드된 영역 (116x-1) 과 쓰레드된 인게이지하게 되어, 환형 캡은 완전히 인게이지된 포지션에 있다. 완전히 인게이지된 포지션의 환형 캡 (116a) 을 사용하여, 내측 테이퍼된 벽 (116a-w) 은 제 1 커넥터 (116-1) 의 원뿔 형상 단부 영역의 표면 (116-1z) 과 콘택트하고 제 1 커넥터 상에 압축력을 가한다. 이 압축력은 도 3a 및 도 3b를 참조하여 상기 기술된 바와 같이, 제 1 커넥터 (116-1) 의 내측 표면의 일부로 하여금 RF 입력 로드 (114) 의 외측 표면에 콘택트하고 가압하게 한다. 제 1 커넥터 (116-1) 의 방사상 압축에 의해 제공된 강한 클램핑 힘은 열 초크 로드의 제 1 커넥터 (116) 와 RF 입력 로드 (114) 사이에 개선된 전기적 콘택트를 제공한다.
도 5의 좌측 상에 도시된 브라켓들은 열 초크 로드 (116) 의 다양한 섹션들을 나타낸다. 이들 섹션들은 제 1 커넥터 (116-1), 제 2 커넥터 (116-2), 및 제 1 커넥터와 제 2 커넥터 사이에서 연장하는 튜브형 세그먼트 (116x) 를 포함한다. 제 2 커넥터 (116-2) 는 RF 스트랩을 제 2 커넥터에 고정하는 쓰레드된 기계적 패스너 (예를 들어, 도 2에 도시된 쓰레드된 기계적 패스너 (116b) 참조) 와 쓰레드된 인게이지하기 위한 내측 쓰레드된 영역 (116-2y) 을 포함한다.
RF 입력 로드와 개선된 전기적 콘택트를 제공하는 것에 더하여, 열 초크 로드는 또한 페데스탈에 의해 생성된 높은 열과 업스트림에 위치된 센서티브 전기적 컴포넌트들로부터 전류 저항성 열을 격리하도록 기능한다. 이 열적 기능성은 저 열전도도를 갖고 고온을 견딜 수 있는 모재로 열 초크 로드를 형성함으로써 달성된다. 일 실시예에서, 저 열전도도를 갖는 모재는 스테인리스 스틸 또는 니켈-크롬 기반 초합금으로 형성된다. 이들 재료들의 예들은 Type 316L 스테인리스 스틸 및 INCONEL®, HAYNES®및 HASTELLOY®의 상표명으로 상업적으로 입수가능한 초합금들을 포함한다. 일 실시예에서, 모재는 RF 입력 로드의 열적 기계적 속성들, 예를 들어, 열 팽창 계수를 매칭시키도록 선택된다. 열 팽창 계수들을 매칭시킴으로써, 열 초크 로드와 RF 입력 로드 사이의 접속이 고온에서 느슨해지는 위험성이 최소화된다. 열 전달을 더 감소시키기 위해, 열 초크 로드는 컴포넌트의 단면적을 최소화하도록 구성된다.
통상적으로 전력 전달에 사용된 전기적으로 전도성 컴포넌트들은 또한 고도의 열 전도성이다. 전력을 전도하기 위해 필요한 전기 전도도를 갖는 열 초크 로드를 제공하기 위해, 모재는 고도의 전기 전도성 재료, 예를 들어, 금과 함께 사용된다. 일 실시예에서, 고도의 전기 전도성 재료가 모재 상에 도금된다. RF 전류가 도전체의 표면 상에서 대부분 전도되기 때문에, 도금 재료의 사용은 모재의 바람직한 열적 속성들을 유지하면서, 필요한 RF 전도도를 갖는 열 초크 로드를 제공한다. 고온을 견디는 능력과 관련하여, 전도성 도금은 모재가 매우 고온을 견딜 수 있기 대문에, 제한 인자이다. 금의 전도성 도금은 고 주파수 전력 분위기들에서 세라믹 페데스탈들과 함께 사용될 때 열 초크 로드가 기능해야만 하는300 내지 350 ℃의 온도 범위를 초과하는, 최대 약 400 ℃의 고온을 견딜 수 있는 것으로 여겨진다.
도 6은 예시적인 실시예에 따른, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 동작들이 수행된 방법을 예시하는 흐름도이다. 동작 600에서, 제 1 커넥터, 제 2 커넥터, 및 제 1 커넥터와 제 2 커넥터 사이에서 연장하는 튜브형 세그먼트를 갖는 열 초크 로드가 제공된다. 일 실시예에서, 열 초크 로드는 예를 들어, 도 2에 도시된 열 초크 로드 (116) 의 구성을 갖는다. 일 실시예에서, 열 초크 로드는 저 열전도도를 갖는 모재로 형성되고 모재는 고도의 전기 전도성 재료로 도금된다. 예로서, 저 열전도도를 갖는 모재는 상기 기술된 바와 같이, 스테인리스 스틸 또는 니켈-크롬 기반 초합금을 포함할 수 있다. 일 실시예에서, 모재 상에 도금된 고도의 전기 전도성 재료는 금을 포함한다.
동작 602에서, RF 입력 로드의 제 1 단부 부분이 열 초크 로드의 제 1 커넥터 내로 삽입되고 열 초크 로드 내 미리 규정된 위치에 포지셔닝된다. RF 입력 로드의 제 2 단부 부분은 플라즈마 프로세싱 챔버, 예를 들어, PECVD (plasma enhanced chemical vapor deposition) 챔버에 커플링된다. 일 실시예에서, RF 입력 로드의 제 1 단부 부분이 포지셔닝되는 열 초크 로드 내 미리 규정된 위치는 예를 들어, 도 2 및 도 5에 도시된 바와 같이, 제 1 커넥터 및 튜브형 세그먼트의 일부를 포함한다.
일단 RF 입력 로드의 제 1 단부 부분이 열 초크 로드 내에 포지셔닝되면, 동작 604에서, RF 입력 로드에 기계적으로 고정되도록 제 1 커넥터의 내경을 감소시키고 제 1 커넥터의 내측 표면으로 하여금 RF 입력 로드의 외측 표면에 콘택트하고 가압되게 하도록 제 1 커넥터는 압축된다. 일 실시예에서, 제 1 커넥터의 내경을 감소시키도록 제 1 커넥터를 압축하는 것은 제 1 커넥터의 단부 영역에 제공된 테이퍼된 표면을 제 1 커넥터의 단부 영역에 제공된 테이퍼된 표면과 정합하도록 구성되는 테이퍼된 표면과 콘택팅시키는 것을 포함한다. 예로서, 제 1 커넥터의 단부 영역에 제공된 테이퍼된 표면은 제 1 커넥터 (116-1) 의 원뿔 형상 단부 영역의 표면 (116-1z) 일 수 있다 (예를 들어, 도 2 참조). 일 실시예에서, 제 1 커넥터의 단부 영역에 제공된 테이퍼된 표면과 정합하도록 구성되는 테이퍼된 표면은 환형 캡 (116a) 의 테이퍼된 내측 벽 (116a-w) 이다 (예를 들어, 도 2 및 도 5 참조).
동작 606에서, RF 스트랩이 열 초크 로드의 제 2 커넥터에 연결된다. RF 스트랩은 예를 들어, 도 1에 도시된 RF 생성기 시스템 (122) 와 같은 RF 소스에 커플링된다. 일 실시예에서, RF 스트랩을 열 초크 로드의 제 2 커넥터에 부착하는 것은 열 초크 로드의 제 2 커넥터에 기계적 패스너를 부착하는 것을 포함한다. 예로서, 기계적 패스너는 볼트 또는 머신 스크루와 같은 쓰레드된 기계적 패스너일 수 있다. 일 실시예에서, 도 2에 도시된 바와 같이, RF 스트랩 (118) 은 쓰레드된 기계적 패스너 (116b) 를 RF 스트랩의 개구부를 통해 삽입하고 RF 스트랩을 제 2 커넥터에 단단히 부착하기 위해 쓰레드된 영역 (116-2y) 내 완전히 인게이지된 포지션 내로 패스너를 쓰레딩함으로써 열 초크 로드 (116) 의 제 2 커넥터 (116-2) 에 부착될 수 있다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
상기 실시예들을 유념하여, 실시예들이 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용할 수 있다는 것이 이해되어야 한다. 이들 동작들은 물리적 양들의 물리적 조작을 필요로 하는 동작들이다. 실시예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들이 머신 동작들에 유용하다. 실시예들은 또한 이들 동작들을 수행하기 위한 디바이스들 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터와 같은, 필요한 목적을 위해 특수하게 구성될 수도 있다. 특수 목적 컴퓨터로 규정될 때, 컴퓨터는 여전히 특수 목적을 위해 동작할 수 있으면서, 또한 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 대안적으로, 동작들은 컴퓨터 메모리, 캐시에 저장되거나 네트워크를 통해 획득된, 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 액티베이팅되거나 구성된 범용 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 네트워크를 통해 획득될 때 데이터는 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시예들이 또한 컴퓨터 판독가능한 매체 상의 컴퓨터 판독가능한 코드로서 제조될 수 있다. 컴퓨터 판독가능 매체는 그 후에 컴퓨터 시스템에 의해 판독될 수 있는, 데이터를 저장할 수 있는 임의의 데이터 스토리지 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROMs, CD-Rs, CD-RWs, 자기 테이프들 및 다른 광학 및 비-광학 데이터 스토리지 디바이스들을 포함한다. 컴퓨터 판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록, 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터 판독가능 유형의 매체를 포함할 수 있다.
방법 동작들이 특정한 순서로 기술되었지만, 다른 하우스키핑 동작들이 동작들 사이에서 수행될 수도 있고, 또는 동작들이 오버레이 동작들의 프로세싱이 목표된 방식으로 수행되는 한, 프로세싱과 연관된 다양한 인터벌들로 프로세싱 동작들의 발생을 허용하는 시스템 내에 분산될 수도 있다는 것이 이해되어야 한다.
이에 따라, 예시적인 실시예들의 개시는, 이하의 청구항들 및 이들의 등가물들에 진술되는, 개시의 범위의, 제한이 아닌, 예시로서 의도된다. 본 개시의 예시적인 실시예들은 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 이하의 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 이하의 청구항들에서, 청구항들에 명시적으로 언급되거나 본 개시에 의해 암시적으로 요구되지 않는 한, 엘리먼트들 및/또는 단계들은 동작의 임의의 특정한 순서를 암시하지 않는다.

Claims (20)

  1. 플라즈마 프로세싱 시스템의 기판 지지부에 RF (radio frequency) 소스를 연결하는데 사용하기 위한 열 초크 로드 (thermal choke rod) 에 있어서,
    기판 지지부에 커플링된 RF 로드에 연결하기 위한 제 1 커넥터 및 RF 소스에 커플링하는 RF 스트랩을 연결하기 위한 제 2 커넥터, 및 상기 제 1 커넥터와 상기 제 2 커넥터 사이에서 연장하는 튜브형 세그먼트를 갖는 튜브형 부재를 포함하고, 상기 튜브형 세그먼트는 내경을 갖고, 상기 제 1 커넥터는 상기 튜브형 세그먼트의 내측 표면의 연속인 내측 표면을 갖고, 상기 제 1 커넥터는 상기 튜브형 세그먼트를 향한 방향에서 상기 제 1 커넥터의 상기 내측 표면으로부터 상기 제 1 커넥터의 외측 표면으로 테이퍼되는 원뿔 형상 단부 영역을 갖고, 상기 제 1 커넥터는 상기 제 1 커넥터의 단자 단부로부터 미리 규정된 거리를 따라 상기 제 1 커넥터의 벽 두께를 통해 형성된 복수의 슬릿들을 갖고, 상기 튜브형 세그먼트의 외측 표면은 상기 제 1 커넥터의 상기 원뿔 형상 단부 영역과 콘택트할 때 상기 제 1 커넥터 위에 피팅하고 (fit) 상기 제 1 커넥터의 내경을 감소시키도록 구성되는 환형 캡과 쓰레드된 인게이지 (threaded engagement) 하기 위해 상기 제 1 커넥터에 근접한 쓰레드된 영역을 갖는, 열 초크 로드.
  2. 제 1 항에 있어서,
    상기 환형 캡은 상기 제 1 커넥터에 근접한 상기 쓰레드된 영역과 쓰레드된 인게이지하기 위해 내측 쓰레드된 영역, 및 상기 제 1 커넥터의 상기 원뿔 형상 단부 영역과 정합하도록 (mate) 구성된 내측 테이퍼된 벽을 갖는, 열 초크 로드.
  3. 제 1 항에 있어서,
    상기 제 2 커넥터는 내측 쓰레드된 영역을 갖고, 그리고 상기 열 초크 로드는 상기 RF 스트랩을 상기 열 초크 로드의 상기 제 2 커넥터에 연결하도록 구성되는 쓰레드된 기계적 패스너 (fastener) 를 더 포함하는, 열 초크 로드.
  4. 제 3 항에 있어서,
    상기 쓰레드된 기계적 패스너는 볼트이거나 머신 스크루 (machine screw) 인, 열 초크 로드.
  5. 제 1 항에 있어서,
    상기 열 초크 로드는 저 열전도도를 갖는 모재 (base material) 로 형성되고, 상기 모재는 고도의 전기 전도성 재료로 도금되는, 열 초크 로드.
  6. 제 5 항에 있어서,
    상기 저 열전도도를 갖는 모재는 스테인리스 스틸 또는 니켈-크롬 기반 초합금 (nickel-chromium-based superalloy) 을 포함하고, 상기 모재 상에 도금된 상기 고도의 전기 전도성 재료는 금을 포함하는, 열 초크 로드.
  7. 플라즈마 프로세싱 챔버에 연결된 제 1 단부 및 RF 소스로부터 RF 신호들을 수신하기 위한 제 2 단부를 갖는 RF 입력 로드;
    제 1 커넥터, 제 2 커넥터, 및 상기 제 1 커넥터와 상기 제 2 커넥터 사이에서 연장하는 튜브형 세그먼트를 갖는 열 초크 로드로서, 상기 제 1 커넥터는 상기 튜브형 세그먼트의 내측 표면의 연속인 내측 표면을 갖고, 상기 제 1 커넥터는 상기 튜브형 세그먼트를 향한 방향에서 상기 제 1 커넥터의 상기 내측 표면으로부터 상기 제 1 커넥터의 외측 표면으로 테이퍼되는 원뿔 형상 단부 영역을 갖고, 상기 제 1 커넥터는 상기 제 1 커넥터의 단자 단부로부터 미리 규정된 거리를 따라 상기 제 1 커넥터의 벽 두께를 통해 형성된 복수의 슬릿들을 갖고, 상기 튜브형 세그먼트의 외측 표면은 상기 제 1 커넥터에 근접한 쓰레드된 영역을 갖고, 상기 제 1 커넥터는 상기 RF 입력 로드의 상기 제 2 단부를 수용하도록 구성되고, 상기 제 2 커넥터는 RF 스트랩에 연결되도록 구성되고, 그리고 환형 캡이 상기 제 1 커넥터의 상기 원뿔 형상 단부 영역과 콘택트할 때 상기 제 1 커넥터 위에 피팅하고 상기 제 1 커넥터의 내경을 감소시키도록 구성되는, 상기 열 초크 로드; 및
    상기 RF 스트랩에 커플링된 RF 소스를 포함하는, 플라즈마 프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 플라즈마 프로세싱 챔버는 프로세싱 영역을 포함하고, 그리고 상기 플라즈마 프로세싱 시스템은:
    상기 챔버 내에서 상기 프로세싱 영역 아래에 배치된 기판 지지부를 더 포함하고, 상기 RF 입력 로드는 상기 기판 지지부에 커플링되는, 플라즈마 프로세싱 시스템.
  9. 제 7 항에 있어서,
    상기 환형 캡은 상기 제 1 커넥터에 근접한 상기 쓰레드된 영역과 쓰레드된 인게이지하기 위해 내측 쓰레드된 영역, 및 상기 제 1 커넥터의 상기 원뿔 형상 단부 영역과 정합하도록 구성되는 내측 테이퍼된 벽을 갖는, 플라즈마 프로세싱 시스템.
  10. 제 7 항에 있어서,
    상기 제 2 커넥터는 내측 쓰레드된 영역을 갖고, 상기 열 초크 로드는 상기 RF 스트랩을 상기 열 초크 로드의 상기 제 2 커넥터에 연결하도록 구성되는 쓰레드된 기계적 패스너를 더 포함하는, 플라즈마 프로세싱 시스템.
  11. 제 10 항에 있어서,
    상기 쓰레드된 기계적 패스너는 볼트이거나 머신 스크루인, 플라즈마 프로세싱 시스템.
  12. 제 7 항에 있어서,
    상기 열 초크 로드는 저 열전도도를 갖는 모재로 형성되고, 상기 모재는 고도의 전기 전도성 재료로 도금되는, 플라즈마 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 저 열전도도를 갖는 모재는 스테인리스 스틸 또는 니켈-크롬 기반 초합금을 포함하고, 상기 모재 상에 도금된 상기 고도의 전기 전도성 재료는 금을 포함하는, 플라즈마 프로세싱 시스템.
  14. RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법에 있어서,
    제 1 커넥터, 제 2 커넥터, 및 상기 제 1 커넥터와 상기 제 2 커넥터 사이에서 연장하는 튜브형 세그먼트를 갖는 열 초크 로드를 제공하는 단계로서, 상기 제 1 커넥터는 상기 튜브형 세그먼트의 내측 표면의 연속인 내측 표면을 갖는, 상기 열 초크 로드를 제공하는 단계;
    RF 입력 로드의 제 1 단부 부분을 상기 열 초크 로드의 상기 제 1 커넥터 내로 삽입하고 상기 RF 입력 로드의 상기 제 1 단부 부분을 상기 열 초크 로드 내 미리 규정된 위치에 포지셔닝하는 단계로서, 상기 RF 입력 로드는 플라즈마 프로세싱 챔버에 커플링된 제 2 단부 부분을 갖는, 상기 RF 입력 로드의 제 1 단부 부분을 삽입하고 포지셔닝하는 단계;
    상기 RF 입력 로드에 기계적으로 고정되도록, 상기 제 1 커넥터의 내경을 감소시키고 상기 제 1 커넥터의 내측 표면으로 하여금 상기 RF 입력 로드의 외측 표면에 콘택트하고 가압되게 하도록 상기 제 1 커넥터를 압축하는 단계; 및
    RF 스트랩을 상기 열 초크 로드의 상기 제 2 커넥터에 부착하는 단계로서, 상기 RF 스트랩은 RF 소스에 커플링되는, 상기 RF 스트랩을 부착하는 단계를 포함하는, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법.
  15. 제 14 항에 있어서,
    상기 열 초크 로드를 제공하는 단계는 저 열전도도를 갖는 모재를 포함하는 열 초크 로드를 제공하는 것을 포함하고, 그리고 상기 모재는 고도의 전기 전도성 재료로 도금되는, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법.
  16. 제 15 항에 있어서,
    상기 저 열전도도를 갖는 모재는 스테인리스 스틸 또는 니켈-크롬 기반 초합금을 포함하고, 상기 모재 상에 도금된 상기 고도의 전기 전도성 재료는 금을 포함하는, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법.
  17. 제 14 항에 있어서,
    상기 제 1 커넥터의 내경을 감소시키도록 상기 제 1 커넥터를 압축하는 단계는 상기 제 1 커넥터의 단부 영역에 제공된 테이퍼된 표면과 상기 제 1 커넥터의 상기 단부 영역에 제공된 상기 테이퍼된 표면과 정합하도록 구성되는 테이퍼된 표면을 콘택팅시키는 것을 포함하는, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법.
  18. 제 17 항에 있어서,
    상기 제 1 커넥터의 상기 단부 영역에 제공된 상기 테이퍼된 표면과 정합하도록 구성되는 상기 테이퍼된 표면은 상기 제 1 커넥터 위에 피팅하도록 구성된 환형 캡의 내측 표면인, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법.
  19. 제 14 항에 있어서,
    상기 RF 입력 로드의 상기 제 1 단부 부분이 포지셔닝되는 상기 열 초크 로드 내 상기 미리 규정된 위치는 상기 제 1 커넥터 및 상기 튜브형 세그먼트의 일부를 포함하는, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법.
  20. 제 14 항에 있어서,
    상기 RF 스트랩을 상기 열 초크 로드의 상기 제 2 커넥터에 부착하는 단계는 상기 열 초크 로드의 상기 제 2 커넥터에 기계적 패스너를 부착하는 것을 포함하는, RF 소스를 플라즈마 프로세싱 챔버에 연결하는 방법.
KR1020197021618A 2017-09-05 2018-08-31 일체형 열 초크를 사용한 고온 rf 접속 KR102059782B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020197037514A KR102469534B1 (ko) 2017-09-05 2018-08-31 일체형 열 초크를 사용한 고온 rf 접속

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/696,068 US11469084B2 (en) 2017-09-05 2017-09-05 High temperature RF connection with integral thermal choke
US15/696,068 2017-09-05
PCT/US2018/049267 WO2019050809A1 (en) 2017-09-05 2018-08-31 RF CONNECTION AT HIGH TEMPERATURE WITH INTEGRATED THERMAL BANDING

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197037514A Division KR102469534B1 (ko) 2017-09-05 2018-08-31 일체형 열 초크를 사용한 고온 rf 접속

Publications (2)

Publication Number Publication Date
KR20190090062A true KR20190090062A (ko) 2019-07-31
KR102059782B1 KR102059782B1 (ko) 2019-12-26

Family

ID=65517787

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020197037514A KR102469534B1 (ko) 2017-09-05 2018-08-31 일체형 열 초크를 사용한 고온 rf 접속
KR1020197021618A KR102059782B1 (ko) 2017-09-05 2018-08-31 일체형 열 초크를 사용한 고온 rf 접속
KR1020227040239A KR102615464B1 (ko) 2017-09-05 2018-08-31 일체형 열 초크를 사용한 고온 rf 접속

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197037514A KR102469534B1 (ko) 2017-09-05 2018-08-31 일체형 열 초크를 사용한 고온 rf 접속

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227040239A KR102615464B1 (ko) 2017-09-05 2018-08-31 일체형 열 초크를 사용한 고온 rf 접속

Country Status (7)

Country Link
US (2) US11469084B2 (ko)
JP (2) JP7175967B2 (ko)
KR (3) KR102469534B1 (ko)
CN (2) CN117488282A (ko)
SG (1) SG11202001872RA (ko)
TW (1) TW201931425A (ko)
WO (1) WO2019050809A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR20240050466A (ko) 2018-01-31 2024-04-18 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11499229B2 (en) 2018-12-04 2022-11-15 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
WO2023150478A1 (en) * 2022-02-01 2023-08-10 Lam Research Corporation A wafer chuck assembly with thermal insulation for rf connections

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2491167A (en) * 1946-03-19 1949-12-13 Charles E Drew Collet chuck
US2684698A (en) * 1950-06-01 1954-07-27 Keller Tool Co Tool for running threaded fastening elements
US3895832A (en) * 1974-05-13 1975-07-22 Mueller Co Collet compression connection
US4552430A (en) * 1982-09-30 1985-11-12 Myers Electric Products, Inc. Connector head for electric terminal box
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US4897853A (en) * 1988-06-01 1990-01-30 King, Taudevin & Gregson (Holdings)Limited Refractory ceramic electrode
US5829791A (en) 1996-09-20 1998-11-03 Bruker Instruments, Inc. Insulated double bayonet coupler for fluid recirculation apparatus
KR19980071011A (ko) * 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6936153B1 (en) * 1997-09-30 2005-08-30 Semitool, Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with pre-conditioned contact face
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6151203A (en) * 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6213478B1 (en) * 1999-03-11 2001-04-10 Moore Epitaxial, Inc. Holding mechanism for a susceptor in a substrate processing reactor
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP4349020B2 (ja) 2003-07-25 2009-10-21 株式会社Ihi 成膜装置
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20080167720A1 (en) * 2007-01-08 2008-07-10 Warsaw Orthopedic, Inc. Expandable vertebral body replacement device
JP5029257B2 (ja) 2007-01-17 2012-09-19 東京エレクトロン株式会社 載置台構造及び処理装置
JP2008218181A (ja) 2007-03-05 2008-09-18 Tsujiko Co Ltd 電気機器接続構造及び電気機器接続方法
US7625227B1 (en) * 2007-07-31 2009-12-01 Agilent Technologies, Inc. High performance blind-mate connector
JP2009212296A (ja) 2008-03-04 2009-09-17 Hitachi High-Technologies Corp プラズマ処理装置
US20090314208A1 (en) 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US9213021B2 (en) * 2009-07-16 2015-12-15 Waters Technologies Corporation Self-unlocking fluid-tight connector
WO2012018875A1 (en) * 2010-08-06 2012-02-09 American Grease Stick Company Hand held flaring tool
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
WO2013078152A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Peripheral rf feed and symmetric rf return with rf strap input
WO2014083597A1 (ja) * 2012-11-30 2014-06-05 黒田精工株式会社 軸端末用アダプタ及びボールねじ組立体
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US20150243483A1 (en) 2014-02-21 2015-08-27 Lam Research Corporation Tunable rf feed structure for plasma processing
JP6424049B2 (ja) 2014-09-12 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10153136B2 (en) 2015-08-04 2018-12-11 Lam Research Corporation Hollow RF feed with coaxial DC power feed
EP3450809A1 (de) * 2017-08-31 2019-03-06 VAT Holding AG Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich

Also Published As

Publication number Publication date
KR20200040213A (ko) 2020-04-17
US20190071778A1 (en) 2019-03-07
KR20220158863A (ko) 2022-12-01
KR102615464B1 (ko) 2023-12-19
KR102059782B1 (ko) 2019-12-26
CN111052299A (zh) 2020-04-21
US20220415620A1 (en) 2022-12-29
JP7175967B2 (ja) 2022-11-21
JP7419483B2 (ja) 2024-01-22
CN117488282A (zh) 2024-02-02
JP2023017940A (ja) 2023-02-07
JP2020532869A (ja) 2020-11-12
CN111052299B (zh) 2023-10-20
US11469084B2 (en) 2022-10-11
WO2019050809A1 (en) 2019-03-14
SG11202001872RA (en) 2020-03-30
KR102469534B1 (ko) 2022-11-21
TW201931425A (zh) 2019-08-01

Similar Documents

Publication Publication Date Title
KR102059782B1 (ko) 일체형 열 초크를 사용한 고온 rf 접속
KR102627019B1 (ko) 작은 갭을 갖는 핀 리프터 어셈블리
US10153136B2 (en) Hollow RF feed with coaxial DC power feed
KR20170054267A (ko) 써모커플의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들
KR102570498B1 (ko) 임베딩된 가열 엘리먼트들을 갖는 기판 지지부를 위한 긴 수명의 고전력 단자들
JP7018703B2 (ja) 静電チャック用の凸形の内面を有する環状エッジシール
US20230178407A1 (en) Floating pcb design for substrate support assembly
KR102678480B1 (ko) 하나 이상의 히터 층들을 갖는 기판 프로세싱 시스템 인쇄 회로 제어 기판 어셈블리
US11869794B2 (en) Long-life extended temperature range embedded diode design for electrostatic chuck with multiplexed heaters array
US20220238360A1 (en) Rf immune sensor probe for monitoring a temperature of an electrostatic chuck of a substrate processing system
US20210047732A1 (en) Connector for substrate support with embedded temperature sensors

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant