JP7018703B2 - 静電チャック用の凸形の内面を有する環状エッジシール - Google Patents

静電チャック用の凸形の内面を有する環状エッジシール Download PDF

Info

Publication number
JP7018703B2
JP7018703B2 JP2016152437A JP2016152437A JP7018703B2 JP 7018703 B2 JP7018703 B2 JP 7018703B2 JP 2016152437 A JP2016152437 A JP 2016152437A JP 2016152437 A JP2016152437 A JP 2016152437A JP 7018703 B2 JP7018703 B2 JP 7018703B2
Authority
JP
Japan
Prior art keywords
radial
main body
corner
electrostatic chuck
edge seal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016152437A
Other languages
English (en)
Other versions
JP2017041631A (ja
JP2017041631A5 (ja
Inventor
マシュー・マイケル・リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017041631A publication Critical patent/JP2017041631A/ja
Publication of JP2017041631A5 publication Critical patent/JP2017041631A5/ja
Application granted granted Critical
Publication of JP7018703B2 publication Critical patent/JP7018703B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

関連出願の相互参照
本出願は、2015年8月10日出願の米国仮特許出願第62/203,118号の利益を主張するものである。上記の出願の開示全体を参照により本明細書に援用する。
本開示は、基板処理システムに関し、より詳細には、基板処理システムで使用されるエッジシールに関する。
本明細書に記載する背景の説明は、本開示の文脈を全般的に表す目的のものである。この背景の項に述べられる範囲での本発明者らの研究、ならびに背景技術で述べられていなかった場合には本出願の時点の先行技術とはならない説明の態様は、明示的にも黙示的にも、本開示に対する先行技術とはみなさない。
基板処理システムは、基板支持体を有する処理チャンバを含む。半導体ウェハなどの基板が、処理中に基板支持体上に配置される。いくつかのシステムでは、基板支持体は、静電チャック(ESC)を含む。エッチング、化学気相成長(CVD)、原子層堆積(ALD)、または原子層エッチング(ALE)などの基板処理中、ガス混合物が処理チャンバ内に導入されてよい。高周波(RF)プラズマが、化学反応を活性化するために処理中に使用されてよい。基板処理システム内部に位置する構成要素は、処理中に使用されるプラズマおよび/またはガス化学作用に耐えることができる必要がある。
ESCは、エッジシールを含んでいてよく、エッジシールは、ヒータプレートをESCのセラミック上部プレートに結合するために使用される接着結合層を保護する。保護しないと、接着結合層は損傷され、粒子汚染が生じる。接着結合層が激しく侵食される場合、ESCが永久的に損壊されることがある。
基板処理システムの静電チャック用のエッジシールが、環状本体、径方向内面、径方向外面、上面、および底面を含む。径方向内面は凸形である。
他の特徴では、径方向内面と、径方向外面と、上面と、底面との間の隅部が丸みを付けられている。本体の径方向外面は、上面と径方向外面との間の第1の隅部と、底面と径方向外面との間の第2の隅部との間で略平面状である。
他の特徴では、本体の上面が、上面と径方向内面との間の第3の隅部と、上面と径方向外面との間の第の隅部との間で略平面状である。本体の底面が、底面と径方向内面との間の第4の隅部と、底面と径方向外面との間の第2の隅部との間で略平面状である。本体の径方向内面が、上面と径方向内面との間の第3の隅部と、底面と径方向内面との間の第の隅部との間で凸形である。
他の特徴では、本体の中心での本体の径方向厚さが、上面および底面に隣接する部分での本体の径方向厚さよりも10%~30%大きい。本体の中心での本体の径方向厚さが、上面および底面に隣接する部分での本体の径方向厚さよりも15%~25%大きい。本体の中心での本体の径方向厚さが、上面および底面に隣接する部分での本体の径方向厚さよりも20%~24%大きい。
静電チャックが、上層と、中間層と、下層と、上層と中間層との間に配置された第1の接着結合層と、中間層と下層との間に配置された第2の接着結合層とを含む。中間層と、第1および第2の接着結合層との径方向外縁部が、上層および下層に対して環状スロットを形成する。エッジシールは、環状スロット内に配置される。
他の特徴では、上層がセラミック層を含み、中間層がヒータプレートを含み、下層が下側電極を含む。第1および第2の接着結合層がエラストマーシリコーンを含む。第1および第2の接着結合層がシリコーンゴムを含む。
基板処理システムが、処理チャンバと、プロセスガスを処理チャンバに送給するためのガス送給システムと、処理チャンバ内でプラズマを発生するためのプラズマ発生器と、静電チャックとを含む。
本開示のさらなる利用可能分野は、詳細な説明、特許請求の範囲、および図面から明らかになろう。詳細な説明および特定の例は、例示として意図されているにすぎず、本開示の範囲を限定するものとは意図されていない。
本開示は、詳細な説明および添付図面からより完全に理解されよう。
本開示による静電チャック(ESC)を含む基板処理システムの一例の機能ブロック図である。
ESCの下側電極の表面断面図である。
従来技術による、ESCの下側電極内に配置された環状エッジシールの一例の表面断面図である。 従来技術による、ESCの下側電極内に配置された環状エッジシールの一例の表面断面図である。
使用後の、図3Aの環状エッジシールの変形の表面断面図である。
本開示による環状エッジシールの一例の表面断面図である。
本開示による、ESCの下側電極に配置された図4の環状エッジシールの一例の表面断面図である。
図面中、同様および/または同一の要素を識別するために、参照番号が繰り返し使用されることがある。
ESCの下側電極の接着結合層を保護するために、エッジシールが使用される。エッジシールは、大まかには長方形の断面を有する環状本体を有する。いくつかの例では、環状エッジシールの外面は凹形であり、内面は略平面状(例えば上面および底面に垂直)である。環状エッジシールは、ESCの下側電極の環状スロット内に設置されるとき、3面で拘束される。使用中、環状エッジシールは、圧縮されており、鉛直方向応力および径方向応力を受ける。環状エッジシールは、適切に設計されていない場合、使用中に座屈することがある。座屈は、いくつかの状況下では故障をもたらし得る。
本開示による環状エッジシールは、改良された断面形状を有する。本開示による環状エッジシールは、凸形の径方向内面と、略平面状の径方向外面とを採用する。大まかには鉛直中心部においてより厚くなっているこの形状のプロファイルは、交換が必要になるまでにより長期間にわたってプラズマ浸食を妨げる。凸形に湾曲した径方向内面と、略平面状の径方向外面とにより、環状エッジシールがESCの環状スロット内に設置されるときに、径方向外側への応力が減少される。すなわち、本開示による環状エッジシールの凸形幾何形状は、改良された変形抵抗を有する。
ここで図1を参照すると、基板処理システム1の一例が示されている。上記の例をプラズマ励起原子層堆積(PEALD)の文脈で述べるが、本開示は、エッチング、化学気相成長(CVD)、PECVD、ALE、ALD、PEALE、または任意の他の基板処理を行う他の基板処理システムに適用されても差し支えない。
基板処理システム1は処理チャンバ2を含み、処理チャンバ2は、基板処理システム1の他の構成要素を取り囲み、(使用される場合には)RFプラズマを含む。基板処理システム1は、上側電極4と、静電チャック(ESC)やペデスタルなどの基板支持体6とを含む。動作中、基板8は、基板支持体6上に配置される。
単に例として、上側電極4は、プロセスガスを導入して分散させるシャワーヘッドなど、ガス分散デバイス9を含んでいてよい。ガス分散デバイス9は、処理チャンバの上面に接続された一端を含むステム部分を含んでいてよい。基部は、大まかには円柱形であり、処理チャンバの上面から離隔された位置で、ステム部分の反対の端部から径方向外側に延在する。シャワーヘッドの基部の基板側の表面または正面は、プロセスガスまたはパージガスが流れる複数の穴を含む。代替として、上側電極4が導電性プレートを含んでいてよく、プロセスガスは、別の様式で導入されてもよい。
基板支持体6は、下側電極10を含む。下側電極10は、加熱プレート12を支持し、加熱プレート12は、セラミックマルチゾーン加熱プレートに対応していてよい。耐熱層14が、加熱プレート12と下側電極10との間に配置されてよい。下側電極10は、下側電極10を通してクーラントを流すための1つまたは複数のクーラントチャネル16を含んでいてよい。以下にさらに述べるように、基板支持体6の1つまたは複数の層の周りの環状スロット内に環状エッジシール15が配置され得る。
RF発生システム20が、RF電圧を発生して、基板支持体6の上側電極4と下側電極10の一方に出力する。上側電極4と下側電極10の他方は、DC接地されても、AC接地されても、浮動していてもよい。単に例として、RF発生システム20はRF発生器22を含んでいてよく、RF発生器22はRF出力を発生し、このRF出力は、マッチングおよび分散ネットワーク24によって上側電極4または下側電極10に供給される。
ガス送給システム30は、1つまたは複数のガス源32-1、32-2、…、および32-N(総称してガス源32)を含み、ここで、Nは、ゼロよりも大きい整数である。ガス源32は、弁34-1、34-2、…、および34-N(総称して弁34)と、マスフローコントローラ36-1、36-2、…、および36-N(総称してマスフローコントローラ36)とによってマニホルド40に接続される。特定のガス送給システム30が図示されているが、ガスは、任意の適切なガス送給システムを使用して送給されてよい。
温度制御装置42が、加熱プレート12に配置された複数の熱制御要素(TCE)44に接続されてよい。温度制御装置42は、複数のTCE44を制御して基板支持体6および基板8の温度を制御するために使用されてよい。温度制御装置42は、クーラントチャネル16を通るクーラントの流れを制御するためにクーラントアセンブリ46と連絡してよい。例えば、クーラントアセンブリ46は、クーラントポンプおよびリザーバを含んでいてよい。温度制御装置42は、基板支持体6を冷却するために、クーラントチャネル16を通してクーラントを選択的に流すようにクーラントアセンブリ46を操作する。
弁50およびポンプ52が、処理チャンバ2から反応物を排気するために使用されてよい。システム制御装置60が、基板処理システム1の構成要素を制御するために使用されてよい。ロボット70が、基板を基板支持体6上に送るため、および基板を基板支持体6から取り外すために使用されてよい。例えば、ロボット70は、基板支持体6とロードロック72との間で基板を移送してよい。
次に図2を参照すると、基板支持体6は、互いに結合された複数の層152を含んでいてよい。層152の径方向外縁部が、基板支持体6の周りに環状スロット153を画定する。いくつかの例では、基板支持体6の層152は、上層158、中間層164、および下層170を含む。上層158はセラミック層を含んでいてよく、中間層164はヒータプレート12を含んでいてよく、下層170は下側電極10を含んでいてよい。ヒータプレート12は、金属またはセラミックプレートと、プレートの底部に結合されたフィルムヒータなど1つまたは複数のヒータとを含んでいてよい。
接着結合層180が、下層170の上面と中間層164の底面との間に配置される。接着結合層180は、下層170の上面を中間層164の底面に結合する。接着結合層184が、上層158の底面と中間層164の上面との間に配置される。接着結合層184は、上層158の底面を中間層164の上面に結合する。
上層158および下層170は、径方向で中間層164および結合層180、184を越えて延在して、環状スロット153を形成する。中間層164および接着結合層180、184の径方向外面190、192、194は、互いに対して実質的に位置合わせされる。上層158および下層170のそれぞれの径方向外面196、198は、鉛直方向で位置合わせされていても位置合わせされていなくてもよい。上層158と下層170との間にさらなる層またはより少数の層が配置されてもよい。
接着結合層180、184は、エラストマーシリコーンやシリコーンゴム材料などの低弾性材料を含んでいてよいが、他の適切な結合材を使用することもできる。接着結合層180、184の厚さは、所望の熱伝達率に応じて変わる。したがって、この厚さは、接着結合層180、184の製造公差に基づいて、所望の熱伝達率を提供する。
ヒータプレート12は、金属またはセラミックプレートを含んでいてよく、金属またはセラミックプレートの底部にフィルムヒータが結合されている。フィルムヒータは、第1の絶縁層(例えば誘電体層)、加熱層(例えば電気抵抗材料の1つまたは複数のストリップ)、および第2の絶縁層(例えば誘電体層)を備える箔ラミネート(図示せず)でよい。絶縁層は、好ましくは、プラズマ環境内の腐食性ガスに対する耐性を含め、広い温度範囲にわたって物理的、電気的、および機械的特性を保つことができる材料を含む。
接着結合層180、184は、典型的には、基板処理システムのプラズマまたは反応性エッチング化学作用に完全には耐性がない。接着結合層180、184を保護するために、エラストマーバンドの形態での環状エッジシールが環状スロット153内に配置されて、基板処理システムのプラズマおよび/または腐食性ガスの侵入を防止するシールを形成する。
次に図3A~図3Cを参照すると、従来技術による環状エッジシールの例が示されている。図3Aでは、環状エッジシール200は、平行な上面202と底面204および平行な表面206と208を有する大まかには長方形状の断面を有する環状本体201を含む。
図3Bでは、環状エッジシール200’は、平行な上面202と底面204を有する環状本体201’を含む。内面206は、略平面状(上面202および底面204に垂直)である。外面208’は凹形である。
図3Cでは、使用後の環状エッジシール200および200’が示されている。環状エッジシール200および200’は、他の環境応力に加えて、鉛直方向応力を受けることがある。鉛直方向応力により、環状エッジシール200および200’は、湾曲して、環状スロット153から径方向外側に離れることがある。その結果、環状エッジシール200および200’は、接着結合層180、184を完全には保護しないことがあり、基板支持体6に対する損傷または汚染(またはそれら両方)が生じ得る。
次に図4および図5を参照すると、本開示による環状エッジシール300が示されている。図4では、環状エッジシール300は、径方向外面309と、径方向内面310と、上面311と、底面312とを有する環状本体301を含む。径方向外面309は、略平面状であり、上面311および底面312に垂直である。径方向内面310は、径方向内側に面し、層152(例えば上層158、中間層164、および下層170)に直に隣接して配置される。径方向外面309は、径方向外側に面する。いくつかの例では、環状エッジシールは、丸みを付けられた隅部314、316、318、および320を含む。
径方向内面310は凸形である。いくつかの例では、(径方向で)環状エッジシール300の中央部分における環状エッジシール300の厚さは、上面311および底面312に隣接する部分での環状エッジシール300の厚さよりも10%~30%大きい。他の例では、環状エッジシール300の中央部分における環状エッジシール300の厚さは、上面311および底面312に隣接する部分での環状エッジシール300の厚さよりも15%~25%大きい。さらなる他の例では、環状エッジシールの中央部分における環状エッジシールの厚さは、上面311および底面312に隣接する部分での環状エッジシールの厚さよりも22%±2%大きい。いくつかの例では、エッジシールの最大径方向寸法は、環状スロットの径方向寸法よりも大きい。いくつかの例では、エッジシールの最大軸方向寸法は、環状スロットの軸方向寸法とほぼ等しい(±10%)。
エッジシール300の中央での厚さの増加は、プラズマおよび/またはガス化学作用から接着結合層を保護するための材料の追加をもたらす。また、中央での厚さは、環状エッジシール300が熱応力および圧縮応力によって引き起こされる変形に耐えられるようにする。凸形内面は、環状エッジシールに対する径方向応力を減少させ、これは、環状エッジシール300が座屈して(または変形して)環状スロットから出る傾向を抑制する。
図5では、環状エッジシール300が環状スロット153内に設置されて示されており、下側電極10の複数の層152を基板処理中に露出しないように保護する。
図3Bでの凹形の径方向外面を有する環状エッジシールと比較して、図4および図5での凸形の径方向内面を有する環状エッジシールは、座屈抵抗が改良されて2倍を超えていると推定される。さらに、径方向応力は、凸形の環状エッジシールに比べて、凹形の環状エッジシールでは高いと推定される。径方向応力の大幅な改良は、それに対応する座屈抵抗の改良をもたらす。さらに、凹形の環状エッジシールに比べて、凸形の環状エッジシールでは最大鉛直方向応力が減少される。
前述の説明は、性質上、例示にすぎず、本開示、その用途、または使用法を限定することは何ら意図されていない。本開示の広範な教示は、様々な形態で実施することができる。したがって、本開示は特定の例を含むが、図面、明細書、および添付の特許請求の範囲の検討から他の修正形態が明らかになるので、本開示の真の範囲はそれらの例に限定されるべきでない。方法における1つまたは複数のステップは、本開示の原理を変えることなく異なる順序で(または同時に)実行されてもよいことを理解すべきである。さらに、各実施形態が特定の特徴を有するものとして上述されているが、本開示の任意の実施形態に関して述べられたそれらの特徴の任意の1つまたは複数を、任意の他の実施形態において実施する、および/または(組合せが明示的に述べられていなくても)任意の他の実施形態の特徴と組み合わせることができる。すなわち、説明されている実施形態は互いに排他的でなく、1つまたは複数の実施形態の互いの置換が本開示の範囲内に含まれる。
要素間(例えばモジュール、回路要素、半導体層などの間)の空間的および機能的関係は、「接続され」、「係合され」、「結合され」、「に隣接する」、「と並んで」、「の上」、「の上方」、「の下方」、および「配設され」など、様々な用語を使用して述べられる。上の開示で第1の要素と第2の要素との関係が述べられているとき、「直接」であると明示的に述べられていない限り、その関係は、第1の要素と第2の要素との間に他の介在要素が存在しない直接的な関係でも、第1の要素と第2の要素との間に1つまたは複数の介在要素が(空間的または機能的に)存在する間接的な関係でもよい。本明細書において、語句「A、B、およびCの少なくとも1つ」は、非排他的論理ORを使用して、論理(A OR B OR C)を意味するものと解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、およびCの少なくとも1つ」を意味するとは解釈されるべきでない。
いくつかの実装形態では、制御装置は、上述した例の一部でよいシステムの一部である。そのようなシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または特定の処理構成要素(ウェハペデスタルやガスフローシステムなど)を含めた半導体処理機器を含んでいてよい。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、および処理後にシステムの動作を制御するための電子回路と一体化されてよい。電子回路は「制御装置」と称されてよく、これは、システムの様々な構成要素またはサブパートを制御し得る。制御装置は、処理要件および/またはシステムのタイプに応じて、本明細書で開示する任意のプロセスを制御するようにプログラムされてよく、そのようなプロセスは、処理ガスの送給、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、出力設定、高周波(RF)発生器の設定、RFマッチング回路の設定、周波数設定、流量設定、流体送給設定、位置および動作の設定、ツール内外へのウェハ移送、および特定のシステムに接続またはインターフェースされた他の移送ツールおよび/またはロードロック内外へのウェハ移送を含む。
広範に言うと、制御装置は、例えば、命令を受信する、命令を送信する、動作を制御する、洗浄操作を可能にする、およびエンドポイント測定を可能にする様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子回路として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態でのチップ、デジタル信号処理装置(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えばソフトウェア)を実行する1つもしくは複数のマイクロプロセッサもしくはマイクロコントローラを含んでいてよい。プログラム命令は、様々な個別の設定(またはプログラムファイル)の形態で制御装置に通信される命令でよく、特定のプロセスを半導体ウェハ上で、もしくは半導体ウェハ用に、またはシステムに対して実施するための動作パラメータを定義する。いくつかの実装形態では、動作パラメータは、ウェハの1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化ケイ素、表面、回路、および/またはダイの製造中に1つまたは複数の処理ステップを達成するためにプロセスエンジニアによって定義されるレシピの一部でよい。
いくつかの実装形態では、制御装置は、コンピュータの一部でよく、またはコンピュータに結合されてよく、そのコンピュータは、システムと一体化される、システムに結合される、他の形でシステムにネットワーク化される、またはそれらの組合せで構成される。例えば、制御装置は、「クラウド」または工場ホストコンピュータシステムの全体もしくは一部でよく、ウェハ処理の遠隔アクセスを可能にすることができる。コンピュータは、システムへの遠隔アクセスを可能にしてよく、製造操作の現在の進行状況を監視し、過去の製造操作の履歴を検査し、複数の製造操作から傾向または性能規準を検査して、現在の処理のパラメータを変更する、現在の処理に続くように処理ステップを設定する、または新たなプロセスを開始する。いくつかの例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワークまたはインターネットを含んでいてよいネットワークを介してシステムにプロセスレシピを提供することができる。遠隔コンピュータはユーザインターフェースを含んでいてよく、ユーザインターフェースは、パラメータおよび/または設定の入力またはプログラミングを可能にし、これらのパラメータおよび/または設定は、次いで遠隔コンピュータからシステムに通信される。いくつかの例では、制御装置は、1つまたは複数の操作中に行うべき各処理ステップに関するパラメータを指定する命令を、データの形態で受信する。パラメータが、実施すべきプロセスのタイプ、および制御装置がインターフェースまたは制御するように構成されたツールのタイプに特有のものでよいことを理解すべきである。したがって、上述したように、制御装置は、例えば1つまたは複数のディスクリート制御装置を含むことによって分散されてよく、それらの制御装置は、互いにネットワーク化され、本明細書で述べるプロセスや制御など共通の目的に向けて協働する。そのような目的のための分散型制御装置の一例は、(例えばプラットフォームレベルで、または遠隔コンピュータの一部として)遠隔に位置された1つまたは複数の集積回路と通信するチャンバにある1つまたは複数の集積回路であり、これらが組み合わさってチャンバでのプロセスを制御する。
限定はしないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相成長(PVD)チャンバまたはモジュール、化学気相成長(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、および、半導体ウェハの作製および/または製造に関連付けられてよいまたは使用されてよい任意の他の半導体処理システムを含んでいてよい。
上記のように、ツールによって行うべきプロセスステップに応じて、制御装置は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近隣のツール、工場全体にわたって位置されたツール、メインコンピュータ、別の制御装置、または、ウェハのコンテナを半導体製造工場内のツール位置および/または装填ポートに/から導く材料輸送で使用されるツールの1つまたは複数と通信してよい。
本発明は、たとえば、以下のような態様で実現することもできる。
[適用例1]
上層と、
中間層と、
下層と、
前記上層と前記中間層との間に配置された第1の接着結合層と、
前記中間層と前記下層との間に配置された第2の接着結合層であって、前記中間層と、前記第1および第2の接着結合層との径方向外縁部が、前記上層および前記下層に対して環状スロットを形成している第2の接着結合層と、
前記環状スロット内に配置されたエッジシールであって、径方向内面と、径方向外面と、上面と、底面とを含む環状本体を含むエッジシールと、を備える静電チャックであって、
前記径方向内面が凸形である、静電チャック。
[適用例2]
適用例1のエッジシールであって、前記径方向内面と、前記径方向外面と、前記上面と、前記底面と、の間の隅部が、丸みを付けられているエッジシール。
[適用例3]
適用例1のエッジシールであって、
前記本体の前記径方向外面が、前記上面と前記径方向外面との間の第1の隅部と、前記底面と前記径方向外面との間の第2の隅部との間で略平面状であり、
前記本体の前記上面が、前記上面と前記径方向内面との間の第3の隅部と、前記上面と前記径方向外面との間の第4の隅部との間で略平面状であり、
前記本体の前記底面が、前記底面と前記径方向内面との間の前記第4の隅部と、前記底面と前記径方向外面との間の前記第2の隅部との間で略平面状であり、
前記本体の前記径方向内面が、前記上面と前記径方向内面との間の前記第3の隅部と、前記底面と前記径方向内面との間の前記第1の隅部との間で凸形である、エッジシール。
[適用例4]
適用例1のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも10%~30%大きいエッジシール。
[適用例5]
適用例1のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも15%~25%大きいエッジシール。
[適用例6]
適用例1のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも20%~24%大きいエッジシール。
[適用例7]
適用例1の静電チャックであって、前記上層がセラミック層を含み、前記中間層がヒータプレートを含み、前記下層が下側電極を含む静電チャック。
[適用例8]
適用例7の静電チャックであって、前記第1および第2の接着結合層がエラストマーシリコーンを含む静電チャック。
[適用例9]
適用例7の静電チャックであって、前記第1および第2の接着結合層がシリコーンゴムを含む静電チャック。
[適用例10]
基板処理システムであって、
処理チャンバと、
プロセスガスを前記処理チャンバに送給するためのガス送給システムと、
前記処理チャンバ内でプラズマを発生するためのプラズマ発生器と、
適用例1の静電チャックと、を備える基板処理システム。
[適用例11]
基板処理システムの静電チャック用のエッジシールであって、
環状本体と、
前記本体の径方向内面であって、凸形である径方向内面と、
前記本体の径方向外面であって、前記本体の前記径方向外面が、上面と前記径方向外面との間の第1の隅部と、底面と前記径方向外面との間の第2の隅部との間で略平面状である径方向外面と、
前記本体の前記上面と、
前記本体の前記底面と、を備えるエッジシール。
[適用例12]
適用例11のエッジシールであって、前記径方向内面と、前記径方向外面と、前記上面と、前記底面と、の間の隅部が、丸みを付けられているエッジシール。
[適用例13]
適用例11のエッジシールであって、
前記本体の前記上面が、前記上面と前記径方向内面との間の第3の隅部と、前記上面と前記径方向外面との間の第4の隅部との間で略平面状であり、
前記本体の前記底面が、前記底面と前記径方向内面との間の前記第4の隅部と、前記底面と前記径方向外面との間の前記第2の隅部との間で略平面状であり、
前記本体の前記径方向内面が、前記上面と前記径方向内面との間の前記第3の隅部と、前記底面と前記径方向内面との間の前記第4の隅部との間で凸形である
エッジシール。
[適用例14]
適用例11のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも10%~30%大きいエッジシール。
[適用例15]
適用例11のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも15%~25%大きいエッジシール。
[適用例16]
適用例11のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも20%~24%大きいエッジシール。
[適用例17]
静電チャックであって、
セラミック層と、
ヒータプレートと、
下側電極と、
前記セラミック層と前記ヒータプレートとの間に配置された第1の接着結合層と、
前記ヒータプレートと前記下側電極との間に配置された第2の接着結合層であって、前記ヒータプレートと、前記第1および第2の接着結合層と、の径方向外縁部が、前記セラミック層および前記下側電極に対して環状スロットを形成する第2の接着結合層と、
適用例11のエッジシールと、を備え、
前記エッジシールが、前記環状スロット内に配置される、静電チャック。
[適用例18]
適用例16の静電チャックであって、前記第1および第2の接着結合層がエラストマーシリコーンを含む静電チャック。
[適用例19]
適用例16の静電チャックであって、前記第1および第2の接着結合層がシリコーンゴムを含む静電チャック。
[適用例20]
処理チャンバと、
プロセスガスを前記処理チャンバに送給するためのガス送給システムと、
前記処理チャンバ内でプラズマを発生するためのプラズマ発生器と、
適用例16の静電チャックと
を備える基板処理システム。

Claims (18)

  1. 上層と、
    中間層と、
    下層と、
    前記上層と前記中間層との間に配置された第1の接着結合層と、
    前記中間層と前記下層との間に配置された第2の接着結合層であって、前記中間層と、前記第1および第2の接着結合層との径方向外縁部が、前記上層および前記下層に対して環状スロットを形成している第2の接着結合層と、
    前記環状スロット内に配置されたエッジシールであって、径方向内面と、径方向外面と、上面と、底面とを含む環状の本体を含むエッジシールと、を備える静電チャックであって、
    前記径方向内面は、連続凸形曲面を有し、
    前記本体の前記径方向外面が、前記上面と前記径方向外面との間の第1の隅部と、前記底面と前記径方向外面との間の第2の隅部との間で略平面状であり、
    前記本体の前記上面が、前記上面と前記径方向内面との間の第3の隅部と、前記上面と前記径方向外面との間の前記第1の隅部との間で略平面状であり、
    前記本体の前記底面が、前記底面と前記径方向内面との間の第4の隅部と、前記底面と前記径方向外面との間の前記第2の隅部との間で略平面状であり、
    前記本体の前記径方向内面が、前記上面と前記径方向内面との間の前記第3の隅部と、前記底面と前記径方向内面との間の前記第4の隅部との間で前記連続凸形曲面を有する、静電チャック。
  2. 請求項1に記載の静電チャックであって、前記径方向内面と、前記径方向外面と、前記上面と、前記底面と、の間の隅部が、丸みを付けられている静電チャック。
  3. 請求項1に記載の静電チャックであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも10%~30%大きい静電チャック。
  4. 請求項1に記載の静電チャックであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも15%~25%大きい静電チャック。
  5. 請求項1に記載の静電チャックであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも20%~24%大きい静電チャック。
  6. 請求項1に記載の静電チャックであって、前記上層がセラミック層を含み、前記中間層がヒータプレートを含み、前記下層が下側電極を含む静電チャック。
  7. 請求項6に記載の静電チャックであって、前記第1および第2の接着結合層がエラストマーシリコーンを含む静電チャック。
  8. 請求項6に記載の静電チャックであって、前記第1および第2の接着結合層がシリコーンゴムを含む静電チャック。
  9. 基板処理システムであって、
    処理チャンバと、
    プロセスガスを前記処理チャンバに送給するためのガス送給システムと、
    前記処理チャンバ内でプラズマを発生するためのプラズマ発生器と、
    請求項1に記載の静電チャックと、を備える基板処理システム。
  10. 基板処理システムの静電チャック用のエッジシールであって、
    環状の本体であって、
    前記本体の上面と、
    前記本体の底面と、を備える本体と、
    前記本体の径方向内面であって、連続凸形曲面を有する径方向内面と、
    前記本体の径方向外面であって、前記本体の前記径方向外面が、前記上面と前記径方向外面との間の第1の隅部と、前記底面と前記径方向外面との間の第2の隅部との間で略平面状である径方向外面と、を備え
    前記本体の前記上面が、前記上面と前記径方向内面との間の第3の隅部と、前記上面と前記径方向外面との間の前記第1の隅部との間で略平面状であり、
    前記本体の前記底面が、前記底面と前記径方向内面との間の第4の隅部と、前記底面と前記径方向外面との間の前記第2の隅部との間で略平面状であり、
    前記本体の前記径方向内面が、前記上面と前記径方向内面との間の前記第3の隅部と、前記底面と前記径方向内面との間の前記第4の隅部との間で前記連続凸形曲面を有する、
    エッジシール。
  11. 請求項10に記載のエッジシールであって、前記径方向内面と、前記径方向外面と、前記上面と、前記底面と、の間の隅部が、丸みを付けられているエッジシール。
  12. 請求項10に記載のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも10%~30%大きいエッジシール。
  13. 請求項10に記載のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも15%~25%大きいエッジシール。
  14. 請求項10に記載のエッジシールであって、前記本体の中心での前記本体の径方向厚さが、前記上面および前記底面に隣接する部分での前記本体の径方向厚さよりも20%~24%大きいエッジシール。
  15. 静電チャックであって、
    セラミック層と、
    ヒータプレートと、
    下側電極と、
    前記セラミック層と前記ヒータプレートとの間に配置された第1の接着結合層と、
    前記ヒータプレートと前記下側電極との間に配置された第2の接着結合層であって、前記ヒータプレートと、前記第1および第2の接着結合層と、の径方向外縁部が、前記セラミック層および前記下側電極に対して環状スロットを形成する第2の接着結合層と、
    請求項10に記載のエッジシールと、を備え、
    前記エッジシールが、前記環状スロット内に配置される、静電チャック。
  16. 請求項15に記載の静電チャックであって、前記第1および第2の接着結合層がエラストマーシリコーンを含む静電チャック。
  17. 請求項15に記載の静電チャックであって、前記第1および第2の接着結合層がシリコーンゴムを含む静電チャック。
  18. 処理チャンバと、
    プロセスガスを前記処理チャンバに送給するためのガス送給システムと、
    前記処理チャンバ内でプラズマを発生するためのプラズマ発生器と、
    請求項15に記載の静電チャックと
    を備える基板処理システム。
JP2016152437A 2015-08-10 2016-08-03 静電チャック用の凸形の内面を有する環状エッジシール Active JP7018703B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562203118P 2015-08-10 2015-08-10
US62/203,118 2015-08-10
US14/836,202 2015-08-26
US14/836,202 US20170047238A1 (en) 2015-08-10 2015-08-26 Annular edge seal with convex inner surface for electrostatic chuck

Publications (3)

Publication Number Publication Date
JP2017041631A JP2017041631A (ja) 2017-02-23
JP2017041631A5 JP2017041631A5 (ja) 2019-09-12
JP7018703B2 true JP7018703B2 (ja) 2022-02-14

Family

ID=57994425

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016152437A Active JP7018703B2 (ja) 2015-08-10 2016-08-03 静電チャック用の凸形の内面を有する環状エッジシール

Country Status (6)

Country Link
US (1) US20170047238A1 (ja)
JP (1) JP7018703B2 (ja)
KR (1) KR20170018779A (ja)
CN (1) CN106449504B (ja)
SG (2) SG10202001170TA (ja)
TW (1) TWI716430B (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11127619B2 (en) 2016-06-07 2021-09-21 Applied Materials, Inc. Workpiece carrier for high power with enhanced edge sealing
CN107195578B (zh) * 2017-07-17 2019-11-29 北京北方华创微电子装备有限公司 静电卡盘
CN109881184B (zh) * 2019-03-29 2022-03-25 拓荆科技股份有限公司 具有静电力抑制的基板承载装置
WO2024059276A1 (en) * 2022-09-16 2024-03-21 Lam Research Corporation Spring-loaded seal cover band for protecting a substrate support

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005529361A (ja) 2002-06-10 2005-09-29 イー−インク コーポレイション 電気光学表示装置を形成および検査するための構成部品および方法
JP2006080389A (ja) 2004-09-10 2006-03-23 Kyocera Corp ウェハ支持部材
JP2009024712A (ja) 2007-07-17 2009-02-05 Nok Corp 密封装置
US20100027188A1 (en) 2008-07-30 2010-02-04 Hsi-Shui Liu Replaceable Electrostatic Chuck Sidewall Shield
CN201973238U (zh) 2010-09-26 2011-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 密封圈及应用该密封圈的等离子体加工设备
JP2015501538A (ja) 2011-10-20 2015-01-15 ラム リサーチ コーポレーションLam Research Corporation 下方電極アセンブリのためのエッジシール
US20150187614A1 (en) 2013-12-26 2015-07-02 Lam Research Corporation Edge seal for lower electrode assembly
JP2016152414A (ja) 2015-02-16 2016-08-22 麥豐密封科技股▲分▼有限公司 静電チャックのためのバリアシール

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW406346B (en) * 1996-08-26 2000-09-21 Applied Materials Inc Method and apparatus for cooling a workpiece using an electrostatic chuck
US8794638B2 (en) * 2009-02-27 2014-08-05 Halliburton Energy Services, Inc. Sealing array for high temperature applications
JP5920655B2 (ja) * 2011-02-25 2016-05-18 東レ株式会社 樹脂注入成形装置およびそれを用いたrtm成形方法
US9859142B2 (en) * 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005529361A (ja) 2002-06-10 2005-09-29 イー−インク コーポレイション 電気光学表示装置を形成および検査するための構成部品および方法
JP2006080389A (ja) 2004-09-10 2006-03-23 Kyocera Corp ウェハ支持部材
JP2009024712A (ja) 2007-07-17 2009-02-05 Nok Corp 密封装置
US20100027188A1 (en) 2008-07-30 2010-02-04 Hsi-Shui Liu Replaceable Electrostatic Chuck Sidewall Shield
CN201973238U (zh) 2010-09-26 2011-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 密封圈及应用该密封圈的等离子体加工设备
JP2015501538A (ja) 2011-10-20 2015-01-15 ラム リサーチ コーポレーションLam Research Corporation 下方電極アセンブリのためのエッジシール
US20150187614A1 (en) 2013-12-26 2015-07-02 Lam Research Corporation Edge seal for lower electrode assembly
JP2016152414A (ja) 2015-02-16 2016-08-22 麥豐密封科技股▲分▼有限公司 静電チャックのためのバリアシール

Also Published As

Publication number Publication date
SG10202001170TA (en) 2020-03-30
KR20170018779A (ko) 2017-02-20
JP2017041631A (ja) 2017-02-23
US20170047238A1 (en) 2017-02-16
SG10201606452RA (en) 2017-03-30
TWI716430B (zh) 2021-01-21
TW201724339A (zh) 2017-07-01
CN106449504A (zh) 2017-02-22
CN106449504B (zh) 2021-04-06

Similar Documents

Publication Publication Date Title
JP7453149B2 (ja) セラミックベースプレートを備えるマルチプレート静電チャック
KR102626481B1 (ko) 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트
JP7401589B2 (ja) 静電チャック接合のための永久二次浸食封じ込め
JP7062383B2 (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
TWI765922B (zh) 具有小間隙之銷升降器組件
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
JP7018703B2 (ja) 静電チャック用の凸形の内面を有する環状エッジシール
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
US10096471B2 (en) Partial net shape and partial near net shape silicon carbide chemical vapor deposition
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
JP2023512201A (ja) 高電力、高圧プロセス用の分割されたガス分配プレート

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190802

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190802

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200915

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210601

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220201

R150 Certificate of patent or registration of utility model

Ref document number: 7018703

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150