KR20190055850A - 고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물 - Google Patents

고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물 Download PDF

Info

Publication number
KR20190055850A
KR20190055850A KR1020197013636A KR20197013636A KR20190055850A KR 20190055850 A KR20190055850 A KR 20190055850A KR 1020197013636 A KR1020197013636 A KR 1020197013636A KR 20197013636 A KR20197013636 A KR 20197013636A KR 20190055850 A KR20190055850 A KR 20190055850A
Authority
KR
South Korea
Prior art keywords
dimethyl
group
methyl
disilapentane
branched
Prior art date
Application number
KR1020197013636A
Other languages
English (en)
Inventor
메일리앙 왕
신지안 레이
만차오 시아오
수레스 칼파투 라자라만
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Priority to KR1020217031323A priority Critical patent/KR20210121310A/ko
Publication of KR20190055850A publication Critical patent/KR20190055850A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)

Abstract

산화규소 필름을 형성하기 위한 조성물 및 방법이 본원에 기재되어 있다. 일 양태에서, 필름은 하나 이상의 전구체로부터 증착되고, 여기서 하나 이상의 전구체는 하기 화학식 A로 표시되는 구조를 갖는다:
Figure pct00027

상기 식에서, R, R1, R2, R3, R4, 및 R5는 본원에 정의되어 있다.

Description

고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물
관련 출원에 대한 교차-참조
본 출원은 35 U.S.C. § 119(e) 하에 2016년 10월 14일에 출원된 미국가특허 출원번호 62/408,310 및 2017년 10월 4일에 출원된 미국가특허 출원번호 15/725,122에 대한 우선권을 주장하며, 이의 개시내용은 그 전문이 본원에 참조로 편입되어 있다.
규소 및 산소 함유 필름의 형성을 위한 조성물 및 방법이 본원에 기재되어 있다. 보다 특별하게는, 약 300℃ 이하, 또는 약 25℃ 내지 약 600℃의 범위의 하나 이상의 증착 온도에서의 화학양론적 또는 비-화학양론적 산화규소 필름 또는 재료의 형성을 위한 조성물 및 방법이 본원에 기재되어 있다.
원자층 증착(ALD) 및 플라즈마 강화 화학 기상 증착법(PEALD)은 저온(<500℃)에서 산화규소 컨포멀 필름을 증착시키기 위해 사용되는 공정이다. ALD 및 PEALD 두 공정에서, 전구체 및 반응성 가스(예컨대 산소 또는 오존)은 특정 수의 사이클에서 별개로 펄싱되어 각 사이클에서 산화규소의 단층을 형성한다. 그러나, 이러한 공정을 사용한 저온에서 증착된 산화규소는 특정 반도체 응용분야에서 유해할 수 있는 일정 수준의 불순물 비제한적으로 질소(N)를 함유할 수 있다. 이를 해결하기 위한 하나의 가능한 해결책은 500℃ 이상으로 증착 온도를 증가시키는 것이다. 그러나, 이러한 고온에서, 반도체 산업에서 이용되는 종래의 전구체는 자가 반응하고, 열분해되고, ALD 방식이 아닌 화학 기상 증착(CVD) 방식으로 증착된다. CVD 방식 증착은 특히 다수의 반도체 응용분야에서 요구되는 높은 종횡비 구조에 대해 ALD 증착에 비하여 감소된 박막도포성(conformality)을 가진다. 또한, CVD 방식 증착은 ALD 방식 증착보다 필름 또는 재료 두께에 대해 더 낮은 조절력을 가진다.
"일부 신규한 알킬아미노실란"의 제목의 참조 논문[Abel, E.W. 등, J. Chem. Soc., (1964), Vol. 26, pp. 1528-1530]은 다양한 아미노실란 화합물 예컨대 Me3SiNHBu-iso, Me3SiNHBu-sec, Me3SiN(Pr-iso)2, 및 Me3SiN(Bu-sec)2의 제조를 기술하고 있으며, 여기서 Me = 메틸, Bu-sec = sec-부틸 및 Pr-iso = 트리메틸클로로실란(Me3SiCl)과 적절한 아민의 직접 상호작용으로부터의 이소프로필이다.
"현장에서의 투과 FTIR 분광법에 의해 연구된 트리스(디메틸아미노)실란 및 과산화수소를 사용한 SiO2 원자층 증착"의 제목의 참조 논문[Burton, B. B. 등, The Journal of Physical Chemistry (2009), Vol. 113, pp. 8249-57]은 산화제로서 H2O2와 함께 다양한 규소 전구체를 사용하는 이산화규소(SiO2)의 원자층 증착(ALD)을 기술하고 있다. 규소 전구체는 (N,N-디메틸아미노)트리메틸실란) (CH3)3SiN(CH3)2, 비닐트리메톡시실란 CH2CHSi(OCH3)3, 트리비닐메톡시실란 (CH2CH)3SiOCH3, 테트라키스(디메틸아미노)실란 Si(N(CH3)2)4, 및 트리스(디메틸아미노)실란 (TDMAS) SiH(N(CH3)2)3이었다. TDMAS는 이러한 전구체 중 가장 효과적인 것으로 결정되었다. 그러나, 추가적인 연구는 TDMAS로부터의 SiH* 표면 종은 유일하게 H2O만을 사용하여 제거하기 곤란한 것으로 결정하였다. 후속 연구는 산화제로서 TDMAS 및 H2O2를 이용하였고, 150-550℃의 온도 범위에서 SiO2 ALD를 연구하였다. 완료에 도달되도록 TDMAS 및 H2O2 표면 반응을 위해 요구되는 노출은 현장에서의 FTIR 분광법을 사용하여 모니터링되었다. TDMAS 노출 이후의 FTIR 진동 스펙트럼은 O-H 신축 진동에 대한 흡광도의 손실 및 C-Hx 및 Si-H 신축 진동에 대한 흡광도의 이득을 나타내었다. H2O2 노출 이후의 FTIR 진동 스펙트럼은 C-Hx 및 Si-H 신축 진동에 대한 흡광도의 손실 및 O-H 신축 진동에 대한 흡광도의 증가를 나타내었다. SiH* 표면 종은 단지 >450℃의 온도에서만 완전하게 제거되었다. SiO2의 벌크 바이브레이션 방식은 1000-1250 cm-1에서 관찰되었고, TDMAS 및 H2O2 반응 사이클의 수와 함께 계속하여 성장하였다. 투과 전자 현미경분석(TEM)을 150-550℃의 온도에서 50 TDMAS 및 H2O2 반응 사이클 이후에 ZrO2 나노입자에 대해 수행하였다. 필름 두께는 SiO2 ALD 성장 속도를 얻기 위해 각 온도에서 TEM에 의해 결정되었다. 사이클당 성장은 150℃에서 0.8 Å/사이클로부터 550℃에서의 1.8 Å/사이클로 변화되었고, SiH* 표면 종의 제거와 상관되었다. TDMAS 및 H2O2를 사용하는 SiO2 ALD는 >450 ℃의 온도에서 SiO2 ALD에 대해 가치있어야 한다.
JP 2010275602 및 JP 2010225663은 300-500℃의 온도 범위에서 화학 기상 증착(CVD) 공정에 의해 Si 함유 박막 예컨대 산화규소를 형성하기 위한 원재료의 사용을 개시하고 있다. 원재료는 하기 화학식으로 표시되는 유기 규소 화합물이다: (a) HSi(CH3)(R1)(NR2R3), 상기 식에서 R1은 NR4R5 또는 1C-5C 알킬기를 나타내고; R2 및 R4는 각각 1C-5C 알킬기 또는 수소 원자를 나타내고; R3 및 R5는 각각 1C-5C 알킬기를 나타냄); 또는 (b) HSiCl(NR1R2)(NR3R4), 상기 식에서 R1 및 R3는 독립적으로 1 내지 4개의 탄소 원자를 갖는 알킬기, 또는 수소 원자를 나타내고; R2 및 R4는 독립적으로 1 내지 4개의 탄소 원자를 갖는 알킬기를 나타낸다. 유기 규소 화합물은 H-Si 결합을 함유하였다.
U.S. 5,424,095는 탄화수소의 산업적 열분해 과정에서 코크스 형성의 속도를 감소시키는 방법을 개시하고 있고, 반응기의 내부 표면은 세라믹 물질의 균일한 층으로 코팅되고, 상기 층은 산화물 세라믹을 형성하기 위해 가스 대기를 함유하는 스트림에서 증기상으로의 비-알콕시화된 유기규소 전구체의 열증착에 의해 증착된다.
U.S. 2012/0291321은 집적 회로 기판의 유전체 필름과 금속 인터커넥트 사이의 고품질 Si 탄소질화물 배리어 유전체 필름을 형성하기 위한 PECVD 공정을 기재하고 있고, 이는 하기 단계를 포함한다: 유전체 필름 또는 금속 인터커넥트를 갖는 집적 회로 기판을 제공하는 단계; RxRy(NRR')zSi를 포함하는 배리어 유전체 필름 전구체와 기판을 접촉시키는 단계로서, 상기 식에서 R, R', R 및 R'는 각각 개별적으로 H, 선형 또는 분지형 포화된 또는 불포화된 알킬, 또는 방향족 기로부터 선택되고; x+y+z = 4이고; z = 1 내지 3이고; 그러나 R, R'는 둘 모두 H가 아닐 수 있고; z = 1 또는 2인 경우에 x 및 y 각각은 1 이상인 단계; 집적 회로 기판 상에 C/Si 비>0.8 및 N/Si 비 >0.2를 갖는 Si 탄소질화물 배리어 유전체 필름을 형성하는 단계.
U.S. 2013/0295779는 하기 화학식을 갖는 규소 전구체를 사용하는 증착 온도 > 500℃에서의 산화규소 필름을 형성하기 위한 원자층 증착(ALD) 공정을 기재하고 있다:
I. R1R2 mSi(NR3R4)nXp
상기 식에서 R1, R2, 및 R3는 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R4는 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기, C3 내지 C10 알킬실릴기로부터 선택되고; R3 및 R4는 환형 고리계를 형성하도록 연결거나 또는 R3 및 R4는 환형 고리계를 형성하도록 연결되지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; m은 0 내지 3이고; n은 0 내지 2이고; p는 0 내지 2이고, m+n+p = 3임; 및
II. R1R2 mSi(OR3)n(OR4)qXp
상기 식에서 R1 및 R2는 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3 및 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3 및 R4는 환형 고리계를 형성하도록 연결거나 또는 R3 및 R4는 환형 고리계를 형성하도록 연결되지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; m은 0 내지 3이고; n은 0 내지 2이고; p는 0 내지 2이고, m+n+p = 3임.
U.S. 7,084,076은 할로겐화 실록산 예컨대 헥사클로로디실록산(HCDSO)을 개시하고 있고, 이는 이산화규소를 형성하기 위해 500℃ 미만에서 ALD 증착을 위한 촉매로서 피리딘과 결합하여 사용된다.
U.S. 6,992,019는 관련된 퍼징 방법 및 시퀀싱과 함께 2개 이상의 규소 원자를 갖는 규소 화합물로 이루어진 제1 반응 성분을 사용함으로써, 또는 촉매 성분으로서 3급 지방족 아민을 사용함으로써 또는 둘 모두를 조합함으로써 반도체 기판 상에 우수한 특성을 갖는 이산화규소층을 형성하는 촉매-보조 원자층 증착(ALD)에 대한 방법을 개시하고 있다. 사용되는 전구체는 헥사클로로디실란이다. 증착 온도는 25 - 150℃이다.
WO 2015/0105337은 신규한 트리실릴 아민 유도체 및 규소 함유 박막의 형성 방법을 개시하고 있으며, 여기서 트리실릴 아민 유도체는 열안정성, 고휘발성, 및 고반응성을 가지고, 취급이 가능한 압력 하에 실온에서 액체 상태로 존재하고, 다양한 증착 방법에 의해 우수한 물리적 및 전기적 특성을 갖는 고순도 규소 함유 박막을 형성할 수 있다.
WO 2015/0190749는 신규한 아미노-실릴 아민 화합물, (Me2NSiR3R4)N(SiHR1R2)2 (R1-R4 = C1-3 알킬, C2-3 알케닐, C2-3 알키닐, C3-7 사이클로알킬, C6-12 아릴 등), 및 Si-N 결합을 함유하는 유전체 필름의 방법을 개시하고 있다. 상기 발명에 따른 아미노-실릴 아민 화합물은 열안정성 및 고휘발성 화합물이며, 실온에서 처리될 수 있고, 실온 및 압력 하에 액체 상태 화합물로서 사용되고, 본 발명은 원자층 증착(PEALD)을 사용하여 심지어 저온 및 플라즈마 조건에서의 Si-N 결합을 함유하는 고순도 유전체 필름의 방법을 제공한다.
U.S. 9,245,740 B는 신규한 아미노-실릴 아민 화합물, 이의 제조 방법, 및 이를 사용하는 규소-함유 박막을 제공하며, 여기서 아미노-실릴 아민 화합물은 열안정성 및 고휘발성을 가지며, 취급이 용이한 실온 및 압력 하에 액체 상태로 유지되며, 이에 의해 다양한 증착 방법에 의해 고순도 및 우수한 물리적 및 전기적 특성을 갖는 규소-함유 박막을 형성한다.
U.S. 2015/0376211은 단-치환된 TSA 전구체 Si-함유 필름 형성 조성물이 개시되어 있다. 전구체는 하기 화학식: (SiH3)2N-SiH2-X을 가지며, 여기서 X는 할로겐 원자, 이소시아나토기; 아미노기; N-함유 C4-C10 포화된 또는 불포화된 헤테로사이클; 또는 알콕시기로부터 선택된다. 개시된 단-치환된 TSA 전구체를 사용하여 Si-함유 필름을 형성하기 위한 방법이 또한 개시되어 있다.
이러한 발전에도 불구하고, 하나 이상의 하기 속성을 갖는 산화규소 필름을 형성하기 위한 방법을 개발할 필요성이 여전히 존재한다: 더 저렴하고, 반응성이고, 보다 안정성인 규소 전구체 화합물을 사용하는 열 원자층 증착, 플라즈마 강화 원자층 증착법(ALD) 공정 또는 플라즈마 강화 ALD-유사 공정에서 약 1.80 g/cm3 이상, 바람직하게는 2.0 g/cm3 이상, 가장 바람직하게는 2.2 g/cm3 이상의 밀도, 1.5 Å/사이클 이상, 바람직하게는 2.0 Å/사이클 이상, 가장 바람직하게는 2.4 Å/사이클 이상의 성장 속도, 낮은 화학적 불순물, 및/또는 높은 박막도포성. 또한, 예를 들어 산화규소로부터 탄소 도핑된 산화규소 범위의 조정가능한 필름을 제공할 수 있는 전구체를 개발할 필요성이 존재한다.
본 발명의 간략한 요약
플라즈마 강화 ALD, 플라즈마 강화 사이클릭 화학 기상 증착(PECCVD), 플라즈마 강화 ALD-유사 공정, 또는 산소 반응물 공급원을 사용한 ALD 공정에서 상대적으로 저온에서, 예를 들어 600℃ 이하의 하나 이상의 온도에서, 화학양론적 또는 비화학양론적 산화규소 재료 또는 필름, 예컨대 비제한적으로 산화규소, 탄소 도핑된 산화규소, 규소 산질화물 필름, 또는 탄소 도핑된 규소 산질화물 필름을 증착하기 위한 방법이 본원에 개시되어 있다.
일 양태에서, 기판 상에 규소 및 산소를 포함하는 필름을 증착하기 위한 방법에 제공되며, 이는 하기의 단계를 포함한다:
a) 반응기에 기판을 제공하는 단계;
b) 반응기로 하나 이상의 오르가노아미노카르보실란 화합물을 포함하는 하나 이상의 규소 전구체 화합물을 주입하는 단계로서, 상기 하나 이상의 오르가노아미노카르보실란 화합물이 하나 이상의 SiH2 또는 SiMeH 기를 가지고, 하기 화학식 A의 구조로 표시되는 단계:
c) 반응기를 퍼지 가스로 퍼징하는 단계;
d) 산소-함유 공급원을 반응기로 주입하는 단계; 및
e) 반응기를 퍼지 가스로 퍼징하는 단계,
여기서 단계 b 내지 e는 원하는 두께의 필름이 증착될 때까지 반복되고; 상기 방법은 약 25℃ 내지 600℃의 범위의 하나 이상의 온도에서 실시된다:
Figure pct00001
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, C1 내지 C6 디알킬아미노기, C1 내지 C6 알킬아미노기로부터 선택되고; R2-5는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, 및 C4 내지 C10 아릴기로 이루어진 군으로부터 독립적으로 선택되고; R은 수소 또는 메틸로부터 선택되고; n= 2 또는 3이고; 단 R 및 R3-5는 모두 수소가 아닐 수 있다.
바람직한 구현예에서, 산소-함유 공급원은 산소 플라즈마, 오존, 수증기, 수증기 플라즈마, 불활성 가스를 갖거나 갖지 않는 산화질소 (예를 들어, N2O, NO, NO2) 플라즈마, 산화탄소 (예를 들어, CO2, CO) 플라즈마 및 이의 조합으로 이루어진 군으로부터 선택되는 공급원이다. 특정 구현예에서, 산소 공급원은 추가로 불활성 가스를 포함한다. 이러한 구현예에서, 불활성 가스는 아르곤, 헬륨, 질소, 수소, 및 이의 조합으로 이루어진 군으로부터 선택된다. 대안적인 구현예에서, 산소 공급원은 불활성 가스를 포함하지 않는다. 또 다른 구현예에서, 산소-함유 공급원은 플라즈마 조건 하에 시약과 반응하여 규소 산질화물 필름을 제공하는 질소를 포함한다.
바람직한 구현예에서, 산소-함유 플라즈마 공급원은 불활성 가스를 갖거나 갖지 않는 산소 플라즈마, 불활성 가스를 갖거나 갖지 않는 수증기 플라즈마, 불활성 가스를 갖거나 갖지 않는 산화질소(N2O, NO, NO2) 플라즈마, 불활성 가스를 갖거나 갖지 않는 산화탄소(CO2, CO), 및 이의 조합으로 이루어진 군으로부터 선택된다. 특정 구현예에서, 산소-함유 플라즈마 공급원은 불활성 가스를 더 포함한다. 이러한 구현예에서, 불활성 가스는 아르곤, 헬륨, 질소, 수소, 또는 이의 조합으로 이루어진 군으로부터 선택된다. 대안적인 구현예에서, 산소-함유 플라즈마 공급원은 불활성 가스를 포함하지 않는다.
일 양태에서, 본 발명은 기상 증착 공정을 사용하여 산화규소 또는 탄소 도핑된 산화규소 필름으로부터 선택되는 필름을 증착하기 위한 조성물에 관한 것이며, 상기 조성물은 하나 이상의 오르가노아미노카르보실란 화합물을 포함하는 하나 이상의 규소 전구체 화합물을 포함하고, 여기서 하나 이상의 오르가노아미노카르보실란 화합물은 하나 이상의 SiH2 또는 SiMeH 기를 가지며, 하기 화학식 A의 구조로 표시된다:
Figure pct00002
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, C1 내지 C6 디알킬아미노기, C1 내지 C6 알킬아미노기로부터 선택되고; R2-5는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, 및 C4 내지 C10 아릴기로 이루어진 군으로부터 독립적으로 선택되고; R은 수소 또는 메틸로부터 선택되고; n= 2 또는 3이고; 단 R 및 R3-5는 모두 수소가 아닐 수 있다.
다른 양태에서, 본 발명은 하기 특성 중 하나 이상을 포함하는 산화규소 필름에 관한 것이다: 약 2.1 g/cm3 이상; HF 대 물 희석 HF (0.5 중량% dHF) 산의 1:100의 용액에서 측정되는 약 2.5 Å/s 미만의 습식 에칭 속도; 약 1 e-8 A/cm2 미만 최대 6 MV/cm 이하의 전기 누설(electrical leakage); 및 SIMS에 의해 측정되는 약 4 e21 at/cm3 미만의 수소 불순물.
본 발명의 구현예는 단독으로 또는 서로 조합하여 사용될 수 있다.
도 1은 실시예 3에 기재된 100℃의 온도에서의 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄 및 산소 플라즈마, 및 실시예 4에 기재된 100℃의 온도에서의 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄 및 산소 플라즈마을 사용하는 사이클당 성장률(GPC) 대 전구체 펄스 시간을 제공하며, 이는 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄 및 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄 둘 모두가 ALD 또는 PEALD 응용분야에 대해 적합하는 것을 실증한다.
도 2는 실시예 3에 기재된 100℃의 온도에서의 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄 및 산소 플라즈마, 및 실시예 4에 기재된 100℃의 온도에서의 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄 및 산소 플라즈마을 사용하는 사이클당 성장률(GPC) 대 산소 플라즈마 시간을 제공하며, 이는 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄 및 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄 둘 모두가 PEALD 응용분야에 대해 적합하는 것을 실증한다.
본원에 인용된 공보, 특허출원, 및 특허는 각 참조문헌이 개별적으로 구체적으로 참조로 편입된 것을 나타내며, 그것의 전문이 본원에 제시된 바와 동일한 범위로 본원에 참조로 편입된다.
본 발명을 기술하는 맥락 (특히 하기 청구항의 맥락)에서의 용어("a" and "an" 및 "the") 및 유사 지시대상의 사용은, 본원에 달리 나타내거나 또는 맥락에서 명확하게 상반되지 않는 한, 단수 및 복수 모두를 포함하는 것으로 해석되어야 한다. 용어 "포함하는(comprising)", "갖는", "포함하는(including)" 및 "함유하는"은 달리 언급하지 않는 한, 개방형 말단 용어로서 해석되어야 한다(즉, "포함하나, 이에 제한되지 않는"을 의미함). 본원의 값의 범위의 인용은 달리 나타내지 않는 한, 단순히 그 범위 내에 포함되는 각 별개의 값에 대해 개별적으로 언급하는 단축형 방법으로서 역할을 하며, 각각의 개별적인 값은 이것이 개별적으로 본원에 언급되는 바와 같이 명세서에 편입된다. 본원에 기재된 모든 방법은 달리 본원에 나타내지 않거나 또는 달리 명확하게 맥락에서 상반되지 않는 한 임의의 적합한 순서로 수행될 수 있다. 본원에 제공되는 임의의 그리고 모든 예, 또는 예시적인 표면 (예를 들어, "예컨대")는 단순히 본 발명을 더 잘 예시하기 위한 것으로 의도되며, 달리 청구되지 않는 한, 본 발명의 범위를 제한하지 않는다. 명세서에서의 표현은 본 발명의 실시에 본질적인 임의의 청구되지 않은 구성요소를 나타내는 것으로 해석되서는 안 된다.
본 발명을 실시하기 위한 본 발명자에에 잘 알려진 최적 방식을 포함하는 본 발명의 바람직한 구현예는 본원에 기재되어 있다. 바람직한 구현예의 변형은 상술한 설명을 읽은 후 당업자에게 자명할 수 있다. 본 발명자는 숙련가가 이러한 변형을 적절하게 이용할 것으로 예상하며, 본 발명자는 본 발명을 본원에 구체적으로 기재된 바와 달리 실시되하는 것을 의도한다. 따라서, 본 발명은 적용가능한 법에 의해 허용되는 본원에 첨부된 청구항에 인용된 주제의 모든 변형예 및 균등물을 포함한다. 또한, 모든 가능한 이의 변형예 중의 상기 기재된 구성요소의 임의의 조합은 본원에 달리 나타내지 않거나 또는 그렇지 않으면 맥락에 의해 분명하게 상반되지 않는 한, 본 발명에 의해 포괄된다.
일부 구현예에서 약 300 ℃ 이하 또는 다른 구현예에서 약 25℃ 내지 약 600 ℃의 하나 이상의 온도에서 예컨대 비제한적으로, 산화규소, 탄소-도핑된 산화규소 필름, 규소 산질화물, 탄소-도핑된 규소 산질화물 필름 또는 이의 조합과 같은 규소 및 산소를 포함하는 화학양론적 또는 비화학양론적 필름 또는 재료를 형성하기 위한 방법이 본원에 기재되어 있다. 본원에 기재된 필름은 증착 공정 예컨대 원자층 증착(ALD) 또는 ALD-유사 공정 예컨대 비제한적으로, 플라즈마 강화 ALD 또는 플라즈마 강화 사이클릭 화학 기상 증착 공정(CCVD)에서 증착된다. 본원에 기재된 저온 증착 (예를 들어, 약 주위 온도(약 25 ℃) 내지 약 600 ℃의 범위의 하나 이상의 증착 온도) 방법은 열 원자층 증착, 플라즈마 강화 원자층 증착 (ALD) 공정 또는 플라즈마 강화 ALD-유사 공정에서의 약 2.1 g/cm3 이상의 밀도, 낮은 화학 불순물, 높은 박막도포성, 생성된 필름에서의 탄소 함량을 조정하는 능력의 장점 중 하나 이상을 나타내는 필름 또는 재료를 제공하고; 및/또는 필름은 0.5 중량% 희석 HF에서 측정되는 경우에 5 옹스트롬/초(Å/sec) 이하의 에칭 속도를 가진다. 탄소-도핑된 산화규소 필름의 경우, 1 중량% 초과의 탄소는 비제한적으로 약 1.8 g/cm3 이상 또는 약 2.0 g/cm3 이상의 밀도와 같이 다른 특성 이외에 2 Å/sec 미만으로 에칭 속도를 조정하는 것이 바람직하다.
본 발명은 본 기술분야에 알려진 장비를 사용하여 실시될 수 있다. 예를 들어, 본 발명의 방법은 반도체 제조 기술분야에서 통상적인 반응기를 사용할 수 있다.
일 양태에서, 본 발명의 조성물은 기상 증착에서 사용하기 위한 규소 전구체로서 하나 이상의 오르가노아미노카르보실란 화합물을 포함하며, 여기서 하나 이상의 오르가노아미노카르보실란 화합물은 하나 이상의 SiH2 또는 SiMeH 기를 가지며, 하기 화학식 A의 구조로 표시된다:
Figure pct00003
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, C1 내지 C6 디알킬아미노기, C1 내지 C6 알킬아미노기로부터 선택되고; R2-5는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, 및 C4 내지 C10 아릴기로 이루어진 군으로부터 독립적으로 선택되고; R은 수소 또는 메틸로부터 선택되고; n= 2 또는 3이고; 단 R 및 R3-5는 모두 수소가 아닐 수 있다.
화학식 A의 특정 구현예에서, R1 및 R2는 함께 연결되어 고리를 형성한다. 하나의 특정 구현예에서, R1 및 R2는 선형 또는 분지형 C3 내지 C6 알킬기로부터 선택되고, 연결되어 환형 고리를 형성한다. 화학식 A의 대안적인 구현예에서, R1 및 R2는 연결되어 환형 고리를 형성하지 않는다. 화학식 A의 특정 구현예에서, R1 및 R2는 동일하다. 다른 구현예에서, R1 및 R2는 상이하다. 하나의 특정 구현예에서, R1 및 R2는 선형 또는 분지형 C1 내지 C10 알킬기 예컨대 메틸, 에틸, 이소-프로필, sec-부틸, tert-부틸로부터 선택되고; R3-5는 독립적으로 수소 또는 C1 알킬, 즉 메틸로부터 선택된다.
본원에 기재된 오르가노아미노카르보실란 전구체는 미세전자 장치 제조 공정에서 CVD 또는 ALD 전구체로서 이상적으로 적합하게 하는 반응성과 안정성의 균형을 나타낸다. 반응성과 관련하여, 본 발명에서의 오르가노아미노카르보실란은 ALD 공정 과정에서 하이드록실 표면과 오르가노아미노카르보실란 전구체가 반응하는 경우에 Si-O-SH2 또는 Si-O-SHR (바람직하게는 R=Me) 연결의 형성을 보조하는 유일한 하나의 오르가노아미노기를 가진다. 특정 이론에 구속되는 것을 의도함 없이, SiH2 또는 SHMe의 풋프린트가 작을수록, 특히 SiH2는 Si-(CH2)2-Si 또는 Si-(CH2)3-Si을 갖는 더 많은 규소-함유 절편이 고정되게 하고, 이에 따라 단지 규소 원자만을 갖는 종래의 규소 전구체와 비교하여 사이클당 더 높은 성장 속도를 제공하는 것으로 여겨진다. 특정 전구체는 비점이 너무 높아 기화되고 반응기로 전달되어 기판 상에 필름으로 증착되지 못하는 비점을 가질 수 있고, 그리하여 더 작은 오르가노아미노기뿐만 아니라 더 작은 알킬을 선택하여 250℃ 이하의 비점, 바람직하게는 200℃ 이하의 비점을 갖는 전구체를 제공하는 것이 바람직하다. 선행기술에 개시된 바와 같은 2개 이상의 오르가노아미노기를 갖는 것은 비점을 상당하게 증가시킬 수 있고, 더 높은 상대적인 비점을 갖는 전구체는 컨테이너, 라인, 또는 둘 모두에서 응결 또는 입자가 형성되는 것을 방지하기 위해 전달 컨테이너 및 라인이 주어진 진공 하에 전구체의 비점 이상으로 가열될 필요가 있음이 요구한다. 안정성과 관련하여, 다른 전구체는 이들이 분해됨에 따라 실란 (SiH4) 또는 디실란 (Si2H6)을 형성할 수 있다. 실란은 실온에서 발화성이거나 또는 이는 자발적으로 연소할 수 있고, 이는 안전 및 취급 문제를 나타낸다. 또한, 실란 또는 디실란 및 다른 부산물의 형성은 전구체의 불순물 수준을 감소시키고, 화학 순도에 있어서의 1-2 중량%로 적은 변화도 신뢰성 반도체 제조를 위해 허용되지 않는 것으로 고려될 수 있다. 특정 구현예에서, 본원에 기재된 화학식 I를 갖는 오르가노아미노카르보실란 전구체는 자가 안정성을 나타내는 6개월 이상, 또는 1년 이상의 기간 동안 저장된 이후에 2 중량% 이하, 또는 1 중량% 이하, 또는 0.5 중량% 이하의 부산물 포함한다. 상기 장점 이외에, ALD, ALD-유사, PEALD, 또는 CCVD 증착 방법을 사용하여 산화규소 또는 질화규소 또는 규소 필름을 증착시키기 위한 것과 같은 특정 구현예에서, 본원에 기재된 오르가노아미노카르보실란 전구체는 상대적으로 낮은 증착 온도, 예를 들어, 500℃ 이하, 또는 400℃ 이하, 300℃ 이하, 200℃ 이하, 100℃ 이하, 또는 50℃ 이하에서 고밀도 물질을 증착시킬 수 있다.
다른 양태에서, 하기를 포함하는 조성물이 제공된다: (a) 하기 화학식 A로 표시되는 화합물을 포함하는 오르가노아미노 작용기에 연결되는 하나 이상의 SiH2 또는 SiMeH 기를 갖는 하나 이상의 오르가노아미노카르보실란 화합물, 및 (b) 용매.
Figure pct00004
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, C1 내지 C6 디알킬아미노기, C1 내지 C6 알킬아미노기로부터 선택되고; R2-5는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, 및 C4 내지 C10 아릴기로 이루어진 군으로부터 독립적으로 선택되고; R은 수소 또는 메틸로부터 선택되고; n= 2 또는 3이고; 단 R 및 R3-5는 모두 수소가 아닐 수 있다.
본원에 기재된 조성물의 특정 구현예에서, 예시적인 용매는 비제한적으로 에테르, 3급 아민, 알킬 탄화수소, 방향족 탄화수소, 3급 아미노에테르, 및 이의 조합을 포함할 수 있다. 특정 구현예에서, 오르가노아미노카르보실란의 비점과 용매의 비점 사이의 차이는 40℃ 이하이다. 화학식 A의 특정 구현예에서, R1 및 R2는 함께 연결되어 고리를 형성한다. 하나의 특정 구현예에서, R1 및 R2는 선형 또는 분지형 C3 내지 C6 알킬기로부터 선택되고, 연결되어 환형 고리를 형성한다. 화학식 A의 대안적인 구현예에서, R1 및 R2는 함께 연결되어 고리를 형성하지 않는다. 화학식 A의 특정 구현예에서, R1 및 R2는 동일하다. 다른 구현예에서, R1 및 R2는 상이하다. 하나의 특정 구현예에서, R1 및 R2는 독립적으로 선형 또는 분지형 C1 내지 C10 알킬기 예컨대 메틸, 에틸, 이소-프로필, sec-부틸, tert-부틸로부터 선택되고; R3-5는 독립적으로 수소 또는 C1 알킬, 즉 메틸로부터 선택된다.
다른 구현예에서, 본 발명은 규소 및 산소를 포함하는 필름을 기판 상에 증착시키기 위한 방법을 제공하며, 이는 하기 단계를 포함한다:
a. 반응기에 기판을 제공하는 단계;
b. 본원에 기재된 화학식 A를 갖는 하나 이상의 규소 전구체를 반응기로 주입하는 단계;
c. 퍼지 가스로 반응기를 퍼징하는 단계;
d. 산소-함유 공급원을 반응기로 주입하는 단계; 및
e. 퍼지 가스로 반응기를 퍼징하는 단계.
상기 기재된 방법에서, 단계 b 내지 e는 원하는 두께의 필름이 기판 상에 증착될 때까지 반복된다.
바람직한 구현예에서, 본 발명의 방법은 플라즈마를 포함하는 산소-함유 공급원을 사용하는 ALD 공정을 통해 실시되고, 여기서 플라즈마는 불활성 가스 예컨대 하기 중 하나 이상을 더 포함할 수 있다: 불활성 가스를 갖거나 갖지 않는 산소 플라즈마, 불활성 가스를 갖거나 갖지 않는 수증기 플라즈마, 불활성 가스를 갖거나 갖지 않는 산화질소 (예를 들어, N2O, NO, NO2) 플라즈마, 불활성 가스를 갖거나 갖지 않는 산화탄소 (예를 들어, CO2, CO) 플라즈마, 및 이의 조합.
산소-함유 공급원은 원위치에서, 또는 대안적으로, 원거리에서 생성될 수 있다. 하나의 특정 구현예에서, 산소-함유 공급원은 산소를 포함하고, 유동하거나, 또는 다른 시약 예컨대 비제한적으로 하나 이상의 규소 전구체 및 임의로 불활성 가스와 함께 방법 단계 b 내지 d 과정에서 주입된다.
특정 구현예에서, 화학식 A에서의 R2-5는 수소 또는 메틸기를 포함한다. 화학식 A로 표시되는 추가의 예시적인 전구체는 표 1에 열거되어 있다.
[표 1] 화학식 A의 예시적인 오르가노아미노카르보실란 화합물
Figure pct00005
Figure pct00006
Figure pct00007
Figure pct00008
Figure pct00009
Figure pct00010
상기 화학식 및 설명 전반에서, 용어 "알킬"은 1 내지 10개의 탄소 원자를 갖는 선형 또는 분지형 작용기를 의미한다. 예시적인 선형 알킬기는 비제한적으로 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실 기를 포함한다. 예시적인 분지형 알킬기는 비제한적으로 이소-프로필, 이소-부틸, sec-부틸, tert-부틸, 이소-펜틸, tert-펜틸, 이소-헥실, 및 네오-헥실을 포함한다. 특정 구현예에서, 알킬기는 이에 부착되는 하나 이상의 작용기, 예컨대, 비제한적으로 이에 부착되는 알콕시기, 디알킬아미노기 또는 이들의 조합을 가질 수 있다. 다른 구현예에서, 알킬기는 이에 부착되는 하나 이상의 작용기를 가지지 않는다. 알킬기는 포화되거나, 또는, 대안적으로 불포화될 수 있다.
상기 화학식 및 설명 전반에서, 용어 "환형 알킬"은 4 내지 10개의 탄소 원자를 갖는 환형 작용기를 의미한다. 예시적인 환형 알킬기는 비제한적으로 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함한다.
상기 화학식 및 설명 전반에서, 용어 "알케닐기"는 하나 이상의 탄소-탄소 이중 결합을 가지고, 2 내지 10 또는 2 내지 10 또는 2 내지 6개의 탄소 원자를 갖는 기를 의미한다.
상기 화학식 및 설명 전반에서, 용어 "알키닐기"는 하나 이상의 탄소-탄소 삼중 결합을 갖고, 3 내지 10 또는 2 내지 10 또는 2 내지 6개의 탄소 원자를 갖는 기를 의미한다.
상기 화학식 및 설명 전반에서, 용어 "디알킬아미노기 또는 알킬아미노기"는 질소 원자에 결합된 2개의 알킬기 또는 질소 원자에 결합된 하나의 알킬을 갖고, 1 내지 10 또는 2 내지 6 또는 2 내지 4개의 탄소 원자를 갖는 기를 의미한다. 그 예는 비제한적으로 HNMe, HNBut, NMe2, NMeEt, NEt2, NPri 2를 포함한다.
상기 화학식 및 설명 전반에서, 용어 "아릴"은 4 내지 10개의 탄소 원자, 5 내지 10개의 탄소 원자, 또는 6 내지 10개의 탄소 원자를 갖는 방향족 환형 작용기를 의미한다. 예시적인 아릴기는 비제한적으로 페닐, 벤질, 클로로벤질, 톨릴, o-크실릴, 1,2,3-트리아졸릴, 피롤릴, 및 푸라닐을 포함한다.
본 발명의 화학식 A는 하기 반응식 (1) 내지 (4)에 의해 제조될 수 있다:
Figure pct00011
반응식 (1) 내지 (4)에서의 반응은 유기 용매를 사용하여 (예를 들어 이의 존재 하에) 또는 이를 사용하지 않고 (예를 들어, 이의 부재 하에) 실시될 수 있다. 유기 용매가 사용되는 구현예에서, 적합한 유기 용매의 예는 비제한적으로 탄화수소 예컨대 헥산, 옥탄, 톨루엔, 및 에테르 예컨대 디에틸에테르 및 테트라하이드로푸란(THF)을 포함한다. 이러한 또는 다른 구현예에서, 반응 온도는 약 -70℃로부터 용매가 사용되는 경우에 이용되는 용매의 비점까지의 범위이다. 생성된 규소 전구체 화합물은 예를 들어 모든 부산물뿐만 아니라 존재하는 경우의 임의의 용매(들)을 제거한 이후 진공 증류를 통해 정제될 수 있다.
반응식 (1) 내지 (4)는 할라이도트리알킬실란 및 문헌에 기재된 바와 같은 1급 또는 2급 아민 사이의 반응을 수반하는 화학식 A를 갖는 규소 전구체 화합물을 제조하기 위한 예시적인 합성 경로이다. 선행 기술에 개시된 바와 같은 화학식 A를 갖는 이러한 규소 전구체 화합물을 제조하기 위해서 반응식 (5) 내지 (8)과 같은 다른 합성 경로가 또한 이용될 수 있다.
Figure pct00012
본 발명의 방법에 이용되는 촉매는 규소-질소 결합의 형성을 촉진하는 것, 즉 탈수소-커플링 촉매이다. 본원에 기재된 방법과 함께 사용될 수 있는 예시적이 촉매는 비제한적으로 하기를 포함한다: 알칼리토 금속 촉매: 할라이드-무함유 주족, 전이금속, 란탄족, 및 악티늄족 촉매; 및 할라이드-함유 주족, 전이금속, 란탄족, 및 악티늄족 촉매.
예시적인 알칼리토 금속 촉매는 비제한적으로 하기를 포함한다: Mg[N(SiMe3)2]2, ToMMgMe [ToM =트리스(4,4-디메틸-2-옥사졸리닐)페닐보레이트], ToMMg-H, ToMMg-NR2 (R = H, 알킬, 아릴) Ca[N(SiMe3)2]2, [(dipp-nacnac)CaX(THF)]2 (dipp-nacnac = CH[(CMe)(2,6- i Pr2-C6H3N)]2; X = H, 알킬, 카르보실릴, 오르가노아미노), Ca(CH2Ph)2, Ca(C3H5)2, Ca(α-Me3Si-2-(Me2N)-벤질)2(THF)2, Ca(9-(Me3Si)-플루오레닐)(α-Me3Si-2-(Me2N)-벤질)(THF), [(Me3TACD)3Ca3(μ 3-H)2]+ (Me3TACD = Me3[12]aneN4), Ca(η 2-Ph2CNPh)(hmpa)3 (hmpa = 헥사메틸프로포아미드), Sr[N(SiMe3)2]2, 및 다른 M2+ 알칼리토 금속-아미드, -이민, -알킬, -할라이드, 및 -카르보실릴 착물 (M = Ca, Mg, Sr, Ba).
예시적인 할라이드-무함유, 주족, 전이 금속, 란탄족, 및 악티늄족 촉매는 비제한적으로 하기를 포함한다: 1,3-디-이소-프로필-4,5-디메틸이미다졸-2-일리덴, 2,2'-바이피리딜, 페난트롤린, B(C6F5)3, BR3 (R = 선형, 분지형, 또는 환형 C1 내지 C10 알킬기, C5 내지 C10 아릴기, 또는 C1 내지 C10 알콕시기), AlR3 (R = 선형, 분지형, 또는 환형 C1 내지 C10 알킬기, C5 내지 C10 아릴기, 또는 C1 내지 C10 알콕시기), (C5H5)2TiR2 (R = 알킬, H, 알콕시, 오르가노아미노, 카르보실릴), (C5H5)2Ti(OAr)2 [Ar = (2,6-(iPr)2C6H3)], (C5H5)2Ti(SiHRR')PMe3 (식 중, R, R' 각각은 H, Me, Ph로부터 독립적으로 선택됨), TiMe2(dmpe)2 (dmpe = 1,2-비스(디메틸포스피노)에탄), 비스(벤젠)크로뮴(0), Cr(CO)6, Mn2(CO)12, Fe(CO)5, Fe3(CO)12, (C5H5)Fe(CO)2Me, Co2(CO)8, Ni(II) 아세테이트, 니켈(II) 아세틸아세토네이트, Ni(사이클로옥타디엔)2, [(dippe)Ni(μ-H)]2 (dippe = 1,2-비스(디-이소-프로필포스피노)에탄), (R-인데닐)Ni(PR'3)Me (R = 1-iPr, 1-SiMe3, 1,3-(SiMe3)2; R' = Me,Ph), [{Ni(η-CH2:CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}], Cu(I) 아세테이트, CuH, [트리스(4,4-디메틸-2-옥사졸리닐)페닐보레이트]ZnH, (C5H5)2ZrR2 (R = 알킬, H, 알콕시, 오르가노아미노, 카르보실릴), Ru3(CO)12, [(Et3P)Ru(2,6-디메시틸티오페놀레이트)][B[3,5-(CF3)2C6H3]4], [(C5Me5)Ru(R3P)x(NCMe)3 -x]+ (식 중, R은 선형, 분지형, 또는 환형 C1 내지 C10 알킬기 및 C5 내지 C10 아릴기로부터 선택됨; x = 0, 1, 2, 3), Rh6(CO)16, 트리스(트리페닐포스핀)로듐(I)카보닐 하이드라이드, Rh2H2(CO)2(dppm)2 (dppm = 비스(디페닐포스피노)메탄, Rh2(μSiRH)2(CO)2(dppm)2 (R = Ph, Et, C6H13), Pd/C, 트리스(디벤질리덴아세톤)디팔라듐(0), 테트라키스(트리페닐포스핀)팔라듐(0), Pd(II) 아세테이트, (C5H5)2SmH, (C5Me5)2SmH, (THF)2Yb[N(SiMe3)2]2, (NHC)Yb(N(SiMe3)2)2 [NHC = 1,3-비스(2,4,6-트리메틸페닐) 이미다졸-2-일리덴)], Yb(η 2-Ph2CNPh)(hmpa)3 (hmpa = 헥사메틸포스포르아미드), W(CO)6, Re2(CO)10, Os3(CO)12, Ir4(CO)12, (아세틸아세토네이토)디카보닐이리듐(I), Ir(Me) 2(C5Me5)L (L = PMe3, PPh3), [Ir(사이클로옥타디엔)OMe]2, PtO2 (아담스(Adams) 촉매), 탄소 상의 백금 (Pt/C), 탄소 상의 루테늄(Ru/C), 탄소 상의 팔라듐, 탄소 상의 니켈, 탄소 상의 오스뮴, 백금(0)-1,3-디비닐-1,1,3,3-테트라메틸디실록산 (카르스테트(Karstedt) 촉매), 비스(트리-tert-부틸포스핀)백금(0), Pt(사이클로옥타디엔)2, [(Me3Si)2N]3U][BPh4], [(Et2N)3U][BPh4], 및 다른 할라이드-무함유 Mn+ 착물 (M = Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Y, Zr, Nb, Mo, Ru, Rh, Pd, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, Ta, W, Re, Os, Ir, Pt, U; n = 0, 1, 2, 3, 4, 5, 6).
예시적인 할라이드-함유, 주족, 전이금속, 란탄족, 및 악티늄족 촉매는 비제한적으로 하기를 포함한다: BX3 (X = F, Cl, Br, I), BF3·OEt2, AlX3 (X = F, Cl, Br, I), (C5H5)2TiX2 (X = F, CI), [Mn(CO)4Br]2, NiCl2, (C5H5)2ZrX2 (X = F, CI), PdCl2, PdI2, CuCl, CuI, CuF2, CuCl2, CuBr2, Cu(PPh3)3Cl, ZnCl2, [(C6H6)RuX2]2 (X = Cl, Br, I), (Ph3P)3RhCl (윌킨슨 촉매), [RhCl(사이클로옥타디엔)]2, 디-μ-클로로-테트라카보닐디로듐(I), 비스(트리페닐포스핀)로듐(I) 카보닐 염화물, NdI2, SmI2, DyI2, (POCOP)IrHCl (POCOP = 2,6-(R2PO)2C6H3; R = iPr, nBu, Me), H2PtCl6·nH2O (스피어(Speier) 촉매), PtCl2, Pt(PPh3)2Cl2, 및 다른 할라이드-함유 Mn+ 착물 (M = Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Y, Zr, Nb, Mo, Ru, Rh, Pd, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, Ta, W, Re, Os, Ir, Pt, U; n = 0, 1, 2, 3, 4, 5, 6).
본 발명에 따른 화학식 A를 갖는 규소 전구체 화합물 및 상기 본 발명에 따른 화학식 A를 갖는 규소 전구체 화합물을 포함하는 조성물은 바람직하게는 할라이드 이온을 실질적으로 함유하지 않는다. 본원에 사용되는 바와 같이, 할라이드 이온(또는 할라이드) 예컨대, 예를 들어, 염화물 (즉, 염화물-함유 종 예컨대 HCl 또는 하나 이상의 Si-Cl 결합을 갖는 규소 화합물) 및 불화물, 브롬화물, 및 요오드화물과 관련되는 용어 "실질적으로 함유하지 않음"은 이온 크로마토그래피(IC)에 의해 측정되는 5 ppm (중량 기준) 미만, 바람직하게는 이온 크로마토그래피(IC)에 의해 측정되는 3 ppm 미만, 보다 바람직하게는 이온 크로마토그래피(IC)에 의해 측정되는 1 ppm 미만, 가장 바람직하게는 이온 크로마토그래피(IC)에 의해 측정되는 0 ppm을 의미한다. 염화물은 화학식 A를 갖는 규소 전구체 화합물에 대한 분해 촉매로서 알려져 있다. 최종 생성물에서의 유의미한 수준의 염화물은 규소 전구체 화합물이 분해되는 것을 야기할 수 있다. 규소 전구체 화합물의 점차적인 분해는 직접적으로 필름 증착 공정에 영향을 주고, 이는 이것이 반도체 제조자가 필름 사양을 충족시키기 어렵게 만든다. 또한, 반감기 또는 안정성은 규소 전구체 화합물의 더 높은 분해 속도에 의해 부정적인 영향을 받으며, 이에 의해 1-2년 반감기를 보장하는 것을 어렵게 만든다. 따라서, 규소 전구체 화합물의 가속된 분해는 이러한 가연성 및/또는 발화성 기체 부산물의 형성과 관련된 안전성 및 성능 문제점을 제공한다. 화학식 A를 갖는 규소 전구체 화합물은 바람직하게는 금속 이온, 예컨대 Li+, Al3 +, Fe2 +, Fe2 +, Fe3 +, Ni2 +, Cr3 +를 실질적으로 함유하지 않는다. 본원에 사용되는 바와 같이, Li, Al, Fe, Ni, Cr과 관련되는 용어 "실질적으로 함유하지 않음"은 ICP-MS로 측정되는 5 ppm 미만 (중량 기준), 바람직하게는 3 ppm 미만, 보다 바람직하게는 1 ppm 미만, 가장 바람직하게는 0.1 ppm을 의미한다. 일부 구현예에서, 화학식 A를 갖는 규소 전구체 화합물은 금속 이온, 예컨대 Li+, Al3 +, Fe2 +, Fe3 +, Ni2+, Cr3 +를 함유하지 않는다. 본원에 사용되는 바와 같이, Li, Al, Fe, Ni, Cr, 귀금속 예컨대 Ru 또는 Pt (루테늄(Ru) 또는 백금(Pt)은 합성시 사용되는 루테늄 또는 백금 촉매로부터의 불순물일 수 있음)과 관련되는 용어 "함유하지 않음"은 ICP-MS로 측정되는 0 ppm (중량 기준)을 의미한다.
화학식 A를 갖는 규소 전구체(들)이 용매 및 본원에 기재된 화학식 A를 갖는 규소 전구체 화합물을 포함하는 조성물에 사용되는 구현예의 경우, 선택되는 용매 또는 이들의 혼합물은 규소 전구체와 반응하지 않는다. 조성물 중의 중량 백분율로의 용매의 양은 0.5 중량% 내지 99.5 중량% 또는 10 중량% 내지 75 중량%의 범위이다. 이러한 그리고 다른 구현예에서, 용매는 화학식 A의 규소 전구체의 b.p.와 유사한 비점(b.p.)을 가지거나, 또는 용매의 b.p.와 화학식 A의 규소 전구체의 b.p. 사이의 차이는 40℃ 이하, 30℃ 이하, 또는 20℃ 이하, 또는 10℃이다. 대안적으로, 비점 사이의 차이는 하기 종점 중 임의의 하나 이상으로부터의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차이의 적합한 범위의 예는 비제한적으로 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 포함한다. 조성물에서의 적합한 용매의 예는 비제한적으로 에테르(예컨대 1,4-디옥산, 디부틸 에테르), 3급 아민(예컨대 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴 (예컨대 벤조니트릴), 알킬 탄화수소 (예컨대 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소 (예컨대 톨루엔, 메시틸렌), 3급 아미노에테르 (예컨대 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물을 포함한다.
설명 전반에서, 용어 "ALD 또는 ALD-유사"는 비제한적으로 하기 공정을 포함하는 공정을 지칭한다: a) 규소 전구체 및 반응성 가스를 포함하는 각각의 반응물은 단일 웨이퍼 ALD 반응기, 반회분식 ALD 반응기, 또는 회분식 노 ALD 반응기와 같은 반응기로 연속적으로 주입하고; b) 규소 전구체 및 반응성 가스를 포함하는 각각의 반응물을 반응기의 상이한 구역으로 기판을 이동시키거나 또는 회전시킴으로써 기판을 노출시키고, 공간적 ALD 반응기 또는 롤투롤 ALD 반응기와 같이 상기 각각의 구역은 불활성 가스 커튼으로 분리된다.
특정 구현예에서, 본원에 기재된 방법을 사용하여 증착된 산화규소 또는 탄소 도핑된 산화규소 필름은 오존, 물(H2O)(예를 들어, 탈이온수, 정제수, 및/또는 증류수), 산소(O2), 산소 플라즈마, NO, N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2), 및 이의 조합을 포함하는 산소-함유 공급원의 존재 하에 형성된다. 산소-함유 공급원은 예를 들어 원위치 또는 원격 플라즈마 생성기에 통과되어 산소 플라즈마, 산소 및 아르곤을 포함하는 플라즈마, 산소 및 헬륨을 포함하는 플라즈마, 오존 플라즈마, 물 플라즈마, 산화질소 플라즈마, 또는 이산화탄소 플라즈마와 같은 산소를 포함하는 산소-함유 플라즈마 공급원을 제공한다. 특정 구현예에서, 산소-함유 플라즈마 공급원은 약 1 내지 약 2000 표준 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm의 범위의 유량으로 반응기로 주입되는 산소 공급원 가스를 포함한다. 산소-함유 플라즈마 공급원은 약 0.1 내지 약 100초의 범위의 시간 동안 주입될 수 있다. 하나의 특정 구현예에서, 산소-함유 플라즈마 공급원은 10℃ 이상의 온도의 물을 포함한다. 필름이 PEALD 또는 플라즈마 강화 사이클릭 CVD 공정에 의해 증착되는 구현예에서, 전구체 펄스는 ALD 반응기의 용적에 따라 0.01초 초과 (예를 들어, 약 0.01 내지 약 0.1초, 약 0.1 내지 약 0.5초, 약 0.5 내지 약 10초, 약 0.5 내지 약 20초, 약 1 내지 약 100초)의 펄스 기간을 가질 수 있고, 산소-함유 플라즈마 공급원은 0.01초 미만 (예를 들어, 약 0.001 내지 약 0.01초)의 펄스 기간을 가질 수 있다.
본원에 개시된 증착 방법은 하나 이상의 퍼지 가스를 수반할 수 있다. 소모되지 않은 반응물을 퍼징하기 위해 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스는 비제한적으로 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 혼합물을 포함한다. 특정 구현예에서, 퍼지 가스 예컨대 Ar은 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm의 범위의 유량으로 반응기로 공급되고, 이에 의해 반응기에 잔류될 수 있는 미반응된 물질 및 임의의 부산물을 퍼징한다.
전구체, 산소 공급원, 및/또는 다른 전구체, 공급 가스, 및/또는 시약을 공급하는 각각의 단계는 이들을 공급하는 시간을 변화시켜 생성된 유전체 필름의 화학양론적 조성을 변화시킴으로써 수행될 수 있다.
에너지는 규소 전구체, 산소 함유 공급원, 또는 이의 조합 중 하나 이상에 공급되어 반응을 유도하고, 기판 상의 유전체 필름 또는 코팅을 형성한다. 이러한 에너지는 비제한적으로 열, 플라즈마, 펄싱된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, 전자빔, 광자, 원격 플라즈마 방법, 및 이의 조합에 의해 제공될 수 있다. 특정 구현예에서, 2차 RF 주파수 공급원이 사용되어 기판 표면에서의 플라즈마 특성을 수정할 수 있다. 증착이 플라즈마를 수반하는 구현예에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접적으로 생성되는 직접 플라즈마-생성 공정, 또는 대안적으로, 플라즈마가 반응기 외부에서 생성되고, 반응기로 공급되는 원격 플라즈마-생성 공정을 포함할 수 있다.
하나 이상의 규소 전구체는 반응기 챔버 예컨대 플라즈마 강화 사이클릭 CVD 또는 PEALD 반응기 또는 회분식 노 유형 반응기에 다양한 방식으로 전달될 수 있다. 일 구현예에서, 액체 전달 시스템이 이용될 수 있다. 대안적인 구현예에서, 조합된 액체 전달 및 플래쉬 증발 공정 유닛, 예컨대, 예를 들면 공급처(MSP Corporation of Shoreview, MN)에 의해 제조된 터보 증발기가 이용되어 저휘발성 물질이 용적측정방식으로 전달되게 할 수 있고, 이는 전구체의 열분해 없이 재현가능한 수송 및 증착을 야기한다. 액체 전달 제제에서, 본원에 기재된 전구체는 순수 액체 형태로 전달될 수 있거나, 또는 대안적으로, 용매 제제 또는 이를 포함하는 조성물로 이용될 수 있다. 이에 따라, 특정 구현예에서, 전구체 제제는 기판 상에 필름을 형성하기 위한 주어진 최종 용도 응용에서 바람직하고 유리할 수 있는 적합한 특성의 용매 성분(들)을 포함할 수 있다.
본원에 기재된 하나 이상의 규소 전구체가 용매 및 본원에 기재된 하나 이상의 규소 전구체를 포함하는 조성물에서 사용되는 구현예의 경우에, 선택된 용매 또는 이의 혼합물은 규소 전구체와 반응하지 않는다. 조성물에서의 중량 백분율에 의한 용매의 양은 0.5 중량% 내지 99.5 중량% 또는 10 중량% 내지 75 중량%의 범위이다. 이러한 또는 다른 구현예에서, 용매는 하나 이상의 규소 전구체의 b.p.와 유사한 비점(b.p.)을 가지거나 또는 용매의 b.p.와 하나 이상의 규소 전구체의 b.p. 사이의 차이는 40℃ 이하, 30℃ 이하, 또는 20℃ 이하, 또는 10℃ 이하이다. 대안적으로, 비점들 사이의 차이는 하기 종점 중 임의의 하나 이상으로부터의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차이의 적합한 범위의 예는 비제한적으로 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃을 포함한다. 조성물에서의 적합한 용매의 예는 비제한적으로 에테르(예컨대 1,4-디옥산, 디부틸 에테르), 3급 아민(예컨대 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예컨대 벤조니트릴), 알칸(예컨대 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소(예컨대 톨루엔, 메시틸렌), 3급 아미노에테르 (예컨대 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물을 포함한다.
앞서 언급한 바와 같이, 하나 이상의 규소 전구체의 순도 수준은 신뢰할 수 있는 반도체 제조에 대해 허용되도록 충분하게 높은 것이다. 특정 구현예에서, 본원에 기재된 하나 이상의 규소 전구체는 2 중량% 미만, 1 중량% 미만, 0.5 중량% 미만의 하기 불순물 중 하나 이상을 포함한다: 자유 아민, 자유 할라이드, 또는 할로겐 이온, 및 더 높은 분자량 종. 본원에 기재된 규소 전구체의 더 높은 순도 수준은 하기 공정 중 하나 이상을 통해 얻을 수 있다: 정제, 흡착, 및/또는 증류.
본원에 기재된 방법의 일 구현예에서, 플라즈마 강화 사이클릭 증착 공정 예컨대 PEALD-유사 또는 PEALD가 사용될 수 있고, 여기서 증착은 하나 이상의 규소 전구체 및 산소 공급원을 사용하여 실시된다. PEALD-유사 공정은 플라즈마 강화 사이클릭 CVD 공정으로 정의되나, 여전히 높은 박막도포성의 산화규소 필름을 제공한다.
특정 구현예에서, 전구체 캐니스터로부터 반응기 챔버로 연결되는 가스 라인은 공정 요건에 따라 하나 이상의 온도로 가열되고, 하나 이상의 규소 전구체의 컨테이너는 버블링(bubbling)을 위해 하나 이상의 온도로 유지된다. 다른 구현예에서, 하나 이상의 규소 전구체를 포함하는 용액은 직접 액체 주입을 위한 하나 이상의 온도로 유지되는 증발기에 주입된다.
아르곤 및/또는 다른 가스의 흐름은 전구체 펄싱(pulsing) 과정에서 하나 이상의 규소 전구체의 증기를 반응기 챔버로 전달하는 것을 보조하기 위한 캐리어 가스로서 이용될 수 있다. 특정 구현예에서, 반응 챔버 공정 압력은 약 50 mTorr 내지 10 Torr이다. 다른 구현예에서, 반응 챔버 공정 압력은 최대 760 Torr(예를 들어, 약 50 mtorr 내지 약 100 Torr)일 수 있다.
전형적인 PEALD 또는 PEALD-유사 공정 예컨대 PECCVD 공정에서, 기판 예컨대 산화규소 기판은 초기에 규소 전구체에 노출되는 반응 챔버에서의 히터 스테이지 상에서 가열되어 착물이 기판의 표면 상에 화학적으로 흡착되게 한다.
퍼지 가스 예컨대 아르곤 퍼지는 공정 챔버로부터 미흡수된 과량의 착물을 퍼징한다. 충분한 퍼징 이후, 산소 공급원은 반응 챔버로 주입되어 흡수된 표면과 반응하고 이후 다른 가스 퍼지가 후속되어 챔버로부터 반응 부산물을 제거할 수 있다. 공정 사이클은 반복되어 원하는 필름 두께를 달성할 수 있다. 일부 경우에서, 펌핑은 불활성 가스로의 퍼지를 대체할 수 있거나 또는 둘 모두 미반응된 규소 전구체를 제거하기 위해 이용될 수 있다.
이러한 또는 다른 구현예에서, 본원에 기재된 방법의 단계는 다양한 순서로 수행될 수 있고, 연속적으로 수행될 수 있고, (예를 들어 다른 단계 중 적어도 일부 과정에서) 동시에 수행될 수 있고, 이들의 조합인 것으로 이해된다. 전구체 및 산소 공급원 가스를 공급하는 각각의 단계는 이들을 공급하기 위한 시간의 기간을 변화시켜 생성되는 유전체 필름의 화학양론적 조성을 변화시킴으로써 수행될 수 있다. 또한, 전구체 또는 산화제 단계 이후의 퍼지 시간은 처리량이 개선되도록 < 0.1로 최소화될 수 있다.
기판 상에 고품질 산화규소 필름을 증착시키기 위한 본원에 기재된 방법의 하나의 특정 구현예는 하기 단계를 포함한다:
a. 반응기에 기판을 제공하는 단계;
b. 본원에 기재된 화학식 A를 갖는 하나 이상의 규소 전구체를 반응기로 주입하는 단계;
c. 퍼지 가스로 반응기를 퍼징하여 미흡수된 전구체의 적어도 일부를 제거하는 단계;
d. 산소-함유 플라즈마 공급원을 반응기로 주입하는 단계; 및
e. 퍼지 가스로 반응기를 퍼징하여 미반응된 산소 공급원의 적어도 일부를 제거하는 단계,
여기서 단계 b 내지 e는 원하는 두께의 산화규소 필름이 증착될 때까지 반복된다.
본원에 개시된 또 다른 방법은 상기 정의된 화학식 A로 표시되는 구조를 갖는 오르가노아미노카르보실란 화합물 및 산소 공급원을 사용하여 탄소 도핑된 산화규소 필름을 형성한다.
또 다른 구차의 예시적인 공정은 하기와 같이 기재된다:
a. 반응기에 기판을 제공하는 단계;
b. 본원에 기재된 화학식 A의 오르가노아미노카르보실란 화합물로부터 생성된 증기를 공동-유동 산소 공급원과 함께 또는 이들 없이 접촉시켜 가열된 기판 상에 전구체를 화학적으로 흡수하는 단계;
c. 임의의 미흡수된 전구체를 퍼징하는 단계;
d. 가열된 기판 상에 산소 공급원을 주입하여 흡수된 전구체와 반응시키는 단계; 및
e. 임의의 미반응된 산소 공급원을 퍼징하는 단계,
여기서 단계 b 내지 e는 원하는 두께가 달성될 때까지 반복된다.
다양한 상업적 ALD 반응기 예컨대 단일 웨이퍼, 반회분식, 회분식 노 또는 롤투롤 반응기가 고체 산화규소 또는 탄소 도핑된 산화규소를 증착시키기 위해 시용될 수 있다.
일부 구현예에서, 본원에 기재된 방법에 대한 공정 온도는 종점으로서 하기 온도 중 하나 이상을 사용한다: 0, 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 및 300 ℃. 예시적인 온도 범위는 비제한적으로 약 0℃ 내지 약 300℃; 또는 약 25℃ 내지 약 300℃; 또는 약 50℃ 내지 약 290℃; 또는 약 25℃ 내지 약 250℃, 또는 약 25℃ 내지 약 200℃을 포함한다. 다른 구현예에서, 본원에 기재된 방법에 대한 공정 온도는 종점으로서 하기 온도 중 하나 이상을 사용한다: 350, 325, 350, 375, 400, 425, 450, 475, 500, 525, 550, 575, 및 600℃. 예시적인 온도 범위는 비제한적으로 하기를 포함한다: 약 300℃ 내지 약 400℃; 또는 약 400℃ 내지 약 500℃; 또는 약 500℃ 내지 약 600℃.
다른 양태에서, 유동성 화학 기상 증착(FCVD)을 통한 규소-함유 필름을 증착하기 위한 방법이 제공되며, 상기 방법은 하기를 포함한다:
표면 피처를 포함하는 기판을 반응기에 배치하는 단계로서, 상기 기판은 약 -20℃ 내지 약 400℃의 범위의 하나 이상의 온도로 유지되고, 반응기의 압력은 100 torr 이하로 유지되는 단계;
하기 화학식 A의 하나 이상의 화합물을 주입하는 단계:
Figure pct00013
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, C1 내지 C6 디알킬아미노기, C1 내지 C6 알킬아미노기로부터 선택되고; R2-5는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, 및 C4 내지 C10 아릴기로 이루어진 군으로부터 독립적으로 선택되고; R은 수소 또는 메틸로부터 선택되고; n= 2 또는 3임;
산소 공급원을 반응기에 제공하여 하나 이상의 화합물과 반응시켜 필름을 형성하고, 표면 피처의 적어도 일부를 피복하는 단계;
약 100℃ 내지 1000℃의 하나 이상의 온도에서 필름을 어닐링하여 표면 피처의 적어도 일부를 코팅하는 단계; 및
약 20℃ 내지 약 1000℃의 범위의 하나 이상의 온도에서 기판을 산소 공급원으로 처리하여 표면 피처의 적어도 일부 상에 규소-함유 필름을 형성하는 단계. 특정 구현예에서, 산소 공급원은 수증기, 물 플라즈마, 오존, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 산화질소 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 및 이의 혼합물로 이루어진 군으로부터 선택된다. 이러한 또는 다른 구현예에서, 방법 단계는 표면 피처가 규소-함유 필름으로 충전될 때까지 반복된다. 수증기가 산소 공급원으로서 이용되는 구현예에서, 기판 온도는 약 -20℃ 내지 약 40℃ 또는 약 -10℃ 내지 약 25 ℃의 범위이다.
본원에 기재된 방법의 다른 추가의 구현예에서, 필름 또는 증착된 그대로의 필름은 필름 형성후 처리 단계에 가해진다. 처리 단계는 증착 단계의 적어도 일부 과정, 증착 단계 이후, 및 이의 조합 시점에서 실시될 수 있다. 예시적인 처리 단계는 비제한적으로 필름의 하나 이상의 특성에 영향을 주기 위한 고온 어닐링; 플라즈마 처리; 자외선광(UV) 처리; 레이저; 전자빔 처리 및 이의 조합을 포함한다. 본원에 기재된 화학식 A를 갖는 규소 전구체로 증착된 필름은 동일한 조건 하에 앞서 개시된 규소 전구체로 증착된 필름과 비교하여 개선된 특성, 예컨대, 비제한적으로 처리 단계 이전의 필름의 습식 에칭 속도보다 낮은 습식 에칭 속도 또는 처리 단계 이전의 밀도보다 더 높은 밀도를 가진다. 하나의 특정 구현예에서, 증착 공정 과정에서, 증착된 그대로의 필름은 간헐적으로 처리된다. 이러한 간헐적 또는 중간-증착 처리는 예를 들어 각 ALD 이후에, 예컨대 비제한적으로 일회 (1) ALD 사이클, 이회 (2) ALD 사이클, 오회 (5) ALD 사이클과 같은 모든 특정 수의 ALD 이후, 또는 모든 십회 (10) 이상의 ALD 사이클 이후에 수행될 수 있다.
필름이 고온 어닐링 단계로 처리되는 구현예에서, 어닐링 온도는 증착 온도보다 적어도 100℃ 더 높다. 이러한 또는 다른 구현예에서, 어닐링 온도는 약 400℃ 내지 약 1000℃의 범위이다. 이러한 또는 다른 구현예에서, 어닐링 처리는 진공(< 760 Torr), 불활성 환경 또는 산소 함유 환경(예컨대 H2O, N2O, NO2 또는 O2)에서 실시될 수 있다.
필름이 UV 처리로 처리되는 구현예에서, 필름은 광대역 UV 또는, 대안적으로, 약 150 나노미터(nm) 내지 약 400 nm의 범위의 파장을 갖는 UV 공급원에 노출된다. 하나의 특정 구현예에서, 증착된 그대로의 필름은 원하는 필름 두께에 도달된 이후에 증착 챔버와 상이한 챔버에서 UV에 노출된다.
필름이 플라즈마로 처리되는 구현예에서, 패시베이션층 예컨대 SiO2 또는 탄소 도핑된 SiO2는 후속 플라즈마 처리에서 필름을 관통하는 염소 및 질소 오염을 방지하기 위해 증착된다. 패시베이션층은 원자층 증착 또는 사이클릭 화학 기상 증착을 사용하여 증착될 수 있다.
필름이 플라즈마로 처리되는 구현예에서, 플라즈마 공급원은 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마로 처리된다. 수소 플라즈마는 필름 유전 상수를 낮추고, 벌크에서 탄소 함량을 거의 변하지 않은 채로 여전히 유지하면서 후속 플라즈마 애슁 공정에 대한 손상 저항성을 증강시킨다.
특정 이론에 구속되는 것으로 의도함 없이, 상기 정의된 화학식 A를 갖는 오르가노아미노카르보실란 화합물은 오르가노아미노기를 기판 표면 상의 하이드록실과 반응시켜 Si-(CH2)n-Si 절편을 제공하는 것을 통해 고정될 수 있는 것으로 여겨지고, 여기서 -(CH2)n- 절편은 후속 산화 과정에서 용이하게 제거되고, 이는 제2 규소 원자가 표면 상에 고정되게 하고, 이에 따라 종래의 규소 전구체 예컨대 유일하게 단지 하나의 규소 원자를 갖는 비스(디에틸아미노)실란, 비스(tert-부틸아미노)실란과 비교하여 산화규소 또는 탄소 도핑된 산화규소의 성장 속도를 증강시킬 수 있다.
특정 구현예에서, 본원에 정의되는 화학식 A를 갖는 규소 전구체는 또한 금속 함유 필름, 예컨대, 비제한적으로 금속 산화물 필름 또는 금속 질화물 필름에 대한 도펀트로서 사용될 수 있다. 이러한 구현예에서, 금속 함유 필름은 ALD 또는 CVD 공정 예컨대 금속 알콕사이드, 금속 아미드 또는 휘발성 유기금속 전구체를 사용하는 본원에 기재된 공정을 사용하여 증착된다. 본원에 개시된 방법과 함께 사용될 수 있는 적합한 금속 알콕사이드 전구체의 예는 비제한적으로 3 내지 6족 금속 알콕사이드, 알콕시 및 알킬 치환된 사이클로펜타디에닐 리간드 모두를 갖는 3 내지 6족 금속 착물, 알콕시 및 알킬 치환된 피롤릴 리간드 모두를 갖는 3 내지 6족 착물, 알콕시 및 디케토네이트 리간드 모두를 갖는 3 내지 6족 금속 착물; 알콕시 및 케토에스테르 리간드 모두를 갖는 3 내지 6족 금속 착물를 포함하고, 본원에 개시된 방법과 함께 사용될 수 있는 적합한 금속 아미드 전구체의 예는 비제한적으로 테트라키스(디메틸아미노)지르코늄(TDMAZ), 테트라키스(디에틸아미노)지르코늄(TDEAZ), 테트라키스(에틸메틸아미노)지르코늄(TEMAZ), 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 및 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미노)티타늄(TEMAT), tert-부틸이미노 트리(디에틸아미노)탄탈럼(TBTDET), tert-부틸이미노 트리(디메틸아미노)탄탈럼(TBTDMT), tert-부틸이미노 트리(에틸메틸아미노)탄탈럼(TBTEMT), 에틸이미노 트리(디에틸아미노)탄탈럼(EITDET), 에틸이미노 트리(디메틸아미노)탄탈럼(EITDMT), 에틸이미노 트리(에틸메틸아미노)탄탈럼(EITEMT), tert-아밀이미노 트리(디메틸아미노)탄탈럼(TAIMAT), tert-아밀이미노 트리(디에틸아미노)탄탈럼, 펜타키스(디메틸아미노)탄탈럼, tert-아밀이미노 트리(에틸메틸아미노)탄탈럼, 비스(tert-부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(tert-부틸이미노)비스(디에틸아미노)텅스텐, 비스(tert-부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이들의 조합을 포함한다. 본원에 개시된 방법와 함께 사용될 수 있는 적합한 유기금속 전구체의 예는 비제한적으로 3족 금속 사이클로펜타디에닐 또는 알킬 사이클펜타디에닐을 포함한다. 본원에서의 예시적인 3 내지 6족 금속은 비제한적으로 Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo, 및 W를 포함한다.
특정 구현예에서, 본원에 기재된 규소-함유 필름은 6 이하, 5 이하, 4 이하, 그리고 3 이하의 유전 상수를 가진다. 이러한 또는 다른 구현예에서, 필름은 약 5 이하, 약 4 이하, 또는 약 3.5 이하의 유전 상수를 가질 수 있다. 그러나, 다른 유전 상수(예를 들어, 더 높거나 낮음)를 갖는 필름은 필름의 원하는 최종 용도에 따라 형성될 수 있는 것으로 구상된다. 화학식 A 전구체를 갖는 규소 전구체 및 본원에 기재된 공정을 사용하여 형성되는 규소-함유 필름의 예는 제제 SixOyCzNvHw를 가지고, 여기서 Si는 약 10 at.% 내지 약 40 at.%의 범위이고; O는 약 0 at.% 내지 약 65 at.%의 범위이고; C는 약 0 at.% 내지 약 75 at.% 또는 약 0 at.% 내지 약 50 at.%의 범위이고; N은 약 0 at.% 내지 약 75 at.% 또는 약 0 at.% 내지 50 at.%의 범위이고; H는 약 0 at.% 내지 약 50 at.% 원자백분율 중량%이고, 여기서 x+y+z+v+w = 100 원자 중량%이며, 이는 예를 들어 XPS 또는 다른 수단에 의해 결정된다. 화학식 A의 오르가노아미노카르보실란 및 본원에 기재된 공정을 사용하여 형성된 규소 함유 필름의 다른 예는 규소 탄소질화물(silicon carbonitride)이고, 여기서 탄소 함량은 XPS로 측정되는 1 at% 내지 80 at%이다. 또한, 화학식 A의 오르가노아미노카르보실란 및 본원에 기재된 공정을 사용하여 형성된 규소 함유 필름의 다른 예는 비결정성 규소이고, 여기서 질소 및 탄소 함량의 합계는 XPS로 측정되는 <10 at.%, 바람직하게는 <5 at.%, 가장 바람직하게는 <1 at.%이다.
앞서 언급한 바와 같이, 본원에 기재된 방법은 기판의 적어도 일부 상에 규소-함유 필름을 증착시키기 위해 사용될 수 있다. 적합한 기판의 예는 비제한적으로 규소, SiO2, Si3N4, OSG, FSG, 탄화규소, 수소화된 탄화규소, 질화규소, 수소화된 질화규소, 규소 탄소질화물, 수소화된 규소 탄소질화물, 질화붕소, 반사방지 코팅물, 포토레지스트, 게르마늄, 게르마늄-함유, 붕소-함유, Ga/As, 가요성 기판, 유기 중합체, 다공성 유기 및 무기 재료, 금속, 예컨대 구리 및 알루미늄, 및 확산 배리어층 예컨대 비제한적으로 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함한다. 필름은 다양한 후속 처리 단계 예컨대, 예를 들어, 화학적 기계적 평탄화(CMP) 및 비등방성 에칭 공정과 상용성이다.
증착된 필름은 비제한적으로 컴퓨터 칩, 광학 장치, 자기 정보 저장, 지지재 또는 기판 상의 코팅, 마이크로전자기계 시스템(MEMS), 나노전자기계 시스템, 박막 트랜지스터(TFT), 발광 다이오드(LED), 유기 발광 다이오드(OLED), IGZO, 및 액정 디스플레이(LCD)를 포함하는 응용분야를 가진다. 생성된 고체 산화규소 또는 탄소 도핑된 산화규소의 잠재적 용도는 비제한적으로 얕은 트렌치 분리(shallow trench insulation), 내층 유전체, 패시베이션층, 에칭 정지층, 이중 스페이서의 부품, 및 패턴화에 대한 희생층을 포함한다.
본원에 기재된 방법은 고품질 산화규소 또는 탄소-도핑된 산화규소 필름을 제공한다. 용어 "고품질"은 하기 특성 중 하나 이상을 나타내는 필름을 의미한다: 약 2.1 g/cm3 이상, 2.2 g/cm3 이상, 2.25 g/cm3 이상의 밀도; 1:100의 HF 대 물 희석 HF (0.5 중량% dHF) 산의 용액에서 측정되는 2.5 Å/s 이하, 2.0 Å/s 이하, 1.5 Å/s 이하, 1.0 Å/s 이하, 0.5 Å/s 이하, 0.1 Å/s 이하, 0.05 Å/s 이하, 0.01 Ås 이하의 습식 에칭 속도; 약 1 e-8 A/cm2 이하 최대 6 MV/cm의 전기 누설); SIMS로 측정되는 약 4 e21 at/cm3 이하의 수소 불순물; 및 이의 조합. 에칭 속도와 관련하여, 열적으로 성장된 산화규소 필름은 0.5 중량% 희석된 HF 중의 0.5 Å/s 에칭 속도를 가진다.
특정 구현예에서, 본원에 기재된 화학식 A를 갖는 하나 이상의 규소 전구체는 고체이고, 비다공성이거나 또는 기공을 실질적으로 함유하지 않는 산화규소 필름을 형성하기 위해 사용될 수 있다.
하기 실시예는 본원에 기재된 산화규소 필름을 증착시키기 위한 방법을 예시하고, 임의의 방식으로 이에 제한되는 것으로 의도되지 않는다.
실시예
산화규소 필름의 열 원자층 증착을 실험실 규모 ALD 공정 장비 상에서 수행하였다. 규소 전구체를 증기 유도에 의해 챔버로 전달하였다. 모든 가스(예를 들어, 퍼지 및 반응물 가스 또는 전구체 및 산소 공급원)를 증착 구간으로 유입시키기 전에 100℃로 예열하였다. 가스 및 전구체 유량을 고속 정확성을 갖는 ALD 다이어프램 밸브를 사용하여 조절하였다. 증착에 사용되는 기판을 12-인치-길이 규소 스트립이었다. 열전대를 기판 온도를 확인하기 위한 샘플 홀더 상에 부착시켰다. 증착을 산소 공급원 가스로서 오존을 사용하여 수행하였다. 일반 증착 공정 및 파라미터는 표 2에 나타나 있다.
[표 2] 실험실 규모 ALD 공정 장비 상에서의 산소 공급원으로서 오존을 사용한 산화규소 필름의 열 원자층 증착을 위한 공정
Figure pct00014
전극들 사이의 3.5 mm 고정 간격을 갖는 27.1 MHz 직접 플라즈마 캐퍼빌리티를 구비한 상업적 유형의 측면 흐름 반응기(lateral flow reactor)(300 mm PEALD 장비, ASM 사제)에서 모든 플라즈마 강화 ALD(PEALD)를 수행하였다. 설계는 독립적 압력 설정을 갖는 외부 및 내부 챔버를 이용한다. 내부 챔버는 모든 반응물 가스(예를 들어, 전구체, Ar)가 매니폴드에서 혼합되고 공정 반응기로 전달되는 증착 반응기이다. Ar 가스를 사용하여 외부 챔버에서의 반응기 압력을 유지한다. 모든 전구체는 스테인리스 강 버블러에서 실온으로 유지되는 액체이었고, 전형적으로 200 sccm 흐름으로 설정된 Ar 캐리어 가스를 갖는 챔버로 전달되었다. 이 연구에서 기록된 모든 증착은 8-12 Ohm-cm의 자연 산화물 함유 Si 기판 상에서 실시되었다. 일반 증착 공정 및 파라미터는 표 3에 나타나 있다.
[표 3] 상업적 유형의 측면 흐름 PEALD 반응기에서의 PEALD 산화규소 증착을 위한 공정
Figure pct00015
필름의 두께 및 굴절률을 필름으로부터의 반사 데이터를 사전-설정된 물리적 모델(예를 들어, 로렌츠 진동 모델)에 핏팅시킴으로써 FilmTek 2000SE 엘립소미터를 사용하여 측정하였다. 사이클당 성장 속도는 생성된 산화규소 필름의 측정된 두께를 전체 ALD/PEALD 사이클의 수로 나누어 계산된다. 습식 에칭 속도(WER) 측정을 1:99 희석된 불화수소(HF) 산 용액을 사용하여 수행하였다. 열 산화물 웨이퍼를 에칭 용액의 활성을 확인하기 위해 실험의 각각의 세트에 대해 표준으로서 사용하였다. 샘플을 모두 15초 동안 에칭하여 벌크 필름의 WER을 수집하기 이전에 임의의 표면 층을 제거하였다. 1:99 dHF 수용액에 대한 전형적인 열 산화물 웨이퍼 습식 에칭 속도는 이 과정에 의해 0.5 Å/s이었다. 모든 밀도 측정을 x-선 반사율(XRR) 방법에 의해 측정하였다. 조성물 분석을 2차 이온 질량 분광법(D-SIMS) 또는 X-선 광전자 분광법(XPS)을 사용하여 실시하였다.
실시예 1: 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄의 합성
디에틸아민(16.1 g, 0.220 mol), 4,4-디메틸-디실라펜탄(20.0 g, 0.151 mol), 및 Ru3(CO)12(1.00 g, 0.00156 mol)을 100 mL 둥근 바닥 플라스크에서 조합하고, 질소 분위기 하에 실온에서 2일 동안 교반하였다. 기포가 반응 과정에서 발달되었다. 생성된 짙은 녹색 반응 혼합물을 진공 하에 배치하여 잔류 휘발성 출발 물질을 제거하고, 이후 -40 ℃로 냉각된 플라스크로 60 ℃/200 mTorr에서 진공 이동시켰다. 수집된 액체(20.6 g)를 가스 크로마토그래프 - 질량 분광법(GC-MS)에 의해 분석하여, 주로 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄인 것으로 결정하였다. GC-MS는 하기 질량 피크를 나타내었다: m/z = 203 (M+), 188 (M-15), 174, 158, 131, 114, 102, 88, 73, 59, 45.
실시예 2: 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄의 합성
디-이소-프로필아민(99.8 g, 0.986 mol), 4,4-디메틸-디실라펜탄(108.8 g, 0.822 mol), 및 Ru3(CO)12(5.28 g, 0.00826 mol)을 자성 교반 막대 및 환류 응축기가 구비된 500 mL 2목 플라스크에서 조합하였다. 질소 분위기의 보호 하에, 혼합물을 교반하였고, 2시간 동안 65 ℃로 가열하였고, 이 과정에서 기포가 발생되었다. 반응 온도를 이후 2시간에 걸쳐 100 ℃로 서서히 상승시켰고, 그 자리에서 추가 2시간 동안 유지시켰다. 생성된 짙은 녹색 반응 혼합물을 진공 증류(68 ℃/1 Torr)로 정제하여 165 g의 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄을 무색 액체로서 얻었다. GC-MS는 하기 질량 피크를 나타내었다: m/z = 231 (M+), 216, 200, 186, 172, 158, 142, 131, 116, 103, 86, 73, 59, 43.
비교 실시예 3a: 하나의 규소 원자만을 갖는 디메틸아미노트리메틸실란 (DMATMS)을 사용한 산화규소 PEALD
표 4에 주어진 조건 하에 규소 전구체로서의 DMATMS 및 O2 플라즈마를 사용하여 증착을 실시하였다. 규소 전구체로서 DMATMS를 주위 온도(25 ℃)에서 증기 유도에 의해 전달하였다. 용기는 전구체 흐름을 제한하기 위해 직경이 0.005"인 오리피스를 구비하였다.
[표 4] DMATMS를 사용한 산화규소에 대한 PEALD 파라미터
Figure pct00016
단계 b 내지 e를 500회 반복하여 계측을 위한 산화규소의 원하는 두께를 얻었다. 0.5초의 규소 전구체 펄스를 사용하는 경우, 필름 성장 속도는 0.83 Å/사이클인 것으로 측정되었다. 4초의 규소 전구체 펄스를 사용하는 경우, 필름 성장 속도는 0.88 Å/사이클인 것으로 측정되었고, 이는 GPC가 증가된 전구체 펄스 시간으로 충족되는 것을 나타낸다.
실시예 3: 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄을 사용한 화규소 PEALD
표 3에 주어진 조건 하에 규소 전구체로서의 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄 및 O2 플라즈마를 사용하여 증착을 실시하였다. 단계 b 내지 e를 수회 반복하여 계측을 위한 산화규소의 원하는 두께를 얻었다. 도 1은 상이한 전구체 펄스를 사용한 GPC를 나타내고, 도 2는 전구체 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄에 대해 상이한 산소 플라즈마 시간을 사용한 GPC를 나타낸다. 도 1로부터, GPC가 4초 및 8초 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄 전구체 펄스로 거의 일정하고, 이는 4초의 전구체 펄스로 충족된 증착을 나타냄을 알 수 있다. 도 2로부터, GPC가 5초 산소 플라즈마 시간과 비교하여 10초 산소 플라즈마로 약간 감소되었음을 알 수 있다. 약간 감소된 GPC는 10초 산소 플라즈마 시간을 사용하여 증착된 필름의 더 낮은 WER 및 더 높은 필름 밀도에 의해 확인되는, 더 긴 산소 플라즈마 시간 동안의 필름의 고밀화(densification)를 나타낸다. 그 결과는 ALD 증착 거동과 일치한다. 필름 증착 파라미터 및 증착 GPC는 표 5에 나타나 있다. 상이한 증착 조건에서의 필름 특성은 표 6에 나타나 있다.
[표 5] 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄에 의한 PEALD 산화규소 필름 증착 파라미터 및 증착 GPC
Figure pct00017
[표 6] 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄에 의한 PEALD 산화규소 필름 증착 조건 및 필름 특성
Figure pct00018
표 5로부터, 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄이 단지 하나의 규소 원자만을 갖는 DMATMS보다 매우 높은 GPC를 생성하는 것을 알 수 있다. 필름 밀도 및 WER은 공정 조건, 특히 산소 플라즈마 출력 및 산소 플라즈마 시간에 좌우된다. 고밀도 및 낮은 WER 필름은 더 높은 산소 플라즈마 출력 및 더 긴 산소 플라즈마 시간으로 얻어질 수 있다. 전구체가 다수의 Si-CH3 및 에틸렌 가교를 갖지만, 증착된 필름은 탄소 원자의 검출 제한 1E19 원자/cm3에 근접한 매우 낮은 탄소 불순물 수준을 나타내고, 이는 에틸렌이 산화 조건 하에 양호한 이탈기임을 실증한다.
실시예 4: 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄을 사용한 산화규소 PEALD
표 3에 주어진 조건 하에 규소 전구체로서 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄 및 O2 플라즈마를 사용하여 증착을 수행하였다. 단계 b 내지 e를 수회 반복하여 계측을 위한 산화규소의 원하는 두께를 얻었다. 도 1은 상이한 전구체 펄스를 사용한 GPC를 나타내고, 도 2는 전구체 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄을 비롯하여 상이한 산소 플라즈마 시간을 사용한 GPC를 나타낸다. 도 1로부터, GPC가 4초 및 8초 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄 전구체 펄스와 거의 일치하고, 이는 증착이 4초의 전구체 펄스로 충족됨을 나타내는 것을 알 수 있다. 도 2로부터 GPC가 5초 산소 플라즈마 시간과 비교하여 10초 산소 플라즈마를 사용하여 약간 감소되는 것을 알 수 있다. 약간 감소된 GPC는 10초 산소 플라즈마 시간을 사용하여 증착된 필름의 더 낮은 WER에 의해 확인되는, 더 긴 산소 플라즈마 시간 동안의 필름의 고밀화를 나타낸다. 그 결과는 ALD 증착 거동과 일치한다. 필름 증착 파라미터 및 증착 GPC는 표 7에 나타나 있다. 상이한 증착 조건에서의 필름 특성은 표 8에 나타나 있다.
[표 7] 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄에 의한 PEALD 산화규소 필름 증착 파라미터 및 증착 GPC
Figure pct00019
[표 8] 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄에 의한 PEALD 산화규소 필름 증착 조건 및 필름 특성
Figure pct00020
표 7로부터, 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄 전구체가 단지 하나의 규소 원자만을 갖는 DMATMS보다 매우 높은 GPC의 산화규소 필름을 제공하는 것을 알 수 있다. 필름 WER은 공정 조건, 특히 산소 플라즈마 출력 및 산소 플라즈마 시간에 좌우된다. 낮은 WER 필름은 더 높은 산소 플라즈마 출력 및 더 긴 산소 플라즈마 시간으로 심지어 100 ℃에서 얻어질 수 있다. 필름은 심지어 100 ℃에서 증착되는 매우 낮은 탄소 불순물을 나타낸다.
비교 실시예 5a: 디메틸아미노트리메틸실란(DMATMS)을 사용한 산화규소 필름의 열 원자층 증착
산화규소 필름의 원자층 증착을 하기 전구체 DMATMS를 사용하여 실시하였다. 증착을 실험실 규모 ALD 공정 장비 상에서 수행하였다. 규소 전구체를 증기 유도에 의해 챔버로 전달하였다. 증착 공정 및 파라미터는 표 2에 제공되어 있다. 단계 1 내지 6은 원하는 두께가 도달될 때까지 반복된다. 300 ℃에서, 12초의 DMATMS 전구체 투여 시간 및 10초 동안의 오존 흐름을 사용하여, 측정된 사이클당 필름 성장 속도는 1.00 Å/사이클이고, 필름 굴절률은 1.46이다. 500 ℃에서, 12초의 DMATMS 전구체 투여 시간 및 10초 동안의 오존 흐름을 사용하여, 측정된 사이클당 필름 성장 속도는 1.33 Å/사이클이고, 필름 굴절률은 1.45이다.
실시예 5: 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄을 사용한 산화규소 필름이 열 원자층 증착
산화규소 필름의 원자층 증착을 하기 전구체를 사용하여 실시하였다: 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄. 증착을 실험실 규모 ALD 공정 장비 상에서 수행하였다. 규소 전구체를 증기 유도에 의해 챔버로 전달하였다. 증착 공정 및 파라미터는 표 2에 제공되어 있다. 단계 1 내지 6은 원하는 두께가 도달될 때까지 반복된다. 증착의 공정 파라미터, 증착 GPC 및 필름 특성은 표 9 및 표 10에 제공되어 있다.
[표 9] 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄을 사용한 산화규소의 열 원자층 증착에 대한 공정 파라미터 및 결과의 요약
Figure pct00021
[표 10] 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄을 사용한 산화규소의 열 원자층 증착에 대한 공정 파라미터 및 필름 조성의 요약
Figure pct00022
1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄이 특히 300 ℃ 초과의 온도에서 DMATMS보다 더 높은 GPC를 제공하는 것을 알 수 있고, 이는 2개의 규소 원자를 갖는 전구체가 GPC를 상승시킬 수 있음을 실증한다. 158℃ 초과의 온도에서 증착된 필름의 경우, 이 필름에서 검출되는 탄소는 없으며, 상기 전구체가 150℃ 이상의 온도에서 순수 산화규소를 증착시키는데 양호함을 나타낸다. 전구체는 또한 110 ℃의 증착 온도에서 탄소 도핑된 산화규소 필름을 제공할 수 있다. 탄소 함량은 공정 조건, 예컨대 오존 농도, 오존 노출 시간 및 증착 시간에 의해 조정될 수 있다. 따라서, 조정 공정 조건에 의해, 상이한 종류의 필름이 상이한 응용분야에 대해 얻어질 수 있다.
실시예 6: 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄을 사용한 산화규소 필름의 열 원자층 증착
산화규소 필름의 원자층 증착을 하기 전체를 사용하여 실시하였다: 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄. 증착을 실험실 규모 ALD 공정 장비 상에서 수행하였다. 규소 전구체를 증기 유도에 의해 챔버로 전달하였다. 증착 공정 및 파라미터는 표 2에 제공되어 있다. 단계 1 내지 6은 원하는 두께가 도달될 때까지 반복된다. 증착의 공정 파라미터, 사이클당 성장 속도(GPC) 및 필름 특성은 표 11 및 표 12에 제공되어 있다.
[표 11] 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄을 사용한 산화규소의 열 원자층 증착에 대한 공정 파라미터 및 결과의 요약
Figure pct00023
[표 12] 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄을 사용한 산화규소의 열 원자층 증착에 대한 공정 파라미터 및 필름 조성의 요약
Figure pct00024
1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄이 특히 150 ℃ 초과의 온도에서 DMATMS보다 더 높은 GPC를 제공하는 것을 알 수 있고, 이는 2개의 규소 원자를 갖는 전구체가 GPC를 상승시킬 수 있음을 실증한다. 150℃ 초과의 온도에서 증착된 필름의 경우, 이 필름에서 검출되는 탄소는 없으며, 상기 전구체가 150℃ 이상의 온도에서 순수 산화규소를 증착시키는데 양호함을 나타낸다. 전구체는 또한 110 ℃의 증착 온도에서 탄소 도핑된 산화규소 필름을 제공할 수 있다. 탄소 함량은 공정 조건, 예컨대 오존 농도, 오존 노출 시간 및 증착 시간에 의해 조정될 수 있다. 따라서, 조정 공정 조건에 의해, 상이한 종류의 필름이 상이한 응용분야에 대해 얻어질 수 있다.

Claims (22)

  1. 기판 상에의 규소 및 산소를 포함하는 필름의 증착 방법으로서,
    a) 반응기에 기판을 제공하는 단계;
    b) 반응기로 하나 이상의 오르가노아미노카르보실란 화합물을 포함하는 하나 이상의 규소 전구체 화합물을 주입하는 단계로서, 상기 하나 이상의 오르가노아미노카르보실란 화합물이 하나 이상의 SiH2 또는 SiMeH 기를 가지고, 하기 화학식 A의 구조로 표시되는 단계:
    c) 반응기를 퍼지 가스로 퍼징하는 단계;
    d) 산소-함유 공급원을 반응기로 주입하는 단계; 및
    e) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
    여기서 단계 b 내지 e는 원하는 두께의 필름이 증착될 때까지 반복되고; 상기 방법은 약 25℃ 내지 600℃의 범위의 하나 이상의 온도에서 실시되는, 기판 상에의 규소 및 산소를 포함하는 필름의 증착 방법:
    Figure pct00025

    상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, C1 내지 C6 디알킬아미노기, 및 C1 내지 C6 알킬아미노기로 이루어진 군으로부터 선택되고;
    R2-5는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, 및 C4 내지 C10 아릴기로 이루어진 군으로부터 독립적으로 선택되고;
    R은 수소 및 메틸로 이루어진 군으로부터 선택되고, 단 R 및 R3-5는 모두 수소가 아닐 수 있으며; n= 2 또는 3이다.
  2. 제1항에 있어서, 상기 화학식 A의 화합물이 1-디메틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-에틸메틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄, 1-디-sec-부틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-피페린디노-sec-부틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-피페린디노-sec-부틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-피롤리디노-4,4-디메틸-1,4-디실라펜탄, 1-2,5-디메틸피롤리디노-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실메틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실에틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄, 1-디메틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-디메틸아미노-1-메틸-4,4-디에틸-1,4-디실라펜탄, 1-에틸메틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-디-이소-프로필아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-디-sec-부틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-피페린디노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-2,5-디메틸피페린디노-sec-부틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-피롤리디노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-2,5-디메틸피롤리디노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실메틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실에틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실-이소-프로필아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-디메틸아미노-5,5-디메틸-1,5-디실라헥산, 1-디에틸아미노-5,5-디메틸-1,5-디실라헥산, 1-에틸메틸아미노-4,4-디메틸-4,4-디메틸-1,5-디실라헥산, 1-디-이소-프로필아미노-5,5-디메틸-1,5-디실라헥산, 1-디-sec-부틸아미노-5,5-디메틸-1,5-디실라헥산, 1-피페린디노-5,5-디메틸-1,5-디실라헥산, 1-2,6-디메틸피페린디노-5,5-디메틸-1,5-디실라헥산, 1-피롤리디노-5,5-디메틸-1,5-디실라헥산, 1-2,5-디메틸피롤리디노-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실메틸아미노-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실에틸아미노-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실-이소-프로필아미노-5,5-디메틸-1,5-디실라헥산, 1-디메틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-디에틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-에틸메틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-디-이소-프로필아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-디-sec-부틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-피페린디노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-2,6-디메틸피페린디노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-피롤리디노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-2,5-디메틸피롤리디노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실메틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실에틸아미노-1-메틸5,5-디메틸-1,5-디실라헥산, 및 1-사이클로헥실-이소-프로필아미노-1-메틸-5,5-디메틸-1,5-디실라헥산으로 이루어진 군으로부터 선택되는 증착 방법.
  3. 제1항에 있어서, 상기 산소-함유 공급원이 오존, 산소 플라즈마, 산소 및 아르곤을 포함하는 플라즈마, 산소 및 헬륨을 포함하는 플라즈마, 오존 플라즈마, 물 플라즈마, 아산화질소 플라즈마, 이산화탄소 플라즈마, 및 이의 조합으로 이루어진 군으로부터 선택되는 증착 방법.
  4. 제1항에 있어서, 산소-함유 공급원이 플라즈마를 포함하는 증착 방법.
  5. 제4항에 있어서, 플라즈마가 원위치에서 생성되는 증착 방법.
  6. 제4항에 있어서, 플라즈마가 원거리에서 생성되는 증착 방법.
  7. 제4항에 있어서, 필름이 약 2.0 g/cm3 이상의 밀도를 가지는 증착 방법.
  8. 제1항에 있어서, 필름이 탄소를 더 포함하는 증착 방법.
  9. 제8항에 있어서, 필름이 약 1.8 g/cm3 이상의 밀도를 가지는 증착 방법.
  10. 제8항에 있어서, 필름의 탄소 함량이 x-선 분광분석법에 의해 측정되는 0.5 원자 중량%(at.%) 이상인 증착 방법.
  11. 증기 증착 공정을 사용하여 산화규소 필름 또는 탄소 도핑된 산화규소 필름을 증착시키기 위한 조성물로서, 하기 화학식 A로 표시되는 구조를 갖는 하나 이상의 규소 전구체를 포함하는 조성물:
    Figure pct00026

    상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, C1 내지 C6 디알킬아미노기, 및 C1 내지 C6 알킬아미노기로 이루어진 군으로부터 선택되고;
    R2-5는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, C3 내지 C10 환형 알킬기, 분지형 C4 내지 C10 환형 알킬기, C3 내지 C10 환형 알케닐기, 분지형 C4 내지 C10 환형 알케닐기, C3 내지 C6 환형 알키닐기, 분지형 C3 내지 C6 환형 알키닐기, 및 C4 내지 C10 아릴기로 이루어진 군으로부터 독립적으로 선택되고;
    R은 수소 및 메틸로 이루어진 군으로부터 선택되고; 단 R 및 R3-5는 모두 수소가 아닐 수 있으며;
    n= 2 또는 3이다.
  12. 제11항에 있어서, 하나 이상의 화합물은 1-디메틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-디에틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-에틸메틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-디-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄, 1-디-sec-부틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-피페린디노-sec-부틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-피페린디노-sec-부틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-피롤리디노-4,4-디메틸-1,4-디실라펜탄, 1-2,5-디메틸피롤리디노-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실메틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실에틸아미노-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실-이소-프로필아미노-4,4-디메틸-1,4-디실라펜탄, 1-디메틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-디메틸아미노-1-메틸-4,4-디에틸-1,4-디실라펜탄, 1-에틸메틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-디-이소-프로필아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-디-sec-부틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-피페린디노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-2,5-디메틸피페린디노-sec-부틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-피롤리디노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-2,5-디메틸피롤리디노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실메틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실에틸아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-사이클로헥실-이소-프로필아미노-1-메틸-4,4-디메틸-1,4-디실라펜탄, 1-디메틸아미노-5,5-디메틸-1,5-디실라헥산, 1-디에틸아미노-5,5-디메틸-1,5-디실라헥산, 1-에틸메틸아미노-4,4-디메틸-4,4-디메틸-1,5-디실라헥산, 1-디-이소-프로필아미노-5,5-디메틸-1,5-디실라헥산, 1-디-sec-부틸아미노-5,5-디메틸-1,5-디실라헥산, 1-피페린디노-5,5-디메틸-1,5-디실라헥산, 1-2,6-디메틸피페린디노-5,5-디메틸-1,5-디실라헥산, 1-피롤리디노-5,5-디메틸-1,5-디실라헥산, 1-2,5-디메틸피롤리디노-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실메틸아미노-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실에틸아미노-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실-이소-프로필아미노-5,5-디메틸-1,5-디실라헥산, 1-디메틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-디에틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-에틸메틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-디-이소-프로필아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-디-sec-부틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-피페린디노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-2,6-디메틸피페린디노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-피롤리디노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-2,5-디메틸피롤리디노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실메틸아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실에틸아미노-1-메틸5,5-디메틸-1,5-디실라헥산, 1-사이클로헥실-이소-프로필아미노-1-메틸-5,5-디메틸-1,5-디실라헥산, 및 이들의 조합으로 이루어진 군으로부터 선택되는 조성물.
  13. 제1항의 방법에 의해 수득된 필름.
  14. 제13항에 있어서, 하기 특성 중 하나 이상을 포함하는 필름: 약 2.0 g/cm3 이상의 밀도; 1:100의 HF 대 물 희석 HF(0.5 중량% dHF) 산의 용액에서 측정되는 약 2.5 Å/s 미만의 습식 에칭 속도; 약 1 e-8 A/cm2 미만 최대 6 MV/cm의 전기 누설; 및 SIMS로 측정되는 약 4 e21 at/cc 미만의 수소 불순물.
  15. 제1항에 있어서, 오르가노아미노카르보실란 화합물은 할라이드 화합물, 금속 이온, 금속, 및 이의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 불순물을 실질적으로 함유하지 않는 증착 방법.
  16. 제11항에 있어서, 할라이드 화합물, 금속 이온, 금속, 및 이의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 불순물을 실질적으로 함유하지 않는 조성물.
  17. 제16항에 있어서, 할라이드 화합물이 염화물-함유 종을 포함하는 조성물.
  18. 제16항에 있어서, IC에 의해 측정된 염화물 농도가 50 ppm 미만인 조성물.
  19. 제16항에 있어서, IC에 의해 측정된 염화물 농도가 10 ppm 미만인 조성물.
  20. 제16항에 있어서, IC에 의해 측정된 염화물 농도가 5 ppm 미만인 조성물.
  21. 제11항에 있어서, 할라이드 화합물, 금속 이온, 금속, 및 이의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 불순물을 함유하지 않는 조성물.
  22. 제21항에 있어서, 염화물을 함유하지 않는 조성물.
KR1020197013636A 2016-10-14 2017-10-13 고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물 KR20190055850A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217031323A KR20210121310A (ko) 2016-10-14 2017-10-13 고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662408310P 2016-10-14 2016-10-14
US62/408,310 2016-10-14
US15/725,122 2017-10-04
US15/725,122 US10464953B2 (en) 2016-10-14 2017-10-04 Carbon bridged aminosilane compounds for high growth rate silicon-containing films
PCT/US2017/056615 WO2018071832A1 (en) 2016-10-14 2017-10-13 Carbon bridged aminosilane compounds for high growth rate silicon-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217031323A Division KR20210121310A (ko) 2016-10-14 2017-10-13 고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물

Publications (1)

Publication Number Publication Date
KR20190055850A true KR20190055850A (ko) 2019-05-23

Family

ID=61902638

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217031323A KR20210121310A (ko) 2016-10-14 2017-10-13 고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물
KR1020197013636A KR20190055850A (ko) 2016-10-14 2017-10-13 고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217031323A KR20210121310A (ko) 2016-10-14 2017-10-13 고성장률 규소-함유 필름을 위한 탄소 가교결합된 아미노실란 화합물

Country Status (8)

Country Link
US (1) US10464953B2 (ko)
EP (1) EP3526366A4 (ko)
JP (1) JP7007377B2 (ko)
KR (2) KR20210121310A (ko)
CN (1) CN109804101B (ko)
SG (1) SG11201903005SA (ko)
TW (1) TWI661082B (ko)
WO (1) WO2018071832A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023211027A1 (ko) * 2022-04-25 2023-11-02 엠케미칼 주식회사 실리콘 전구체 화합물 및 이의 제조방법, 실리콘 전구체 이용한 실리콘 함유 박막의 제조방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
KR20210098360A (ko) * 2020-01-31 2021-08-10 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
US11859278B2 (en) * 2020-03-08 2024-01-02 Applied Materials, Inc. Molecular layer deposition of amorphous carbon films
WO2021202335A1 (en) * 2020-03-31 2021-10-07 Versum Materials Us, Llc New precursors for depositing films with high elastic modulus

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
US8474164B2 (en) 2011-03-23 2013-07-02 Tracer Imaging Llc Checkout divider with optical effect
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023211027A1 (ko) * 2022-04-25 2023-11-02 엠케미칼 주식회사 실리콘 전구체 화합물 및 이의 제조방법, 실리콘 전구체 이용한 실리콘 함유 박막의 제조방법

Also Published As

Publication number Publication date
US20180105541A1 (en) 2018-04-19
CN109804101A (zh) 2019-05-24
US10464953B2 (en) 2019-11-05
SG11201903005SA (en) 2019-05-30
EP3526366A4 (en) 2020-05-27
TW201821639A (zh) 2018-06-16
CN109804101B (zh) 2021-12-03
JP2019533764A (ja) 2019-11-21
TWI661082B (zh) 2019-06-01
WO2018071832A8 (en) 2018-08-30
EP3526366A1 (en) 2019-08-21
KR20210121310A (ko) 2021-10-07
WO2018071832A1 (en) 2018-04-19
JP7007377B2 (ja) 2022-02-10

Similar Documents

Publication Publication Date Title
TWI691504B (zh) 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷
CN109963963B (zh) 用于沉积氧化硅膜的组合物和方法
EP3095788B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
US11702743B2 (en) Trisilylamine derivatives as precursors for high growth rate silicon-containing films
CN110891956B (zh) 作为用于高生长速率含硅膜的前体的官能化环硅氮烷
CN109804101B (zh) 用于高生长速率含硅膜的碳桥联氨基硅烷化合物
US20220044929A1 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
KR20210054035A (ko) 고품질 실리콘 옥사이드 박막의 고온 원자 층 증착용 조성물
KR20190105131A (ko) 규소 포함 막의 증착을 위한 유기 아미노-폴리실록산

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2021101002515; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20210929

Effective date: 20220621

J121 Written withdrawal of request for trial
WITB Written withdrawal of application