KR20190045879A - 플라즈마 필터링을 위한 시스템들 및 프로세스들 - Google Patents

플라즈마 필터링을 위한 시스템들 및 프로세스들 Download PDF

Info

Publication number
KR20190045879A
KR20190045879A KR1020180127391A KR20180127391A KR20190045879A KR 20190045879 A KR20190045879 A KR 20190045879A KR 1020180127391 A KR1020180127391 A KR 1020180127391A KR 20180127391 A KR20180127391 A KR 20180127391A KR 20190045879 A KR20190045879 A KR 20190045879A
Authority
KR
South Korea
Prior art keywords
plasma screen
plasma
substrate support
chamber
screen
Prior art date
Application number
KR1020180127391A
Other languages
English (en)
Other versions
KR102129867B1 (ko
Inventor
수남 박
토안 큐. 트란
니콜라이 칼닌
드미트리 루보미르스키
아킬 데바라콘다
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190045879A publication Critical patent/KR20190045879A/ko
Application granted granted Critical
Publication of KR102129867B1 publication Critical patent/KR102129867B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)

Abstract

시스템들 및 방법들은 플라즈마 필터링을 실행하는 데에 사용될 수 있다. 예시적인 처리 챔버들은 샤워헤드를 포함할 수 있다. 처리 챔버들은 기판 지지부를 포함할 수 있다. 처리 챔버들은, 기판 지지부와 전기적으로 결합되고, 샤워헤드와 기판 지지부 사이에 한정된 처리 영역 내에 바이어스 플라즈마를 생성하기 위해 전력을 기판 지지부에 제공하도록 구성된 전원을 포함할 수 있다. 처리 시스템들은 플라즈마 스크린을 포함할 수 있고, 플라즈마 스크린은 기판 지지부와 결합되며, 플라즈마 스크린을 통해 플라즈마 누설을 실질적으로 제거하도록 구성된다. 플라즈마 스크린은 전기 접지와 결합될 수 있다.

Description

플라즈마 필터링을 위한 시스템들 및 프로세스들{SYSTEMS AND PROCESSES FOR PLASMA FILTERING}
본 기술은 반도체 시스템들, 프로세스들 및 장비에 관한 것이다. 더 구체적으로, 본 기술은 처리 챔버 내에서 플라즈마를 필터링하기 위한 시스템들 및 방법들에 관한 것이다.
집적 회로들은 기판 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해 가능해진다. 기판 상에 패터닝된 재료를 생성하는 것은 노출된 재료의 제거를 위한 제어된 방법들을 필요로 한다. 화학적 식각은 포토레지스트 내의 패턴을 기저 층들에 전사하는 것, 층들을 박형화하는 것, 또는 표면 상에 이미 존재하는 피처들의 횡방향 치수들을 박형화하는 것을 포함하는 다양한 목적을 위해 이용된다. 하나의 재료를 다른 재료보다 빠르게 식각하여, 예를 들어 패턴 전사 프로세스를 용이하게 하는 식각 프로세스를 갖는 것이 바람직한 경우가 종종 있다. 그러한 식각 프로세스는 제1 재료에 대해 선택성이 있다고 한다. 재료들, 회로들 및 프로세스들의 다양성의 결과로서, 식각 프로세스들은 다양한 재료들에 대한 선택성을 갖고서 개발되었다.
식각 프로세스들은 프로세스에서 사용되는 재료들에 기초하여 습식 또는 건식으로 지칭될 수 있다. 습식 HF 식각은 다른 유전체들 및 재료들에 비해 산화규소를 우선적으로 제거한다. 그러나, 습식 프로세스들은 일부 제약된 트렌치들을 관통하는 데에 어려움을 가질 수 있고, 또한, 종종 나머지 재료를 변형시킬 수 있다. 기판 처리 영역 내에 형성된 국부 플라즈마들에 생성된 건식 식각들은 더 제약된 트렌치들을 관통할 수 있고, 민감한 나머지 구조들의 더 적은 변형을 보여준다. 그러나, 국부 플라즈마들은, 플라즈마들이 방전될 때 전기 아크들의 생성을 통해 기판을 손상시킬 수 있다.
따라서, 고품질 디바이스들 및 구조들을 생산하는 데에 사용될 수 있는 개선된 시스템들 및 방법들이 필요하다. 이러한 요구들 및 다른 요구들은 본 기술에 의해 해결된다.
시스템들 및 방법들은 플라즈마 필터링을 실행하는 데에 사용될 수 있다. 예시적인 처리 챔버들은 샤워헤드를 포함할 수 있다. 처리 챔버들은 기판 지지부를 포함할 수 있다. 처리 챔버들은, 기판 지지부와 전기적으로 결합되고, 샤워헤드와 기판 지지부 사이에 한정된 처리 영역 내에 바이어스 플라즈마를 생성하기 위해 전력을 기판 지지부에 제공하도록 구성된 전원을 포함할 수 있다. 처리 시스템들은 플라즈마 스크린을 포함할 수 있고, 플라즈마 스크린은 기판 지지부와 결합되며, 플라즈마 스크린을 통해 플라즈마 누설을 실질적으로 제거하도록 구성된다. 플라즈마 스크린은 전기 접지부와 결합될 수 있다.
일부 실시예들에서, 플라즈마 스크린은 기판 지지부로부터 방사상 외측으로 연장되는 환형 구성요소를 포함할 수 있다. 플라즈마 스크린은 플라즈마 스크린의 내부 반경에 대한 제1 두께를 특징으로 할 수 있고, 플라즈마 스크린은 플라즈마 스크린의 외부 반경에 대한, 제1 두께보다 작은 제2 두께를 특징으로 할 수 있다. 플라즈마 스크린은 플라즈마 스크린을 통하는 복수의 애퍼처들을 한정할 수 있다. 복수의 애퍼처들은, 제2 두께를 특징으로 하는, 플라즈마 스크린의 영역 내에 한정될 수 있다. 복수의 애퍼처들 중 각각의 애퍼처는 플라즈마 스크린을 통해 적어도 부분적으로 연장되는 점감부를 포함하는 윤곽을 특징으로 할 수 있다. 플라즈마 스크린은 플라즈마 스크린을 통하는 적어도 약 500개의 애퍼처들을 한정할 수 있다. 복수의 애퍼처들 중 각각의 애퍼처는 약 0.25 인치 이하의 직경을 특징으로 할 수 있다. 플라즈마 스크린의 방사상 에지와 반도체 처리 챔버의 측벽들 사이에 갭이 유지될 수 있다. 플라즈마 스크린은, 전원과 전기적으로 결합된, 기판 지지부의 정전 척 부분으로부터 전기적으로 격리된 상태로 유지될 수 있다.
본 기술은 또한, 부가적인 반도체 처리 챔버들을 포함한다. 챔버들은 챔버 측벽을 포함할 수 있다. 챔버들은 샤워헤드를 포함할 수 있다. 챔버들은 또한, 기판 지지부를 포함할 수 있고, 기판 지지부는, 샤워헤드 및 챔버 측벽과 함께 반도체 처리 챔버의 처리 영역을 한정할 수 있다. 기판 지지부는 전기 전도성 퍽을 포함할 수 있다. 기판 지지부는 처리 영역 내의 제1 수직 위치로부터, 샤워헤드에 근접한, 처리 영역 내의 제2 수직 위치로 이동 가능할 수 있다. 챔버들은 전기 전도성 퍽과 전기적으로 결합된 전원을 포함할 수 있다. 전원은, 처리 영역 내에 바이어스 플라즈마를 형성하기 위해 전기 전도성 퍽에 에너지를 제공하도록 적응될 수 있다. 챔버들은 또한, 기판 지지부의 둘레를 따라 기판 지지부와 결합된 플라즈마 스크린을 포함할 수 있다. 플라즈마 스크린은 방사상 외측으로 챔버 측벽을 향해 연장될 수 있고, 플라즈마 스크린은 전기 접지에 유지될 수 있다.
일부 실시예들에서, 플라즈마 스크린은 내부 반경 및 외부 반경을 특징으로 할 수 있다. 플라즈마 스크린은 플라즈마 스크린의 내부 영역과 외부 영역 사이의 경계에 한정된 내부 반경을 특징으로 할 수 있다. 플라즈마 스크린은 플라즈마 스크린의 외부 영역 내에 있고 플라즈마 스크린 주위에 퍼져있는 복수의 애퍼처들을 한정할 수 있다. 플라즈마 스크린은 플라즈마 스크린의 내부 영역을 따라 기판 지지부의 외부 에지에 결합될 수 있다. 기판 지지부는 기판 지지부를 에워싸는 에지 링을 포함할 수 있다. 에지 링은 플라즈마 스크린의 내부 영역 상에 놓일 수 있다. 에지 링은 석영일 수 있다. 플라즈마 스크린은 내부 영역 내의 제1 두께를 특징으로 할 수 있다. 플라즈마 스크린은 외부 영역 내의 제2 두께를 특징으로 할 수 있고, 플라즈마 스크린은 내부 반경에 렛지를 한정할 수 있다. 챔버들은, 샤워헤드에 근접한 위치로부터, 기판 지지부가 제2 수직 위치에 있을 때 플라즈마 스크린과 실질적으로 동일 평면 상에 있는 위치까지 챔버 측벽을 따라 연장되는 라이너를 포함할 수 있다. 플라즈마 스크린은 샤워헤드를 향하는 제1 표면 상에 코팅될 수 있다.
본 기술은 또한, 반도체 처리 동안 스퍼터링을 감소시키는 방법들을 포함할 수 있다. 방법들은, 반도체 처리 챔버의 처리 영역 내에 전구체의 바이어스 플라즈마를 형성하는 단계를 포함할 수 있다. 방법들은, 반도체 처리 챔버 내의 기판 지지부 상에 위치된 기판에, 바이어스 플라즈마에 의한 플라즈마 유출물들을 지향시키는 단계를 포함할 수 있다. 방법들은 또한, 기판 지지부의 외부 주위에 결합된 플라즈마 스크린을 이용하여 플라즈마 유출물들을 소호시키는 단계를 포함할 수 있다. 플라즈마 스크린은 챔버 구성요소들의 스퍼터링으로부터의 오염을 약 5% 초과 만큼 감소시킬 수 있다.
그러한 기술은 종래의 시스템들 및 기술들에 비해 많은 혜택을 제공할 수 있다. 예를 들어, 본 기술에 따른 플라즈마 스크린들은 플라즈마 종들을 챔버의 처리 영역으로부터 제거할 수 있다. 부가적으로, 본 기술의 기판 지지부들은 플라즈마 발생 구성요소들을 갖는 플라즈마 스크린을 기판 지지부 상에 통합할 수 있다. 이러한 실시예들 및 다른 실시예들은 그들의 이점들 및 특징들의 다수와 함께 이하의 설명 및 첨부 도면들과 관련하여 더 상세하게 설명된다.
명세서의 나머지 부분들 및 도면들을 참조함으로써, 개시된 기술의 본질 및 이점들에 대한 더 나은 이해가 실현될 수 있다.
도 1은 본 기술의 실시예들에 따른 예시적인 처리 시스템의 상부 평면도를 도시한다.
도 2는 본 기술의 실시예들에 따른 예시적인 처리 챔버의 개략적인 단면도를 도시한다.
도 3은 본 기술의 실시예들에 따른 예시적인 처리 챔버의 개략적인 단면도를 도시한다.
도 4는 본 기술의 실시예들에 따른 예시적인 플라즈마 스크린의 개략적인 상부 평면도를 도시한다.
도 5a-5e는 본 기술의 실시예들에 따른 플라즈마 스크린에 형성될 수 있는 예시적인 애퍼처들의 개략적인 횡단면도들을 예시한다.
도 6은 본 기술의 실시예들에 따른 방법들에서의 예시적인 작동들을 예시한다.
도면들 중 몇몇은 개략도로서 포함된다. 도면들은 예시를 목적으로 하는 것이며, 비율을 고려했다고 명확하게 언급되어 있지 않은 한, 비율을 고려하지 않은 것임을 이해해야 한다. 추가로, 개략도로서, 도면들은 이해를 돕기 위해 제공되며, 현실적인 표현들에 비교하여 모든 양태 또는 정보를 포함하지 않을 수 있고, 예시를 목적으로 과잉의 또는 과장된 자료를 포함할 수 있다.
첨부 도면들에서, 유사한 구성요소들 및/또는 피처들은 동일한 참조 라벨을 가질 수 있다. 또한, 동일한 유형의 다양한 구성요소들은 참조 라벨 뒤에 유사한 구성요소들을 구별하는 문자를 후속시킴으로써 구별될 수 있다. 명세서 내에서 제1 참조 라벨만이 이용되는 경우, 그 설명은 문자에 무관하게 동일한 제1 참조 라벨을 갖는 유사한 구성요소들 중 어느 것에라도 적용될 수 있다.
본 기술은, 작은 피치의 피처들의 반도체 처리를 위한 시스템들 및 구성요소들을 포함한다. 라인 피치가 감소됨에 따라, 패터닝에서, 표준 리소그래피 프로세스들이 제한될 수 있고 대안적인 메커니즘들이 사용될 수 있다. 종래의 기술들은, 특히 기판 상의 노출된 재료들이, 많은 상이한 피처들 및 재료들을 포함할 수 있고 일부는 식각되어야 하고 일부는 유지되어야 할 때, 이러한 최소한의 패터닝 및 제거 작동들에 어려움을 겪었다.
원자 층 식각은, 재료 표면을 손상시키거나 개질(modifying)하고 식각 작동이 후속되는 다중-작동 프로세스를 활용하는 프로세스이다. 식각 작동은, 개질된 재료가 제거되는 것을 허용하지만, 개질되지 않은 재료들과의 상호 작용은 제한하는 챔버 조건들에서 수행될 수 있다. 그 다음, 이 프로세스는, 부가적인 재료들을 식각하기 위해 임의의 횟수만큼 반복될 수 있다. 이용 가능한 일부 챔버들은 단일 챔버 내에서 두가지 작동들 모두를 수행할 수 있다. 개질은 기판 수준에서의 충격 작동으로 수행될 수 있고, 개질된 재료들만을 제거할 수 있는 식각제 전구체들을 증진시키기 위한 원격 플라즈마 작동이 후속된다.
개질 작동 동안, 웨이퍼-수준 플라즈마가 처리 영역 내에 형성될 수 있다. 예를 들어, 처리 영역 내에 전구체의 플라즈마를 형성할 수 있는 바이어스 플라즈마가 기판 지지부로부터 형성될 수 있다. 플라즈마는 이온들을 기판의 표면으로 지향시킬 수 있다. 바이어스 플라즈마는, 높은 플라즈마 전위로 처리 영역 전반에 플라즈마 유출물들을 생성할 수 있는 용량성 결합된 플라즈마일 수 있다. 기판 위에 형성된 유도성 결합된 플라즈마는, 플라즈마 유출물들의 더 제어된 전달을 제공할 수 있는 반면, 용량성 결합된 플라즈마는, 스퍼터링으로 이어질 수 있는, 챔버 구성요소들의 충격을 야기할 수 있는 플라즈마 종들을 발생시킬 수 있다. 이러한 이온들 및 다른 입자들은 기판 표면 너머로 퍼질 수 있고, 또한 기판 지지부의 표면 너머로도 퍼질 수 있다.
일부 처리 챔버들은, 기판 지지부의 하류에 결합된 펌핑 시스템을 포함한다. 종종, 플레넘 영역이 기판 지지부 주위에 형성되어, 지지부 주위 및 챔버 밖으로 유출물 및 전구체 유동을 허용한다. 기판 지지부 주위의 이러한 부가적인 공간 때문에, 플라즈마 종들이 또한, 페디스털 주변 및 아래에서 유동할 수 있다. 챔버 코팅들은, 챔버로부터의 이러한 복귀 경로들을 통해 완전히 연장되지 않을 수 있다. 이러한 영역들에 진입하는 것이 허용된 플라즈마 종들은 표면들 및 구성요소들에 충격을 가해 스퍼터링을 야기할 수 있다. 이는, 시간이 지남에 따라 챔버 구성요소들을 부식시킬 수 있고, 또한, 챔버 내에서의 유동 패턴들로 인해, 작업 중인 기판들 상에 금속 오염을 야기할 수 있다. 일부 종래 기술들은, 챔버 벽들로 연장되는, 기판 지지부 둘레의 플라즈마 필터를 포함한다. 이러한 필터들은 유출물 유동에 영향을 줄 수 있지만, 진보된 기술들에서 금속 오염을 제한하기에는 플라즈마 종들을 충분히 제거하지 못할 수 있다. 부가적으로, 이러한 스크린들은 완전히 고정될 수 없고, 처리 작동들 동안 기판 지지부의 병진 이동을 허용하지 않을 수 있다. 마지막으로, 종종 필터가 전도성 구성요소이기 때문에, 필터는 바이어스 플라즈마를 발생시키는 처리 시스템들에 사용될 수 없는데, 이는, 필터가 전기 접지에 유지되지 않을 것이기 때문이다.
본 기술은, 챔버 처리 영역으로부터 플라즈마 유출물들 및 이온 종들을 완전히 제거할 수 있는 플라즈마 스크린을 사용함으로써 이러한 문제들을 극복하고, 스퍼터링으로부터의 금속 오염에 대한 증진된 보호를 허용한다. 본 기술에 따른 스크린은, 스크린을 기판 지지부의 플라즈마 발생 전극들로부터 전기적으로 격리된 상태로 유지시킴으로써, 바이어스 플라즈마를 발생시키는 데에 사용되는 기판 지지부와 함께 사용되도록 특별히 통합된다. 부가적으로, 본 기술에 따른 플라즈마 스크린들은, 플라즈마 종들의 적절한 제거를 막는 일정 간격을 생성하지 않으면서 기판 지지부의 이동을 허용하도록 통합될 수 있다.
나머지 개시내용은 개시된 기술을 활용하는 구체적인 식각 프로세스들을 일상적으로 식별할 것이지만, 시스템들 및 방법들이, 설명된 챔버들에서 발생할 수 있는 증착 및 세정 프로세스들에 동등하게 적용 가능함이 쉽게 이해될 것이다. 이에 따라, 본 기술은 오직 식각 프로세스들에서만 사용되도록 제한되는 것으로 간주되어서는 안 된다.
도 1은 실시예들에 따른 증착, 식각, 베이킹 및 경화 챔버들의 처리 시스템(100)의 일 실시예의 상부 평면도를 보여준다. 도 1에 도시된 처리 툴(100)은 복수의 프로세스 챔버(114A-D), 이송 챔버(110), 서비스 챔버(116), 통합된 계측 챔버(117), 및 한 쌍의 로드 록 챔버(106A-B)를 포함할 수 있다. 프로세스 챔버들은 도 2에 관련하여 설명되는 것들과 유사한 구조물들 또는 구성요소들은 물론, 추가의 처리 챔버들을 포함할 수 있다.
챔버들 사이에서 기판들을 이송하기 위해, 이송 챔버(110)는 로봇식 이송 메커니즘(113)을 포함할 수 있다. 이송 메커니즘(113)은 연장가능한 암들(113B)의 말단부들에 각각 부착된 한 쌍의 기판 이송 블레이드(113A)를 가질 수 있다. 블레이드들(113A)은 개별 기판들을 프로세스 챔버들로, 그리고 프로세스 챔버들로부터 운반하기 위해 이용될 수 있다. 작동 시에, 이송 메커니즘(113)의 블레이드(113A)와 같은 기판 이송 블레이드들 중 하나는 기판 W를 챔버들(106A-B)과 같은 로드 록 챔버들 중 하나로부터 회수하고, 기판 W를 챔버들(114A-D) 내에서, 예를 들어 아래에 설명되는 것과 같은 식각 프로세스와 같은 처리의 제1 스테이지에 운반할 수 있다. 챔버가 점유되는 경우, 로봇은 처리가 완료될 때까지 기다린 후에, 처리된 기판을 하나의 블레이드(113A)로 챔버로부터 제거할 수 있고, 제2 블레이드(도시되지 않음)로 새로운 기판을 삽입할 수 있다. 기판이 처리되고 나면, 기판은 처리의 제2 스테이지로 이동될 수 있다. 각각의 이동에 대해, 이송 메커니즘(113)은 일반적으로 기판을 운반하는 하나의 블레이드, 및 기판 교환을 실행하기 위해 비워지는 하나의 블레이드를 가질 수 있다. 이송 메커니즘(113)은 교환이 달성될 때까지 각각의 챔버에서 기다릴 수 있다.
프로세스 챔버들 내에서 처리가 완료되고 나면, 이송 메커니즘(113)은 기판 W를 최종 프로세스 챔버로부터 이동시킬 수 있고, 기판 W를 로드 록 챔버들(106A-B) 내의 카세트에 이송할 수 있다. 기판은 로드 록 챔버들(106A-B)로부터 팩토리 인터페이스(104) 내로 이동할 수 있다. 팩토리 인터페이스(104)는 일반적으로 대기압 청정 환경 내에 있는 포드 로더들(105A-D)과 로드 록 챔버들(106A-B) 사이에서 기판들을 이송하도록 작동할 수 있다. 일반적으로, 팩토리 인터페이스(104) 내의 청정 환경은 예를 들어 HEPA 여과와 같은 공기 여과 프로세스들을 통해 제공될 수 있다. 팩토리 인터페이스(104)는 또한 처리 전에 기판들을 적절하게 정렬하기 위해 이용될 수 있는 기판 배향기/정렬기(도시되지 않음)를 포함할 수 있다. 로봇들(108A-B)과 같은 적어도 하나의 기판 로봇이 팩토리 인터페이스(104) 내에 위치되어, 기판들을 팩토리 인터페이스(104) 내의 다양한 장소들/위치들 사이에서, 그리고 팩토리 인터페이스와 소통하는 다른 위치들로 이송할 수 있다. 로봇들(108A-B)은 인클로저(104) 내의 트랙 시스템을 따라 팩토리 인터페이스(104)의 제1 단부로부터 제2 단부로 이동하도록 구성될 수 있다.
처리 시스템(100)은 처리 챔버들 내에서 수행되는 프로세스들 중 임의의 것에 대한 적응적 제어를 제공할 수 있는 제어 신호들을 제공하기 위해 통합된 계측 챔버(117)를 더 포함할 수 있다. 통합된 계측 챔버(117)는 다양한 막 속성들, 예컨대 두께, 조도, 조성을 측정하기 다양한 계측 디바이스들 중 임의의 것을 포함할 수 있고, 계측 디바이스들은 또한 그레이팅 파라미터들(grating parameters), 예컨대 임계 치수들, 측벽 각도, 및 진공 하에서의 피처 높이를 자동화된 방식으로 특징화할 수 있다.
이제 도 2를 보면, 본 기술에 따른 예시적인 프로세스 챔버 시스템(200)의 단면도가 도시되어 있다. 예를 들어, 챔버(200)는 앞에서 논의된 시스템(100)의 처리 챔버 섹션들(114) 중 하나 이상에서 사용될 수 있다. 일반적으로, 식각 챔버(200)는 이온 밀링 작동을 구현하기 위한 제1 용량성 결합된 플라즈마 공급원, 및 식각 작동을 구현하고 선택적인 증착 작동을 구현하기 위한 제2 용량성 결합된 플라즈마 공급원을 포함할 수 있다. 이온 밀링 작동은 또한, 개질 작동으로 지칭될 수 있다. 챔버(200)는 척(250)을 둘러싸는 접지된 챔버 벽들(240)을 포함할 수 있다. 실시예들에서, 척(250)은 처리 동안 기판(202)을 척(250)의 최상부면에 클램핑하는 정전 척일 수 있지만, 공지된 바와 같은 다른 클램핑 메커니즘들도 이용될 수 있다. 척(250)은 매립된 열 교환기 코일(217)을 포함할 수 있다. 예시적인 실시예에서, 열 교환기 코일(217)은 하나 이상의 열 전달 유체 채널을 포함하고, 에틸렌 글리콜/물 혼합물과 같은 열 전달 유체가 그러한 열 전달 유체 채널들을 통해 전달되어, 척(250)의 온도를 제어하고, 궁극적으로는 기판(202)의 온도를 제어할 수 있다.
척(250)은 고전압 DC 공급부(248)에 결합된 메시(249)를 포함할 수 있고, 그에 의해 메시(249)는 기판(202)의 정전 클램핑을 구현하기 위한 DC 바이어스 전위를 운반할 수 있다. 척(250)은 제1 RF 전원과 결합될 수 있고, 하나의 그러한 실시예에서, 메시(249)는 제1 RF 전원에 결합될 수 있으며, 그에 의해 DC 전압 오프셋 및 RF 전압 전위들 둘 다가 얇은 유전체 층에 걸쳐 척(250)의 최상부면에 결합된다. 예시적인 실시예에서, 제1 RF 전원은 제1 및 제2 RF 발생기(252, 253)를 포함할 수 있다. RF 발생기들(252, 253)은 산업적으로 이용되는 임의의 주파수에서 작동할 수 있지만, 예시적인 실시예에서, RF 발생기(252)는 유리한 지향성을 제공하기 위해 60 MHz에서 작동할 수 있다. 제2 RF 발생기(253)가 또한 제공되는 경우, 예시적인 주파수는 2 MHz일 수 있다.
척(250)이 RF 급전되는 경우, 제1 샤워헤드(225)에 의해 RF 복귀 경로가 제공될 수 있다. 제1 샤워헤드(225)는 제1 샤워헤드(225) 및 챔버 벽(240)에 의해 한정되는 제1 챔버 영역(284) 내로 제1 피드 가스를 분산시키기 위해 척 위에 배치될 수 있다. 그러한 것으로서, 척(250) 및 제1 샤워헤드(225)는 제1 챔버 영역(284) 내에서 제1 피드 가스의 제1 플라즈마(270)를 용량성으로 활성화하기 위해 제1 RF 결합된 전극 쌍을 형성한다. RF 급전 척의 용량성 결합에 기인하는 RF 바이어스, 또는 DC 플라즈마 바이어스는 이온 밀링 플라즈마를 제공하기 위해, 제1 플라즈마(270)로부터 기판(202)으로의 이온 플럭스, 예를 들어 제1 피드 가스가 Ar인 경우의 Ar 이온들을 발생시킬 수 있다. 제1 샤워헤드(225)는 접지될 수 있거나, 다르게는 척(250)의 주파수와는 다른 주파수, 예를 들어 13.56 MHz 또는 60 MHz에서 작동가능한 하나 이상의 발생기를 갖는 RF 공급원(228)과 결합될 수 있다. 예시된 실시예에서, 제1 샤워헤드(225)는 식각 프로세스 동안, 예를 들어 제어기(도시되지 않음)에 의해 자동적으로 제어될 수 있는 릴레이(227)를 통해 접지 또는 RF 공급원(228)에 선택가능하게 결합될 수 있다. 개시된 실시예들에서, 챔버(200)는 샤워헤드(225) 또는 유전체 스페이서(220)를 포함하지 않을 수 있고, 대신에 아래에 더 설명되는 샤워헤드(210) 및 배플(215)만을 포함할 수 있다.
도면에 더 도시되는 바와 같이, 식각 챔버(200)는 낮은 프로세스 압력들에서 높은 처리량이 가능한 펌프 스택을 포함할 수 있다. 실시예들에서, 적어도 하나의 터보 분자 펌프(265, 266)는 하나 이상의 게이트 밸브(260)를 통해 제1 챔버 영역(284)에 결합될 수 있고, 제1 샤워헤드(225)에 대향하여 척(250) 아래에 배치될 수 있다. 터보 분자 펌프들(265, 266)은 적절한 처리량을 갖는 임의의 상업적으로 입수가능한 펌프들일 수 있고, 더 구체적으로는 제1 피드 가스의 요구되는 유량, 예를 들어 아르곤이 제1 피드 가스인 경우 Ar 50 내지 500 sccm에서, 약 10 mTorr 이하, 또는 약 5 mTorr 이하의 프로세스 압력들을 유지하기 위한 적절한 크기를 가질 수 있다. 도시된 실시예에서, 척(250)은 2개의 터보 펌프(265 및 266) 사이의 중심에 위치된 페디스털의 부분을 형성할 수 있지만, 다른 구성들에서, 척(250)은 척(250)의 중심과 정렬된 중심을 갖는 싱글 터보 분자 펌프를 갖고서, 챔버 벽(240)으로부터 캔틸레버되는 페디스털 상에 있을 수 있다.
제1 샤워헤드(225) 위에는 제2 샤워헤드(210)가 배치될 수 있다. 일 실시예에서, 처리 동안, 제1 피드 가스 공급원, 예를 들어 가스 분산 시스템(290)으로부터 전달되는 아르곤은 가스 유입부(276)와 결합될 수 있고, 제1 피드 가스는 제2 샤워헤드(210)를 통해 연장되는 복수의 애퍼처들(280)을 통해 제2 챔버 영역(281) 내로, 그리고 제1 샤워헤드(225)를 통해 연장되는 복수의 애퍼처들(282)을 통해 제1 챔버 영역(284) 내로 유동될 수 있다. 애퍼처들(278)을 갖는 추가의 유동 분산기 또는 배플(215)은 분산 영역(218)을 통해 식각 챔버(200)의 직경에 걸쳐 제1 피드 가스 유동(216)을 더 분산시킬 수 있다. 다른 실시예에서, 제1 피드 가스는 파선(223)에 의해 표시된 바와 같이, 제2 챔버 영역(281)으로부터 분리되어 있는 애퍼처들(283)을 통해 제1 챔버 영역(284) 내로 직접 유동될 수 있다.
챔버(200)는 식각 작동을 수행하기 위해 도시된 상태로부터 추가로 재구성될 수 있다. 2차 전극(205)은 제1 샤워헤드(225) 위에 배치될 수 있고, 그 2차 전극과 제1 샤워헤드 사이에는 제2 챔버 영역(281)이 있다. 2차 전극(205)은 식각 챔버(200)의 덮개 또는 최상부 플레이트를 더 형성할 수 있다. 2차 전극(205) 및 제1 샤워헤드(225)는 유전체 링(220)에 의해 전기적으로 격리될 수 있고, 제2 챔버 영역(281) 내에서 제2 피드 가스의 제2 플라즈마(292)를 용량 방전시키기 위해 제2 RF 결합된 전극 쌍을 형성할 수 있다. 유리하게, 제2 플라즈마(292)는 척(250) 상에 상당한 RF 바이어스 전위를 제공하지 않을 수 있다. 제2 RF 결합된 전극 쌍 중의 적어도 하나의 전극은 식각 플라즈마를 활성화하기 위해 RF 공급원과 결합될 수 있다. 2차 전극(205)은 제2 샤워헤드(210)와 전기적으로 결합될 수 있다. 예시적인 실시예에서, 제1 샤워헤드(225)는 접지 평면과 결합되거나, 플로팅일 수 있고, 릴레이(227)를 통해 접지에 결합될 수 있으며, 이는 제1 샤워헤드(225)가 이온 밀링 작동 모드 동안 RF 전원(228)에 의해 또한 급전되는 것을 허용한다. 제1 샤워헤드(225)가 접지되는 경우, 예를 들어 13.56 MHz 또는 60 MHz에서 작동하는 하나 이상의 RF 발생기를 갖는 RF 전원(208)은 릴레이(207)를 통해 2차 전극(205)과 결합될 수 있고, 이는 2차 전극(205)이 다른 작동 모드들 동안, 예컨대 이온 밀링 작동 동안 또한 접지되는 것을 허용할 수 있지만, 제1 샤워헤드(225)가 급전된다면 2차 전극(205)은 또한 플로팅으로 남아있을 수 있다.
삼플루오린화질소와 같은 제2 피드 가스 공급원, 및 암모니아와 같은 수소 공급원은 가스 분산 시스템(290)으로부터 전달될 수 있고, 파선(224)을 통하는 것과 같이 가스 유입부(276)와 결합될 수 있다. 이러한 모드에서, 제2 피드 가스는 제2 샤워헤드(210)를 통해 유동될 수 있고, 제2 챔버 영역(281) 내에서 활성화될 수 있다. 다음으로, 반응성 종들이 제1 챔버 영역(284) 내로 전달되어 기판(202)과 반응할 수 있다. 더 예시되는 바와 같이, 제1 샤워헤드(225)가 멀티채널 샤워헤드인 실시예들에 대해, 하나 이상의 피드 가스가 제2 플라즈마(292)에 의해 발생되는 반응성 종들과 반응하도록 제공될 수 있다. 하나의 그러한 실시예에서, 물 공급원이 복수의 애퍼처들(283)과 결합될 수 있다. 추가의 구성들은 또한 제공된 일반적 도시에 기초할 수 있지만, 다양한 구성요소들이 재구성될 수 있다. 예를 들어, 유동 분산기 또는 배플(215)은 제2 샤워헤드(210)와 유사한 플레이트일 수 있고, 2차 전극(205)과 제2 샤워헤드(210) 사이에 위치될 수 있다. 이러한 플레이트들 중 임의의 것이 플라즈마를 생성하기 위한 다양한 구성들에서 전극으로서 작동할 수 있으므로, 이러한 구성요소들 중 하나 이상의 사이에, 유전체 링(220)과 유사한 하나 이상의 환형 또는 다른 형상의 스페이서가 위치될 수 있다. 실시예들에서, 제2 샤워헤드(210)는 또한 이온 억제 플레이트로서 작동할 수 있고, 제2 샤워헤드(210)를 통한 이온 종들의 유동을 감소시키거나 제한하거나 억제하면서 중성 및 라디칼 종들의 유동은 여전히 허용하도록 구성될 수 있다. 하나 이상의 추가 샤워헤드 또는 분산기가 챔버 내에서 제1 샤워헤드(225)와 척(250) 사이에 포함될 수 있다. 그러한 샤워헤드는 앞에서 설명된 분산 플레이트들 또는 구조물들 중 임의의 것의 형상 또는 구조를 취할 수 있다. 또한, 실시예들에서, 원격 플라즈마 유닛(도시되지 않음)이 가스 유입부와 결합되어, 다양한 프로세스들에서의 이용을 위해 플라즈마 유출물들을 챔버에 제공할 수 있다.
실시예에서, 척(250)은 제1 샤워헤드(225)에 수직한 방향으로 거리(H2)를 따라 이동가능할 수 있다. 80℃ ― 150℃, 또는 그 이상의 상승된 온도에 있을 수 있는 제1 샤워헤드(225)와 척(250) 사이의 열 전달을 제어하는 수단으로서, 척(250)이 제1 샤워헤드(225)에 더 가깝게 또는 제1 샤워헤드로부터 더 멀리 이동하는 것을 허용하기 위해, 척(250)은 벨로우즈(255) 또는 그와 유사한 것에 의해 둘러싸인 가동 메커니즘 상에 있을 수 있다. 그러한 것으로서, 식각 프로세스는 제1 샤워헤드(225)에 대한 제1 및 제2의 미리 결정된 위치 사이에서 척(250)을 이동시킴으로써 구현될 수 있다. 대안적으로, 척(250)은 식각 프로세스 동안 제1 샤워헤드(225)에 의한 가열을 제어하기 위해 기판(202)을 척(250)의 최상부면으로부터 거리(H1)만큼 상승시키기 위한 리프터(251)를 포함할 수 있다. 다른 실시예들에서, 예를 들어, 식각 프로세스가 예를 들어 약 90 ― 110℃와 같은 고정된 온도에서 수행되는 경우, 척 변위 메커니즘들이 회피될 수 있다. 다르게는, 시스템 제어기(도시되지 않음)가 제1 및 제2 RF 결합된 전극 쌍에 자동적으로 교대로 급전함으로써 식각 프로세스 동안 제1 및 제2 플라즈마(270 및 292)를 교대로 활성화할 수 있다.
챔버(200)는 또한 증착 작동을 수행하도록 재구성될 수 있다. 플라즈마(292)는 제2 플라즈마(292)에 대해 설명되는 방식들 중 임의의 것으로 구현될 수 있는 RF 방전에 의해 제2 챔버 영역(281) 내에서 발생될 수 있다. 증착 동안, 제1 샤워헤드(225)가 플라즈마(292)를 발생시키도록 급전되는 경우, 제1 샤워헤드(225)는 챔버 벽에 대해 전기적으로 플로팅하도록 하기 위해 유전체 스페이서(230)에 의해 접지된 챔버 벽(240)으로부터 격리될 수 있다. 예시적인 실시예에서, 분자 산소와 같은 산화제 피드 가스 공급원은 가스 분산 시스템(290)으로부터 전달될 수 있고, 가스 유입부(276)와 결합될 수 있다. 제1 샤워헤드(225)가 멀티채널 샤워헤드인 실시예들에서, 예를 들어 OMCTS와 같은 임의의 규소 함유 전구체가 가스 분산 시스템(290)으로부터 전달될 수 있고, 제1 챔버 영역(284) 내로 지향되어, 플라즈마(292)로부터 제1 샤워헤드(225)를 통과하는 반응성 종들과 반응할 수 있다. 대안적으로, 규소 함유 전구체가 또한 산화제와 함께 가스 유입부(276)를 통해 유동될 수 있다. 챔버(200)는 본 기술을 참조하여 논의되는 다양한 작동들을 위해 이용될 수 있는 일반적인 챔버 구성으로서 포함된다. 챔버는 본 기술에 제한되는 것으로 여겨지지 않아야 하며, 오히려, 설명된 프로세스들의 이해를 돕기 위한 것이다. 관련 기술분야에 알려지거나 개발되고 있는 여러 다른 챔버들이, 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드(Applied Materials Inc.)에 의해 제조되는 임의의 챔버, 또는 아래에서 더 상세히 설명되는 기법들을 수행할 수 있는 임의의 챔버를 포함하여 본 기술과 함께 활용될 수 있다.
도 3을 보면, 본 기술의 실시예들에 따른 처리 챔버(300)의 부분 개략도가 도시되어 있다. 도 3은, 도 2와 관련하여 위에서 논의된 하나 이상의 구성요소를 포함할 수 있고, 그러한 챔버와 관련된 추가적인 세부사항들을 예시할 수 있다. 챔버(300)는, 앞서 설명된 바와 같은 개질 및 식각을 포함하는 반도체 처리 작동들을 수행하는 데에 사용될 수 있다. 챔버(300)는, 반도체 처리 시스템의 처리 영역의 부분도를 보여줄 수 있고, 챔버(300)의 일부 실시예들에 통합될 것으로 이해되는 앞서 설명된 부가적인 덮개 스택 구성요소들과 같은 구성요소들 모두를 포함하지 않을 수 있다.
언급된 바와 같이, 도 3은 처리 챔버(300)의 일부를 예시할 수 있다. 챔버(300)는 샤워헤드(305)뿐만 아니라 기판 지지부(310)도 포함할 수 있다. 챔버 측벽들(315)과 함께, 샤워헤드(305) 및 기판 지지부(310)는 기판 처리 영역(320)을 한정할 수 있다. 기판 지지부는, 전원(330)과 전기적으로 결합될 수 있는 전기 전도성 퍽(325)을 포함할 수 있다. 전원(330)은 전기 전도성 퍽(325)에 에너지 또는 전압을 제공하도록 구성될 수 있다. 이는, 반도체 처리 챔버(300)의 처리 영역(320) 내에 전구체의 바이어스 플라즈마를 형성할 수 있다. 처리 영역 내에서 형성된 이온들은 기판 지지부 상에 놓인 기판으로 지향될 수 있다. 이는, 결합 구조들을 손상시킴으로써, 노출된 막들을 개질할 수 있고, 후속하는 식각 작동들에서 제거를 용이하게 한다.
챔버(300)는 또한, 기판 지지부(310)와 결합된 플라즈마 스크린(335)을 포함할 수 있다. 플라즈마 스크린(335)은, 기판 지지부(310)의 방사상 또는 측방향 치수들 너머로 퍼지는 플라즈마 유출물들을 중화시키거나 제거함으로써, 플라즈마 스크린을 통해 플라즈마 누설을 실질적으로 제거하도록 구성될 수 있다. 기판 지지부(310)의 전기 전도성 퍽(325)이, 바이어스 플라즈마를 발생시키기 위해 전원과 결합될 수 있는 한편, 플라즈마 스크린(335)은 플라즈마 종들의 중화를 허용하기 위해 전기 접지에 유지될 수 있다. 이에 따라, 그렇지 않다면 챔버 구성요소들에 충격을 가해 챔버 구성요소들을 스퍼터링할 수 있는 이온 종들은, 아래에서 논의될 바와 같은 플라즈마 스크린들의 특정 구성들에 의해 제거될 수 있다. 따라서, 일부 실시예들에서, 플라즈마 스크린(335)은, 전원(330)이 결합될 수 있는 전기 전도성 퍽(325)으로부터 전기적으로 격리된 상태로 유지될 수 있다. 이러한 격리는 기판 지지부(310)의 하나 이상의 구성요소에 의해 제공될 수 있다. 부가적으로, 플라즈마 스크린은, 일부 실시예들에서 또한 접지될 수 있는 챔버 측벽들(315)에 비해, 정전 척을 통해 접지 경로를 단축시킬 수 있다.
플라즈마 스크린(335)은, 유전체 또는 다른 절연 재료일 수 있거나 이를 포함할 수 있는, 기판 지지부(310)의 베이스 상에 놓일 수 있고, 이는, 플라즈마 스크린(335)을 전기 전도성 퍽(325)으로부터 적어도 부분적으로 격리시킬 수 있다. 부가적으로, 아이솔레이터(340)가 전기 전도성 퍽(325)의 외측 직경 주위에 위치될 수 있고, 이는, 퍽을 플라즈마 스크린(335)의 내측 방사상 에지로부터 분리시킬 수 있다. 에지 링(345)이 기판 지지부(310) 상에 놓일 수 있고, 전기 전도성 퍽(325)을 에워쌀 수 있다. 실시예들에서, 에지 링은 석영 또는 일부 다른 유전체 또는 절연 재료로 만들어질 수 있고, 플라즈마 스크린(335)을 전기 전도성 퍽(325)으로부터 추가로 절연할 수 있다. 예시된 바와 같이, 아이솔레이터(340)는, 에지 링(345)의 채널(344)에 놓여 구성요소들의 결합 및 안정성을 제공할 수 있는 플랜지(342)를 포함할 수 있다. 그 다음, 에지 링(345)은 플라즈마 스크린(335)에 볼트 결합될 수 있거나, 아래에서 더 논의될 바와 같이 다른 방식으로 스크린과 결합될 수 있다.
실시예들에서, 플라즈마 스크린(335)은, 기판 지지부로부터 챔버 측벽(315)을 향하여 방사상 외측으로 연장될 수 있는 환형 구성요소일 수 있다. 일부 실시예들에서, 플라즈마 스크린(335)은 챔버 측벽들과 접촉하지 않을 수 있다. 예를 들어, 플라즈마 스크린(335)과 챔버 측벽들(315) 사이에, 예컨대, 플라즈마 스크린의 방사상 에지로부터 챔버 측벽의 내측 반경까지, 갭이 유지될 수 있다. 필터가 기판 지지부로부터 챔버 측벽까지 연장될 수 있는 구성들과 비교하여, 본 기술은 플라즈마 스크린(335)과 챔버 측벽(315)의 접촉을 제공하지 않을 수 있는데, 이는, 앞서 설명된 바와 같은 기판 지지부(310)의 가동을 허용할 수 있다. 예를 들어, 기판 지지부(310)는, 앞서 설명된 바와 같이 축을 따라, 예시된 바와 같은 제1 위치로부터 파선(350)에 의해 식별되는 제2 위치까지 임의의 수직 위치로 상승 및 하강되도록 또는 다른 방식으로 이동되도록 작동 가능할 수 있다.
처리 챔버(300)는 또한, 챔버 측벽(315)의 내부 반경 주위에 위치된 라이너(355)를 포함할 수 있다. 실시예들에서, 라이너(355)는 측벽(315)을 따라 부분적으로 연장될 수 있다. 예를 들어, 라이너(355)는 샤워헤드(305)에 근접한 제1 위치로부터 파선(350)에 근접하거나 그 아래에 있는 제2 위치로 연장될 수 있다. 플라즈마 스크린(335)은 기판 지지부(310)의 최상부 평면 아래에 연장될 수 있다. 이에 따라, 기판 지지부(310)가, 파선(350)에 의해 식별되는 제2 위치까지 상승될 때, 플라즈마 스크린(335)의 외부 에지는 파선(350)의 평면 아래에 위치될 수 있다. 라이너(355)는 유사하게, 파선(350) 아래로, 플라즈마 스크린(335)의 외부 에지의 최상부면과 동일 평면 상의 위치로 연장될 수 있다. 이 방식으로, 라이너 및 플라즈마 스크린은, 플라즈마 스크린(335)의 외부 방사상 에지와 챔버 측벽들(315)의 내부 방사상 에지 사이에 한정된 갭을 통하는 임의의 유출물 또는 전구체 유동을 제한하기 위한 경계를 제공할 수 있다.
도 4는 본 기술의 실시예들에 따른 예시적인 플라즈마 스크린(400)의 개략적인 상부 평면도를 도시한다. 플라즈마 스크린(400)은 위에서 논의된 플라즈마 스크린(335)과 유사할 수 있지만, 디바이스의 부가적인 특징들의 관점을 제공할 수 있다. 플라즈마 스크린(335) 및 플라즈마 스크린(400)의 특징들은 본 개시내용 전반에서 상호 교환 가능하게 논의될 수 있다. 실시예들에서, 플라즈마 스크린(400)은 플라즈마 스크린(400)의 내부 반경 주위에 한정된 내부 에지(405)를 갖는 환형 구성요소일 수 있다. 플라즈마 스크린(400)은 또한, 플라즈마 스크린(400)의 외부 반경 주위에 한정된 외부 에지(410)를 가질 수 있다. 플라즈마 스크린(400)은, 내부 에지(405)와 외부 에지(410) 사이의 폭을 특징으로 할 수 있다. 플라즈마 스크린(400)은 또한, 내부 반경과 외부 반경 사이에 한정된 내부 반경(415)을 포함할 수 있다. 내부 반경(415)은 플라즈마 스크린(400)의 내부 영역(420)과 플라즈마 스크린(400)의 외부 영역(425) 사이의 경계를 적어도 부분적으로 한정할 수 있다.
플라즈마 스크린(400)은 플라즈마 스크린을 통하는 복수의 애퍼처들(430)을 한정할 수 있다. 일부 실시예들에서, 애퍼처들은 플라즈마 스크린의 외부 영역(425)에 포함될 수 있고, 내부 영역(420)에 포함되지 않을 수 있다. 도 3의 플라즈마 스크린(335)으로 예시된 바와 같이, 플라즈마 스크린은 플라즈마 스크린의 내부 영역(420)의 하부측을 따라 기판 지지부(310)의 외부 에지와 결합될 수 있다. 부가적으로, 예시된 바와 같이, 에지 링(345)은 플라즈마 스크린과 결합될 수 있고, 플라즈마 스크린(335)의 내부 영역 상에 놓일 수 있다. 에지 링(345)은, 복수의 애퍼처들(430)과의 간섭을 제한하기 위해, 플라즈마 스크린의 내부 반경(415) 너머 연장되지 않을 수 있다. 이에 따라, 에지 링(345)은 플라즈마 스크린(335)과 또는 플라즈마 스크린(335)에 결합될 수 있고, 2개의 구성요소들 사이에서 부산물들이 수집되는 것을 제한하기 위해 구성요소들 간의 견고한 연결을 허용한다.
실시예들에서, 복수의 애퍼처들(430)은 플라즈마 스크린(400)의 외부 영역(425) 주위에 퍼질 수 있다. 도 5에 관하여 아래에서 논의되는 바와 같이, 복수의 애퍼처들(430) 중 각각의 애퍼처는 플라즈마 스크린을 통하는 윤곽을 특징으로 할 수 있다. 윤곽뿐만 아니라 애퍼처들의 개수 및 애퍼처들의 크기는 다수의 경쟁 효과들을 생성할 수 있다. 예를 들어, 처리 영역으로부터의 플라즈마 유출물 전달을 감소시키거나 제거하기 위해, 유출물들의 중화를 허용하는 충돌들을 증가시키도록, 감소된 직경의 애퍼처들이 포함될 수 있다. 그러나, 애퍼처 크기가 감소됨에 따라, 챔버를 통한 압력 증가가 발생할 수 있다. 압력 증가가 챔버 구성요소들의 충격을 더 감소시킬 수 있지만, 압력 증가는 수행되고 있는 프로세스 조건들에 영향을 줄 수 있다. 부가적으로, 후속 프로세스들이 또한, 압력 조건들의 증가에 의해 영향받을 수 있다.
일부 실시예들에서, 본 기술은, 플라즈마 스크린과 챔버 측벽들 사이의 갭 영역에 대한 접근을 제공하는 하부 기판 지지 위치에서 후속 작동들, 예컨대, 제거 작동을 수행함으로써, 이러한 압력 영향을 보상할 수 있다. 그럼에도 불구하고, 본 기술의 플라즈마 스크린들은, 약 1 Torr 이하의 하나 이상의 처리 작동 동안에 처리 챔버 내에서 압력 증가를 생성할 수 있고, 약 500 mTorr 이하, 약 250 mTorr 이하, 약 100 mTorr 이하, 약 90 mTorr 이하, 약 80 mTorr 이하, 약 70 mTorr 이하, 약 60 mTorr 이하, 약 50 mTorr 이하, 약 40 mTorr 이하, 약 30 mTorr 이하, 약 25 mTorr 이하, 약 20 mTorr 이하, 약 15 mTorr 이하, 약 10 mTorr 이하, 약 5 mTorr 이하, 약 2 mTorr 이하의 압력 증가들을 야기할 수 있거나, 처리 챔버 내의 압력에 대한 제한된 영향을 가질 수 있다.
애퍼처들(430)은 다수의 윤곽들 및 크기들을 특징으로 할 수 있고, 다수의 구성들에 포함될 수 있다. 예를 들어, 예시된 바와 같이, 애퍼처들(430)은 플라즈마 스크린(400)의 외부 영역(425) 주위의 다수의 동심 링들에 포함될 수 있다. 플라즈마 스크린은, 애퍼처들의 1, 2, 3, 4, 5 이상의 링들을 포함하여, 임의의 개수의 링들을 포함할 수 있다. 실시예들에서, 애퍼처들은 플라즈마 스크린을 통해 균일할 수 있지만, 애퍼처들은 플라즈마 스크린 상의 상이한 링들에서 상이한 크기들 또는 윤곽들을 특징으로 할 수 있다. 플라즈마 스크린(400)은, 챔버 또는 개질되고 있는 기판에 기초할 수 있는 크기 및 분포 ― 플라즈마 스크린의 크기 포함 ― 에 따라 임의의 개수의 애퍼처들을 한정할 수 있다. 그러나, 실시예들에서, 플라즈마 스크린(400)은, 약 200개 이상의 애퍼처들, 약 400개 이상의 애퍼처들, 약 500개 이상의 애퍼처들, 약 600개 이상의 애퍼처들, 약 700개 이상의 애퍼처들, 약 800개 이상의 애퍼처들, 약 900개 이상의 애퍼처들, 약 1,000개 이상의 애퍼처들, 약 1,500개 이상의 애퍼처들 또는 더 많은 애퍼쳐들을 한정할 수 있지만, 애퍼처들의 개수는, 플라즈마 유출물들의 중화 또는 제거를 보장하기 위해, 약 2,000개 이하의 애퍼처들, 또는 약 1,500개 이하의 애퍼처들로 제한될 수 있다.
일반적으로, 애퍼처들은, 애퍼처들의 윤곽에 따를 수 있는, 직경뿐만 아니라 종횡비도 특징으로 할 수 있다. 플라즈마 유출물들의 적절한 감소 또는 제거를 제공하기 위해, 각각의 애퍼처는 가장 협소한 횡단면에서 약 0.3 인치 이하의 직경을 특징으로 할 수 있고, 약 0.25 인치 이하, 약 0.2 인치 이하, 약 0.15 인치 이하, 약 0.1 인치 이하, 약 0.05 인치 이하의 직경, 또는 더 작은 직경을 특징으로 할 수 있지만, 실시예들에서, 가장 협소한 횡단면은, 앞서 설명된 바와 같은 프로세스 작동들에 영향을 줄 수 있는, 압력에서의 연관된 증가를 감소시키기 위해, 약 0.1 인치 이상으로 또는 더 크게 유지될 수 있다. 종횡비는, 플라즈마 스크린을 통하는 애퍼처 높이 대 애퍼처의 가장 협소한 횡단면에서의 직경의 비율로서 정의될 수 있다. 실시예들에서, 종횡비는, 플라즈마 스크린에 걸친 압력 증가를 감소시키기 위해, 약 50:1 이하일 수 있다. 일부 실시예들에서, 종횡비는 약 40:1 이하, 약 30:1 이하, 약 20:1 이하, 약 10:1 이하, 약 5:1 이하, 약 1:1 이하이거나, 더 작을 수 있지만, 실시예들에서, 종횡비는, 플라즈마 유출물들의 적절한 제거를 보장하기 위해, 약 1:1 이상으로 유지될 수 있다.
플라즈마 스크린(400)의 상부 평면도와 함께 도 3의 플라즈마 스크린(335)의 횡단면도를 참조하면, 내부 영역(420) 및 외부 영역(425)은 본 기술의 실시예들에서 상이한 두께들을 특징으로 할 수 있다. 예를 들어, 내부 영역(420)은 플라즈마 스크린(400)의 제1 두께를 특징으로 할 수 있는 반면, 외부 영역(425)은 플라즈마 스크린(400)의 제2 두께를 특징으로 할 수 있다. 일부 실시예들에서, 제2 두께는 제1 두께 미만일 수 있다. 제1 두께로부터 제2 두께로의 전이를 식별하는 함몰된 렛지가 플라즈마 스크린(400)에 의해 내부 반경(415) 주위에 한정될 수 있다. 증가된 두께를 내부 영역(420)에 포함함으로써, 뒤틀림을 제한할 수 있는 더 견고한 결합이 챔버 구성요소들 사이에 제공될 수 있다. 부가적으로, 애퍼처들(430)이 포함되는 외부 영역(425)을 통해, 감소된 두께를 유지함으로써, 플라즈마 스크린에 의해 야기되는, 챔버를 통한 압력 증가가 제한될 수 있다.
도 5a-5e는 본 기술의 실시예들에 따른 플라즈마 스크린에 형성될 수 있는 예시적인 애퍼처들의 개략적인 횡단면도들을 예시한다. 도면들은 본 기술의 실시예들에 의해 포함된 가능한 애퍼처 설계들을 예시하도록 의도된 애퍼처 구성들의 예시적인 도들을 제공한다. 부가적인 그리고 대안적인 애퍼처 설계들이 또한 사용될 수 있음이 이해되어야 한다. 애퍼처들은, 앞서 설명된 플라즈마 스크린들의 외부 영역(425)의 예시일 수 있는 예시적인 플라즈마 스크린(505)을 통해 연장되는 것으로 예시되었다. 도 5a는 플라즈마 스크린(505a)의 제1 표면(507a)으로부터 제2 표면(509a)으로 연장되는 점감부를 포함하는 애퍼처 구성을 예시한다. 실시예들에서, 제1 표면은 플라즈마를 향할 수 있고, 실시예들에서, 샤워헤드를 향할 수 있다.
도 5b는, 제2 표면(509b)으로 연장되는, 애퍼처의 원통형 부분에 연결되는, 제1 표면(507b)으로부터의 부분적인 점감부를 포함하는 애퍼처 윤곽을 포함하는, 플라즈마 스크린(505b)의 부가적인 예를 예시한다. 점감 부분은 원통형 부분으로의 전이 이전에 플라즈마 스크린 내의 임의의 깊이까지 연장될 수 있다. 도 5a 및 도 5b는, 형성된 플라즈마를 향하는 점감 영역을 제공함으로써, 다른 설계들에 비해 개선된 이온 제거를 제공할 수 있는 설계들을 예시한다. 플라즈마 유출물들에 있는 이온들에 의한 상호 작용을 위한 부가적인 표면적을 제공함으로써, 다른 설계들에 비해 이온 종들을 더 제거할 수 있는 부가적인 접촉이 제공될 수 있다. 다른 실시예들에서, 도 5c에 도시된 바와 같이, 직선 원통형 경로가 각각의 애퍼처로서 형성될 수 있다. 애퍼처는, 원통으로서, 플라즈마 스크린(505c)의 제1 표면(507c)으로부터 제2 표면(509c)으로 직접 연장될 수 있다.
도 5d는 나팔형 애퍼처 형성을 예시하는데, 이는 도 5a의 반대 구성을 예시할 수 있다. 예를 들어, 예시된 애퍼처는 제1 표면(507d)으로부터 제2 표면(509d)으로 벌어질 수 있다. 도 5e는 나팔형 설계에 대한 변형을 예시하는데, 이는 도 5b의 구성의 역전 형태일 수 있다. 예를 들어, 예시된 애퍼처는 제2 표면(509e)으로 연장되는 나팔부로의 전이 이전에는, 플라즈마 스크린(505e)의 제1 표면(507e)으로부터 원통형 애퍼처로서 연장될 수 있다. 전이는 플라즈마 스크린 내의 임의의 깊이에서 일어날 수 있다.
일부 실시예들에서, 플라즈마 스크린의 하나 이상의 표면은, 스퍼터링 또는 처리 챔버를 통해 전달되는 전구체들과의 다른 상호 작용에 대해 보호되도록 코팅될 수 있다. 예를 들어, 일부 실시예들에서, 플라즈마 스크린의 모든 표면들은, 산화물들 또는 다른 재료들을 포함하는 하나 이상의 재료로 코팅될 수 있다. 예를 들어, 일부 실시예들에서, 플라즈마 스크린은 알루미늄일 수 있거나 알루미늄을 포함할 수 있다. 코팅은, 양극산화된 알루미늄을 생성하기 위해 표면을 부동태화하는 것을 포함하는 하나 이상의 재료를 포함할 수 있다. 부가적으로, 코팅은 금속 산화물, 예컨대, 산화이트륨, 도금된 코팅, 예컨대, 니켈 도금, 또는 형성된 코팅, 예컨대, 장벽 산화물, 또는 등각 산화물 코팅을 포함할 수 있다.
코팅은 또한, 플라즈마 스크린의 일부 표면들, 예컨대, 플라즈마를 향한 표면들 상에 형성될 수 있다. 예를 들어, 일부 실시예들에서, 샤워헤드(305)를 향하는, 플라즈마 스크린(335)의 제1 표면이 코팅될 수 있는 반면 반대쪽 표면은 코팅되지 않을 수 있다. 부가적으로, 코팅은 외부 영역(425)의 제1 표면 위에, 내부 반경(415)에 한정된 렛지의 측벽을 따라 연장될 수 있는 반면, 내부 영역(420)의 표면들은 코팅되지 않은 채로 유지될 수 있다. 코팅은 또한, 애퍼처들 내에 적어도 부분적으로 포함될 수 있다. 예를 들어, 샤워헤드를 향하는 제1 표면으로부터 연장되는 점감부를 포함하는 애퍼처들의 경우, 코팅은 애퍼처를 통해 연장되는 점감부의 표면을 따라 연장될 수 있다. 이러한 그리고 다른 코팅들은, 챔버에서 사용되는 플라즈마 및 다른 전구체들로부터 추가적인 보호를 플라즈마 스크린에 제공할 수 있다.
본 기술의 챔버들 및 구성요소들은, 챔버의 처리 영역의 바이어스 플라즈마에 의해 플라즈마가 형성될 수 있는 다양한 프로세스들에 사용될 수 있다. 도 6은 본 기술의 실시예들에 따른 방법(600)에서의 예시적인 작동들을 예시한다. 작동(605)에서, 방법들은, 반도체 처리 챔버의 처리 영역 내에 전구체의 바이어스 플라즈마를 형성하는 단계를 포함할 수 있다. 작동(610)에서, 방법들은 또한, 반도체 처리 챔버 내의 기판 지지부 상에 위치된 기판에, 바이어스 플라즈마에 의한 플라즈마 유출물들을 지향시키는 단계를 포함할 수 있다. 작동(615)에서, 방법들은 또한, 플라즈마 스크린을 이용하여 플라즈마 유출물들을 소호시키는 단계를 포함할 수 있다. 플라즈마 스크린은 본 기술 전반에 논의된 플라즈마 스크린 중 임의의 플라즈마 스크린일 수 있고, 플라즈마 스크린은 기판 지지부의 외부 주위에 결합될 수 있다.
본 기술의 실시예들에 따른 플라즈마 스크린들을 활용함으로써, 챔버 구성요소들의 스퍼터링으로부터의 기판에 대한 오염은 약 5% 초과 만큼 감소될 수 있다. 이러한 감소는 처리 챔버 내의 재료들, 및 플라즈마에 대한 그들의 위치에 관련될 수 있다. 예를 들어, 챔버 내의 구성요소들 중 많은 구성요소들로서 알루미늄이 존재할 수 있기 때문에, 본 기술은 알루미늄 오염을 80% 초과까지 감소시키는 것으로 나타났다. 부가적으로, 이트륨 및 니켈 오염은 본 기술에 따른 플라즈마 스크린들을 포함하는 시스템들에서 90% 초과까지 감소되는 것으로 나타났다. 감소될 수 있는 다른 금속 오염은, 칼슘, 크로뮴, 구리, 철, 마그네슘, 몰리브데넘, 나트륨, 니켈, 칼륨, 이트륨, 및 아연을 포함할 수 있다. 종합적으로, 이러한 재료들 중 임의의 재료에 의한 오염의 감소는 약 10% 이상, 약 15% 이상, 약 20% 이상, 약 25% 이상, 약 30% 이상, 약 35% 이상, 약 40% 이상, 약 45% 이상, 약 50% 이상, 약 55% 이상, 약 60% 이상, 약 65% 이상, 약 70% 이상, 약 75% 이상, 약 80% 이상, 약 85% 이상, 약 95% 이상, 약 95% 이상, 또는 더 많이 감소될 수 있다.
본 기술에 따른 플라즈마 스크린들이 채용될 때, 프로세스 조건들을 위한 작동 윈도우가 연장될 수 있다. 예를 들어, 플라즈마 전력 및 압력은 이온 종들에 전달되는 에너지에 영향을 줄 수 있다. 압력이 감소될 때, 평균 자유 경로가 증가할 수 있고, 이는, 이온들에 의해 유지되는 더 많은 에너지를 초래하여, 챔버 구성요소들의 증가된 충격을 야기할 수 있다. 유사하게, 증가된 전력은 더 많은 에너지를 플라즈마 종들에 전달할 수 있다. 플라즈마 스크린이 없다면, 처리 조건들은 처리 영역 내에서 더 높은 압력 및 더 낮은 플라즈마 전력으로 제한될 수 있다. 그러나, 본 기술에 따른 플라즈마 스크린들이 포함될 때, 작동 압력은 약 20 mTorr 아래로 감소될 수 있고, 약 15 mTorr 이하, 약 10 mTorr 이하, 또는 약 5 mTorr 이하로 감소될 수 있다. 부가적으로, 일부 실시예들에서, 플라즈마 전력은 약 1,000 W 위로 증가될 수 있다. 이에 따라, 추가적인 프로세스 조정이 본 기술에 의해 제공될 수 있다.
앞의 설명에서, 본 기술의 다양한 실시예들의 이해를 제공하도록, 다수의 세부사항이 설명을 목적으로 제시되었다. 그러나, 본 기술분야의 통상의 기술자는 일부 실시예들이 그러한 세부사항 중 일부가 없더라도, 또는 추가의 세부사항과 함께 실시될 수 있음을 알 것이다.
수 개의 실시예가 개시되었지만, 본 기술분야의 통상의 기술자라면, 실시예들의 사상으로부터 벗어나지 않고서 다양한 수정물들, 대안적인 구성들 및 등가물들이 이용될 수 있음을 인식할 것이다. 부가적으로, 본 기술을 불필요하게 모호하게 하는 것을 회피하기 위해, 다수의 공지된 프로세스 및 요소는 설명되지 않았다. 따라서, 상술한 설명은 본 기술의 범위를 제한하는 것으로 간주되어서는 안 된다.
값들의 범위가 제공되는 경우, 맥락이 명백하게 다르게 지시하지 않는 한, 그 범위의 상한과 하한 사이에서 하한의 단위의 최소 분율에 대한 각각의 중간 값이 또한 구체적으로 개시된다는 점이 이해된다. 언급된 범위 내의 임의의 언급된 값들 또는 언급되지 않은 중간 값들과, 그 언급된 범위 내의 임의의 다른 언급된 값 또는 중간 값 사이의 임의의 더 좁은 범위가 포괄된다. 그러한 더 작은 범위들의 상한 및 하한은 독립적으로 범위 내에 포함되거나 배제될 수 있고, 더 작은 범위 내에 이러한 상한과 하한 중 어느 하나 또는 둘 다가 포함되거나, 또는 둘 다가 포함되지 않는 각각의 범위는 언급된 범위 내의 임의의 구체적으로 배제된 한계를 조건으로 하여 본 기술 내에 또한 포괄된다. 언급된 범위가 상한 및 하한 중 하나 또는 둘 다를 포함하는 경우, 이러한 포함된 한계들 중 어느 하나 또는 둘 다를 배제하는 범위도 포함된다.
본 명세서 및 첨부된 청구항들에서 이용될 때, 단수 형태는 맥락상 명백하게 달리 나타나지 않는 한, 복수의 지시대상도 포함한다. 따라서, 예를 들어 "층"에 대한 언급은 복수의 그러한 층을 포함하고, "전구체"에 대한 언급은 본 기술분야의 통상의 기술자에게 알려진 하나 이상의 전구체 및 그들의 등가물의 언급을 포함하는 등이다.
또한, "포함"이라는 단어들은, 본 명세서 및 이하의 청구항들에서 사용되는 경우에, 언급된 특징들, 정수들, 구성요소들, 또는 작동들의 존재를 특정하도록 의도되지만, 이들은 하나 이상의 다른 특징, 정수, 구성요소, 작동, 작용, 또는 그룹의 존재 또는 부가를 배제하는 것은 아니다.

Claims (15)

  1. 반도체 처리 챔버로서,
    샤워헤드;
    기판 지지부;
    상기 기판 지지부와 전기적으로 결합되고, 상기 샤워헤드와 상기 기판 지지부 사이에 한정된 처리 영역 내에 바이어스 플라즈마를 생성하기 위해 전력을 상기 기판 지지부에 제공하도록 구성된 전원; 및
    플라즈마 스크린을 포함하고, 상기 플라즈마 스크린은 상기 기판 지지부와 결합되며, 상기 플라즈마 스크린을 통해 플라즈마 누설을 실질적으로 제거하도록 구성되고, 상기 플라즈마 스크린은 전기 접지와 결합되는, 반도체 처리 챔버.
  2. 제1항에 있어서,
    상기 플라즈마 스크린은 상기 기판 지지부로부터 방사상 외측으로 연장되는 환형 구성요소를 포함하는, 반도체 처리 챔버.
  3. 제2항에 있어서,
    상기 플라즈마 스크린은 상기 플라즈마 스크린의 내부 반경에 대한 제1 두께를 특징으로 하고, 상기 플라즈마 스크린은 상기 플라즈마 스크린의 외부 반경에 대한, 제1 두께보다 작은 제2 두께를 특징으로 하는, 반도체 처리 챔버.
  4. 제3항에 있어서,
    상기 플라즈마 스크린은 상기 플라즈마 스크린을 통하는 복수의 애퍼처들을 한정하는, 반도체 처리 챔버.
  5. 제4항에 있어서,
    상기 복수의 애퍼처들은, 제2 두께를 특징으로 하는, 상기 플라즈마 스크린의 영역 내에 한정되는, 반도체 처리 챔버.
  6. 제4항에 있어서,
    상기 복수의 애퍼처들 중 각각의 애퍼처는 상기 플라즈마 스크린을 통해 적어도 부분적으로 연장되는 점감부를 포함하는 윤곽을 특징으로 하는, 반도체 처리 챔버.
  7. 제4항에 있어서,
    상기 플라즈마 스크린은 상기 플라즈마 스크린을 통하는 적어도 약 500개의 애퍼처들을 한정하고, 상기 복수의 애퍼처들 중 각각의 애퍼처는 약 0.25 인치 이하의 직경을 특징으로 하는, 반도체 처리 챔버.
  8. 제1항에 있어서,
    상기 플라즈마 스크린의 방사상 에지와 상기 반도체 처리 챔버의 측벽들 사이에 갭이 유지되는, 반도체 처리 챔버.
  9. 제1항에 있어서,
    상기 플라즈마 스크린은, 전원과 전기적으로 결합된, 상기 기판 지지부의 정전 척 부분으로부터 전기적으로 격리된 상태로 유지되는, 반도체 처리 챔버.
  10. 반도체 처리 챔버로서,
    챔버 측벽;
    샤워헤드;
    기판 지지부 ― 상기 기판 지지부는, 상기 샤워헤드 및 상기 챔버 측벽과 함께 상기 반도체 처리 챔버의 처리 영역을 한정하고, 상기 기판 지지부는 전기 전도성 퍽을 포함하고, 상기 기판 지지부는 상기 처리 영역 내의 제1 수직 위치로부터, 상기 샤워헤드에 근접한, 상기 처리 영역 내의 제2 수직 위치로 이동 가능함 ―;
    전기 전도성 퍽과 전기적으로 결합된 전원 ― 상기 전원은, 상기 처리 영역 내에 바이어스 플라즈마를 형성하기 위해 상기 전기 전도성 퍽에 에너지를 제공하도록 적응됨 ―; 및
    상기 기판 지지부의 둘레를 따라 상기 기판 지지부와 결합된 플라즈마 스크린을 포함하고, 상기 플라즈마 스크린은 방사상 외측으로 상기 챔버 측벽을 향해 연장되고, 상기 플라즈마 스크린은 전기 접지에 유지되는, 반도체 처리 챔버.
  11. 제10항에 있어서,
    상기 플라즈마 스크린은 내부 반경 및 외부 반경을 특징으로 하고, 상기 플라즈마 스크린은 상기 플라즈마 스크린의 내부 영역과 외부 영역 사이의 경계에 한정된 내부 반경을 특징으로 하는, 반도체 처리 챔버.
  12. 제11항에 있어서,
    상기 플라즈마 스크린은 상기 플라즈마 스크린의 외부 영역 내에 있고 상기 플라즈마 스크린 주위에 퍼져있는 복수의 애퍼처들을 한정하는, 반도체 처리 챔버.
  13. 제11항에 있어서,
    상기 플라즈마 스크린은 상기 플라즈마 스크린의 내부 영역을 따라 상기 기판 지지부의 외부 에지에 결합되고, 상기 기판 지지부는 상기 기판 지지부를 에워싸는 에지 링을 포함하고, 상기 에지 링은 상기 플라즈마 스크린의 내부 영역 상에 놓이며, 상기 에지 링은 석영인, 반도체 처리 챔버.
  14. 제11항에 있어서,
    상기 플라즈마 스크린은 상기 내부 영역 내의 제1 두께를 특징으로 하고, 상기 플라즈마 스크린은 상기 외부 영역 내의 제2 두께를 특징으로 하고, 상기 플라즈마 스크린은 상기 내부 반경에 렛지를 한정하는, 반도체 처리 챔버.
  15. 제10항에 있어서,
    상기 샤워헤드에 근접한 위치로부터, 상기 기판 지지부가 제2 수직 위치에 있을 때 상기 플라즈마 스크린과 실질적으로 동일 평면 상에 있는 위치까지 상기 챔버 측벽을 따라 연장되는 라이너를 더 포함하고, 상기 플라즈마 스크린은 상기 샤워헤드를 향하는 제1 표면 상에서 코팅되는, 반도체 처리 챔버.
KR1020180127391A 2017-10-24 2018-10-24 플라즈마 필터링을 위한 시스템들 및 프로세스들 KR102129867B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762576379P 2017-10-24 2017-10-24
US62/576,379 2017-10-24

Publications (2)

Publication Number Publication Date
KR20190045879A true KR20190045879A (ko) 2019-05-03
KR102129867B1 KR102129867B1 (ko) 2020-07-03

Family

ID=66169185

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180127391A KR102129867B1 (ko) 2017-10-24 2018-10-24 플라즈마 필터링을 위한 시스템들 및 프로세스들

Country Status (5)

Country Link
US (1) US20190119815A1 (ko)
JP (1) JP6982560B2 (ko)
KR (1) KR102129867B1 (ko)
CN (3) CN110565071A (ko)
TW (2) TWM583122U (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7033441B2 (ja) * 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US20210047730A1 (en) * 2019-08-13 2021-02-18 Applied Materials, Inc. Chamber configurations for controlled deposition
CN110349830B (zh) 2019-09-09 2020-02-14 北京北方华创微电子装备有限公司 等离子体系统以及应用于等离子体系统的过滤装置
US11538696B2 (en) * 2019-10-25 2022-12-27 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Semiconductor processing apparatus and sealing device
FI129609B (en) 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
CN112376029B (zh) * 2020-11-11 2022-10-21 北京北方华创微电子装备有限公司 等离子体浸没离子注入设备
WO2023077002A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Showerhead with hole sizes for radical species delivery
CN115818207B (zh) * 2023-02-10 2023-06-02 季华实验室 一种基板传送装置、控制方法及相关设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002151471A (ja) * 2000-11-10 2002-05-24 Tokyo Electron Ltd プラズマ処理装置
JP2003505855A (ja) * 1998-09-30 2003-02-12 ラム リサーチ コーポレーション 半導体処理室用チャンバライナ
JP2010161109A (ja) * 2009-01-06 2010-07-22 Tokyo Electron Ltd 載置台及びプラズマ処理装置
KR20130122893A (ko) * 2012-05-01 2013-11-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 박막 형성 장치 및 방법
JP2013239482A (ja) * 2012-05-11 2013-11-28 Tokyo Electron Ltd ガス供給装置及び基板処理装置
KR20170026216A (ko) * 2015-08-27 2017-03-08 어플라이드 머티어리얼스, 인코포레이티드 이차 플라즈마 주입을 이용한 플라즈마 에칭 시스템들 및 방법들

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP4450883B2 (ja) * 1999-03-30 2010-04-14 東京エレクトロン株式会社 プラズマ処理装置
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US7686918B2 (en) * 2002-06-21 2010-03-30 Tokyo Electron Limited Magnetron plasma processing apparatus
JP4106985B2 (ja) * 2002-07-08 2008-06-25 松下電器産業株式会社 プラズマ処理装置
JP4322484B2 (ja) * 2002-08-30 2009-09-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
CN1560320A (zh) * 2004-03-01 2005-01-05 上海纳晶科技有限公司 一种等离子体磁场过滤装置
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7789963B2 (en) * 2005-02-25 2010-09-07 Tokyo Electron Limited Chuck pedestal shield
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
KR101149332B1 (ko) * 2005-07-29 2012-05-23 주성엔지니어링(주) 플라즈마 식각 장치
JP4996868B2 (ja) * 2006-03-20 2012-08-08 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
TWI471961B (zh) * 2007-10-26 2015-02-01 Sosul Co Ltd 擋板、基底支撐裝置、電漿處理裝置以及電漿處理方法
WO2009091189A2 (en) * 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
JP4515507B2 (ja) * 2008-01-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理システム
JP5281309B2 (ja) * 2008-03-28 2013-09-04 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP2010080846A (ja) * 2008-09-29 2010-04-08 Tokyo Electron Ltd ドライエッチング方法
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
EP3102715A1 (en) * 2014-02-04 2016-12-14 Applied Materials, Inc. Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
US9355823B2 (en) * 2014-05-09 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for removing particles from etching chamber
JP2016184610A (ja) * 2015-03-25 2016-10-20 株式会社東芝 上部電極、エッジリングおよびプラズマ処理装置
JP6449141B2 (ja) * 2015-06-23 2019-01-09 東京エレクトロン株式会社 エッチング処理方法及びプラズマ処理装置
JP6552346B2 (ja) * 2015-09-04 2019-07-31 東京エレクトロン株式会社 基板処理装置
KR101773448B1 (ko) * 2016-04-29 2017-09-01 세메스 주식회사 안테나 및 그를 이용하는 기판 처리 장치

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003505855A (ja) * 1998-09-30 2003-02-12 ラム リサーチ コーポレーション 半導体処理室用チャンバライナ
JP2002151471A (ja) * 2000-11-10 2002-05-24 Tokyo Electron Ltd プラズマ処理装置
JP2010161109A (ja) * 2009-01-06 2010-07-22 Tokyo Electron Ltd 載置台及びプラズマ処理装置
KR20130122893A (ko) * 2012-05-01 2013-11-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 박막 형성 장치 및 방법
JP2013239482A (ja) * 2012-05-11 2013-11-28 Tokyo Electron Ltd ガス供給装置及び基板処理装置
KR20170026216A (ko) * 2015-08-27 2017-03-08 어플라이드 머티어리얼스, 인코포레이티드 이차 플라즈마 주입을 이용한 플라즈마 에칭 시스템들 및 방법들

Also Published As

Publication number Publication date
CN109698111A (zh) 2019-04-30
KR102129867B1 (ko) 2020-07-03
JP6982560B2 (ja) 2021-12-17
JP2019096869A (ja) 2019-06-20
TWI707612B (zh) 2020-10-11
CN110565071A (zh) 2019-12-13
TWM583122U (zh) 2019-09-01
TW201924495A (zh) 2019-06-16
US20190119815A1 (en) 2019-04-25
CN209447761U (zh) 2019-09-27

Similar Documents

Publication Publication Date Title
KR102129867B1 (ko) 플라즈마 필터링을 위한 시스템들 및 프로세스들
KR102589536B1 (ko) 개선된 프로파일을 갖는 듀얼 채널 샤워헤드
US10541113B2 (en) Chamber with flow-through source
US10062585B2 (en) Oxygen compatible plasma source
US10242908B2 (en) Airgap formation with damage-free copper
US10629473B2 (en) Footing removal for nitride spacer
US9287095B2 (en) Semiconductor system assemblies and methods of operation
US9293568B2 (en) Method of fin patterning
US20150170879A1 (en) Semiconductor system assemblies and methods of operation
US20150170943A1 (en) Semiconductor system assemblies and methods of operation
KR20140121367A (ko) 반도체 제조를 위한 내부 플라즈마 그리드
US20190304756A1 (en) Semiconductor chamber coatings and processes
US10424487B2 (en) Atomic layer etching processes
US20210183620A1 (en) Chamber with inductive power source

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant