KR20190039714A - 듀얼 사이드 콘택 커패시터를 형성하기 위한 백사이드 실리사이드화의 활용 - Google Patents

듀얼 사이드 콘택 커패시터를 형성하기 위한 백사이드 실리사이드화의 활용 Download PDF

Info

Publication number
KR20190039714A
KR20190039714A KR1020197004314A KR20197004314A KR20190039714A KR 20190039714 A KR20190039714 A KR 20190039714A KR 1020197004314 A KR1020197004314 A KR 1020197004314A KR 20197004314 A KR20197004314 A KR 20197004314A KR 20190039714 A KR20190039714 A KR 20190039714A
Authority
KR
South Korea
Prior art keywords
layer
backside
circuit structure
integrated circuit
coupled
Prior art date
Application number
KR1020197004314A
Other languages
English (en)
Inventor
시난 고크테펠리
플레이먼 바실레브 코레브
마이클 앤드류 스튜버
리처드 햄몬드
쉬쿤 구
스티브 파넬리
Original Assignee
퀄컴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 퀄컴 인코포레이티드 filed Critical 퀄컴 인코포레이티드
Publication of KR20190039714A publication Critical patent/KR20190039714A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/06Receivers
    • H04B1/16Circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Signal Processing (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

집적 회로 구조는, 제1 플레이트로서 반도체 레이어 및 제2 플레이트로서 게이트 레이어를 갖는 커패시터를 포함할 수 있다. 커패시터 유전체 레이어가 제1 플레이트와 제2 플레이트를 분리할 수 있다. 커패시터의 제1 플레이트에 백사이드 금속배선이 커플링될 수 있다. 커패시터의 제2 플레이트에 프론트-사이드 금속배선이 커플링될 수 있다. 프론트-사이드 금속배선은 백사이드 금속배선으로부터 먼 쪽에 배열될 수 있다.

Description

듀얼 사이드 콘택 커패시터를 형성하기 위한 백사이드 실리사이드화의 활용
[0001] 본 개시내용은 일반적으로 집적 구조(IC; integrated circuit)들에 관한 것이다. 더욱 구체적으로, 본 개시내용은 듀얼 사이드 콘택 커패시터(dual side contacted capacitor)들을 형성하기 위한 백사이드 실리사이드화(backside silicidation)를 위한 방법 및 장치에 관한 것이다.
[0002] 고성능 다이플렉서들을 포함하는 모바일 RF(radio frequency) 칩 설계들(예컨대, 모바일 RF 트랜시버들)은 비용 및 전력 소비 고려사항들에 기인하여 딥 서브-미크론(deep sub-micron) 프로세스 노드로 이동(migrate)했다. 그러한 모바일 RF 트랜시버들의 설계는 이 딥 서브-미크론 프로세스 노드에서 복잡해진다. 이들 모바일 RF 트랜시버들의 설계 복잡성은 통신 인핸스먼트들, 이를테면 캐리어 애그리게이션을 지원하기 위한 부가 회로 기능들에 의해 추가로 복잡하게 된다. 모바일 RF 트랜시버들에 대한 추가적인 설계 난제들은 미스매치, 잡음 및 다른 성능 고려사항들을 포함하는 아날로그/RF 성능 고려사항들을 포함한다. 이들 모바일 RF 트랜시버들의 설계는, 예컨대 공진을 억제하고 그리고/또는 필터링, 바이패싱 및 커플링을 수행하기 위한 부가적인 수동 디바이스들의 사용을 포함한다.
[0003] 패시브 온 글라스(passive on glass) 디바이스들은, 모바일 RF(radio frequency) 칩 설계들의 제작 시 보통 사용되는 다층 세라믹 칩들 또는 표면 장착 기술과 같은 다른 기술들보다 다양한 장점들을 갖는 고성능 인덕터 및 커패시터 컴포넌트들을 수반한다. 모바일 RF 트랜시버들의 설계 복잡성은, 비용 및 전력 소비 고려사항들에 기인한, 딥 서브-미크론 프로세스 노드로의 이동에 의해 복잡하게 된다. 간격(spacing) 고려사항들이 또한, RF 칩 설계들의 설계 통합 동안 성능 보틀-넥을 유발할 수 있는 모바일 RF 트랜시버 설계 딥 서브-미크론 프로세스 노드들, 이를테면 큰 커패시터들에 영향을 끼친다. 예컨대, 증가된 커패시턴스 밀도를 제공하기 위해 RF 애플리케이션들에서 MOS(metal oxide semiconductor) 커패시터들이 사용될 수 있다. 유감스럽게도, 어드밴스드 CMOS(complementary MOS) 프로세싱에서 사용되는 MOS 커패시터들은, 특정된 커패시턴스 밀도를 달성하기 위해 대면적(large area)을 점유할 수 있다.
[0004] 집적 회로 구조는, 제1 플레이트로서 반도체 레이어(layer) 및 제2 플레이트로서 게이트 레이어를 갖는 커패시터를 포함할 수 있다. 커패시터 유전체 레이어가 제1 플레이트와 제2 플레이트를 분리할 수 있다. 커패시터의 제1 플레이트에 백사이드 금속배선(backside metallization)이 커플링될 수 있고, 커패시터의 제2 플레이트에 프론트-사이드(front-side) 금속배선이 커플링될 수 있다. 프론트-사이드 금속배선은 백사이드 금속배선으로부터 먼 쪽에 배열될 수 있다.
[0005] 집적 회로 구조를 구성하는 방법은, 격리 레이어에 의해 지지되고 희생 기판 상에 배치되는 디바이스를 제작하는 단계를 포함할 수 있다. 방법은, 디바이스의 게이트 레이어 상에 프론트-사이드 콘택(contact) 레이어를 증착시키는 단계를 더 포함할 수 있다. 프론트-사이드 유전체 레이어에서의 프론트-사이드 금속배선이 디바이스 상에 제작되고, 프론트-사이드 콘택 레이어에 커플링될 수 있다. 디바이스 상의 프론트-사이드 유전체 레이어에 핸들 기판이 본딩될 수 있다. 방법은, 희생 기판을 제거하는 단계를 더 포함할 수 있다. 디바이스의 반도체 레이어 상에 백사이드 콘택 레이어가 증착될 수 있다. 격리 레이어를 지지하는 백사이드 유전체 레이어에 백사이드 금속배선이 제작될 수 있다. 백사이드 금속배선은 백사이드 콘택 레이어에 커플링될 수 있고, 프론트-사이드 금속배선으로부터 먼 쪽에 배열될 수 있다.
[0006] 집적 회로 구조는 전하를 저장하기 위한 수단을 포함할 수 있다. 전하를 저장하기 위한 수단은 격리 레이어 및 백사이드 유전체 레이어에 의해 지지될 수 있다. 백사이드 금속배선이 백사이드 유전체 레이어에 배열될 수 있고, 전하 저장 수단에 커플링될 수 있다. 프론트-사이드 금속배선이 전하 저장 수단 상의 프론트-사이드 유전체 레이어에 배열될 수 있다. 프론트-사이드 금속배선은 전하 저장 수단에 커플링될 수 있다. 프론트-사이드 금속배선은 백사이드 금속배선으로부터 먼 쪽에 배열될 수 있다.
[0007] RF(radio frequency) 프론트 엔드(front end) 모듈은, 제1 플레이트로서 반도체 레이어 및 제2 플레이트로서 게이트 레이어를 포함하는 커패시터를 갖는 집적 RF(radio frequency) 회로 구조를 포함할 수 있다. 제1 플레이트와 제2 플레이트는, 커패시터 유전체 레이어에 의해 분리될 수 있다. 커패시터의 제1 플레이트에 백사이드 금속배선이 커플링될 수 있고, 커패시터의 제2 플레이트에 프론트-사이드 금속배선이 커플링될 수 있다. 프론트-사이드 금속배선은 백사이드 금속배선으로부터 먼 쪽에 배열될 수 있다. 커패시터에 스위치 트랜지스터가 커플링될 수 있다. 스위치 트랜지스터의 출력에 안테나가 커플링될 수 있다.
[0008] 이는, 뒤이어지는 상세한 설명이 더욱 잘 이해될 수 있도록 하기 위하여, 본 개시내용의 특징들 및 기술적 장점들을 다소 광범위하게 약술했다. 본 개시내용의 부가적인 특징들 및 장점들은 아래에서 설명될 것이다. 본 개시내용이, 본 개시내용의 동일한 목적들을 수행하기 위해 다른 구조들을 수정하거나 또는 설계하기 위한 기반으로서 용이하게 활용될 수 있다는 것이 당업자들에 의해 인식되어야 한다. 또한, 그러한 등가 구성들이 첨부된 청구항들에서 제시된 본 개시내용의 교시들을 벗어나지 않는다는 것이 당업자들에 의해 인식되어야 한다. 추가적인 목적들 및 장점들과 함께, 본 개시내용의 조직 및 동작 방법 둘 모두에 관해 본 개시내용의 특성인 것으로 여겨지는 신규한 특징들은, 첨부된 도면들과 관련하여 고려될 때 다음의 설명으로부터 더욱 잘 이해될 것이다. 그러나, 도면들 각각이 단지 예시 및 설명의 목적을 위해 제공되며, 본 개시내용의 제한들의 정의로서 의도되지 않는다는 것이 명확히 이해되어야 한다.
[0009] 본 개시내용의 더욱 완전한 이해를 위해, 첨부된 도면들과 함께 취해지는 다음의 설명이 이제 참조된다.
[0010] 도 1a는 본 개시내용의 양상에 따른, 다이플렉서를 사용하는 RFFE(RF(radio frequency) front end) 모듈의 개략적인 다이어그램이다.
[0011] 도 1b는 본 개시내용의 양상들에 따른, 캐리어 애그리게이션을 제공하기 위한 칩셋에 대한, 다이플렉서들을 사용하는 RFFE(RF(radio frequency) front end) 모듈의 개략적인 다이어그램이다.
[0012] 도 2a는 본 개시내용의 양상에 따른, 다이플렉서 설계의 다이어그램이다.
[0013] 도 2b는 본 개시내용의 양상에 따른, RF(radio frequency) 프론트 엔드 모듈의 다이어그램이다.
[0014] 도 3a 내지 도 3e는 본 개시내용의 양상들에 따른, 레이어 전사 프로세스 동안의 집적 RF(radio frequency) 회로 구조의 단면도들을 도시한다.
[0015] 도 4는 본 개시내용의 양상들에 따른, 레이어 전사 프로세스를 사용하여 제작된 듀얼 사이드 콘택(dual side contacted) 커패시터를 포함하는 집적 회로 구조의 단면도이다.
[0016] 도 5는 본 개시내용의 양상들에 따른, 듀얼 사이드 콘택 커패시터를 포함하는 집적 회로 구조를 구성하는 방법을 예시하는 프로세스 흐름 다이어그램이다.
[0017] 도 6은 본 개시내용의 구성이 유리하게 사용될 수 있는 예시적인 무선 통신 시스템을 도시하는 블록 다이어그램이다.
[0018] 도 7은 일 구성에 따른, 반도체 컴포넌트의 회로, 레이아웃, 및 로직 설계를 위해 사용되는 설계 워크스테이션을 예시하는 블록 다이어그램이다.
[0019] 첨부된 도면들과 관련하여 아래에서 제시된 상세한 설명은 다양한 구성들의 설명으로서 의도되며, 본원에서 설명된 개념들이 실시될 수 있는 유일한 구성들을 표현하는 것으로 의도되지 않는다. 상세한 설명은 다양한 개념들의 완전한 이해를 제공하는 목적을 위해 특정 세부사항들을 포함한다. 그러나, 이들 개념들이 이들 특정 세부사항들 없이 실시될 수 있다는 것이 당업자들에게 자명할 것이다. 일부 사례들에서, 잘 알려진 구조들 및 컴포넌트들은 그러한 개념들을 불명료하게 하는 것을 방지하기 위하여 블록 다이어그램 형태로 도시된다. 본원에서 설명된 바와 같이, "및/또는"이란 용어의 사용은 "포함적 논리합(inclusive OR)"을 표현하는 것으로 의도되고, "또는"이란 용어의 사용은 "배타적 논리합(exclusive OR)"을 표현하는 것으로 의도된다.
[0020] 모바일 RF(radio frequency) 칩 설계들(예컨대, 모바일 RF 트랜시버들)은 비용 및 전력 소비 고려사항들에 기인하여 딥 서브-미크론 프로세스 노드로 이동했다. 모바일 RF 트랜시버들의 설계 복잡성은 통신 인핸스먼트들, 이를테면 캐리어 애그리게이션을 지원하기 위한 부가 회로 기능들에 의해 추가로 복잡하게 된다. 모바일 RF 트랜시버들에 대한 추가적인 설계 난제들은 미스매치, 잡음 및 다른 성능 고려사항들을 포함하는 아날로그/RF 성능 고려사항들을 포함한다. 이들 모바일 RF 트랜시버들의 설계는, 예컨대 공진을 억제하고 그리고/또는 필터링, 바이패싱 및 커플링을 수행하기 위한 수동 디바이스들의 사용을 포함한다.
[0021] 최신 반도체 칩 제품들의 성공적인 제작은 사용되는 프로세스들과 재료들 사이의 상호작용(interplay)을 수반한다. 특히, 백 엔드 오브 라인(BEOL; back-end-of-line) 프로세스들에서 반도체 제작 동안의 수동 디바이스들의 형성은, 프로세스 흐름의 점점 더 도전적인 부분이다. 이는 특히, 작은 피처(feature) 크기를 유지하는 측면에서 그러하다. 작은 피처 크기를 유지하는 동일한 난제는 또한, 패시브 온 글라스(POG; passive on glass) 기술에 적용되는데, 여기서, 고성능 컴포넌트들, 이를테면 인덕터들 및 커패시터들은, 모바일 RF 트랜시버 설계를 지원하기 위해 매우 낮은 손실을 또한 가질 수 있는 고절연성 기판 상에 만들어진다.
[0022] 이들 모바일 RF 트랜시버들의 설계는 실리콘 온 인슐레이터(silicon on insulator) 기술의 사용을 포함할 수 있다. 실리콘 온 인슐레이터(SOI; silicon on insulator) 기술은, 기생 디바이스 커패시턴스를 감소시키고 성능을 개선시키기 위해, 종래의 실리콘 기판들을 레이어드(layered) 실리콘-절연체-실리콘 기판으로 대체한다. SOI-기반 디바이스들은 종래의 실리콘으로 만들어진 디바이스들과는 상이한데, 그 이유는 실리콘 정션이 전기 절연체, 통상적으로 BOX(buried oxide) 레이어 위에 있기 때문이다. 그러나, 감소된 두께의 BOX 레이어는, BOX 레이어를 지지하는 기판과 실리콘 레이어 상의 디바이스의 근접성에 의해 유발되는 기생 커패시턴스를 충분히 감소시키지 않을 수 있다. 부가하여, SOI-기반 디바이스들 내의 바디의 시닝(thinning)은, SOI-기반 커패시터들에서 주요 제한 팩터가 된 바디 저항을 야기한다.
[0023] 커패시터들은 전기 전하를 저장하기 위한, 집적 회로들에서 사용되는 수동 엘리먼트들이다. 커패시터들은 종종, 전도성인 플레이트들 또는 구조들을 사용하여 만들어지는데, 플레이트들 사이에는 절연 재료가 있다. 주어진 커패시터에 대한 저장의 양(amount) 또는 커패시턴스는, 플레이트들 및 절연체를 만들기 위해 사용된 재료들, 플레이트들의 면적, 그리고 플레이트들 사이의 간격에 달려 있다. 절연 재료는 종종 유전체 재료이다. MOS(metal oxide semiconductor) 커패시터들은, 절연체가 게이트 산화물이고 플레이트들이 디바이스의 게이트와 바디로 만들어지는 평행(parallel) 플레이트 커패시터의 일 예이다.
[0024] 증가된 커패시턴스 밀도를 제공하기 위해 RF 애플리케이션들에서 MOS 커패시터들이 사용될 수 있다. 유감스럽게도, 어드밴스드 CMOS(complementary MOS) 프로세싱에서 사용되는 MOS 커패시터들은, 대면적을 점유할 수 있다. 게다가, SOI 디바이스들에서의 바디의 시닝은, MOS 커패시터 성능에서 제한 팩터가 된 실질적인 바디 저항을 초래한다. 그 결과, 원하는 커패시턴스 밀도를 제공하기 위해, 하나의 대면적 커패시터 대신에, 많은 소면적 커패시터들이 사용된다. 이는 칩 공간의 비효율적인 사용, 증가된 칩 복잡성, 및 더 낮은 칩 성능을 야기한다.
[0025] 본 개시내용의 다양한 양상들은, 집적 RF 회로 구조들에서 듀얼 사이드 콘택 커패시터들을 형성하기 위한 백사이드 실리사이드화를 위한 기법들을 제공한다. 집적 RF 회로 구조의 반도체 제작을 위한 프로세스 흐름은 프론트 엔드 오브 라인(FEOL; front-end-of-line) 프로세스들, 미들 오브 라인(MOL; middle-of-line) 프로세스들, 및 백 엔드 오브 라인(BEOL; back-end-of-line) 프로세스들을 포함할 수 있다. 프론트 엔드 오브 라인(front-end-of-line) 프로세스들은 디바이스들, 이를테면 트랜지스터들, 커패시터들, 다이오드들을 형성하는 프로세스 단계들의 세트를 포함할 수 있다. FEOL 프로세스들은 이온 주입, 어닐(anneal)들, 산화, CVD(chemical vapor deposition), 또는 ALD(atomic layer deposition), 에칭, CMP(chemical mechanical polishing), 에피택시를 포함한다. 미들 오브 라인(middle-of-line) 프로세스들은, BEOL 인터커넥트들에 대한 트랜지스터들의 연결을 가능하게 하는 프로세스 단계들의 세트를 포함할 수 있다. 이들 단계들은 실리사이드화 및 콘택 형성 뿐만 아니라 스트레스(stress) 유입을 포함한다. 백 엔드 오브 라인(back-end-of-line) 프로세스들은, 독립적인 트랜지스터들을 연결시키는(tie) 인터커넥트들을 형성하고 회로들을 형성하는 프로세스 단계들의 세트를 포함할 수 있다. 현재, 구리와 알루미늄이 인터커넥트들을 제공하지만, 기술의 추가적인 발전에 따라 다른 전도성 재료가 사용될 수 있다.
[0026] "레이어"란 용어는 필름을 포함하고, 달리 진술되지 않는 한, 수직 또는 수평 두께를 표시하는 것으로서 해석되지 않아야 한다는 것이 이해될 것이다. 본원에서 설명된 바와 같이, "기판"이란 용어는 다이싱된(diced) 웨이퍼의 기판을 지칭할 수 있거나 또는 다이싱되지 않은 웨이퍼의 기판을 지칭할 수 있다. 유사하게, 칩과 다이란 용어들은, 모순되지 않는 한, 상호교환가능하게 사용될 수 있다.
[0027] 본 개시내용의 양상들은, 듀얼 사이드 콘택 커패시터(예컨대, MOS 커패시터)를 형성하기 위한 포스트 레이어(post layer)-전사 금속배선을 설명한다. 포스트 전사 금속배선 프로세스는 커패시터의 제1 플레이트에 커플링된 백사이드 금속배선을 형성할 수 있다. 부가하여, 백사이드 금속배선으로부터 먼 쪽의 프론트-사이드 금속배선은 커패시터의 제2 플레이트에 커플링될 수 있다. 이 어레인지먼트에서, 듀얼 사이드 콘택 커패시터는, 원하는 커패시턴스 밀도를 달성하기 위해 종래의 커패시터 세분을 수행할 필요 없이 단일 커패시터를 사용함으로써, 원하는 커패시턴스 밀도를 제공할 수 있다.
[0028] 무선 통신 산업을 추진시키는 하나의 목적은, 증가된 대역폭을 소비자들에게 제공하는 것이다. 현세대 통신들에서의 캐리어 애그리게이션의 사용은, 이 목적을 달성하기 위한 하나의 가능한 솔루션을 제공한다. 캐리어 애그리게이션은, 특정 지리적 영역에서의 2개의 주파수 대역들(예컨대, 700 MHz와 2 GHz)에 대한 라이선스들을 갖는 무선 캐리어가, 단일 통신 스트림을 위해 주파수들 둘 모두를 동시에 사용함으로써 대역폭을 최대화하는 것을 가능하게 한다. 증가된 양의 데이터가 최종 사용자에게 제공되지만, 캐리어 애그리게이션 구현은, 데이터 송신을 위해 사용되는 주파수들에 기인하여 고조파 주파수들에서 생성되는 잡음에 의해 복잡하게 된다. 예컨대, 700 MHz 송신들은 2.1 GHz에서 고조파들을 생성할 수 있고, 이는 2 GHz 주파수들에서의 데이터 브로드캐스트를 간섭한다.
[0029] 무선 통신의 경우, 캐리어 애그리게이션 시스템에서 신호들을 프로세싱하기 위해 수동 디바이스들이 사용된다. 캐리어 애그리게이션 시스템들에서는, 고대역 주파수와 저대역 주파수 둘 모두를 이용하여 신호들이 통신된다. 칩셋에서는, 고성능을 보장하기 위해 튜너(또는 RF(radio frequency) 스위치)와 안테나 사이에 수동 디바이스(예컨대, 다이플렉서)가 대개 삽입된다. 대개, 다이플렉서 설계는 인덕터들과 커패시터들을 포함한다. 다이플렉서들은, 고품질(Q)-팩터를 갖는 커패시터들과 인덕터들을 사용함으로써 고성능에 이를 수 있다. 고성능 다이플렉서들은 또한, 컴포넌트들 사이의 전자기 커플링을 감소시킴으로써 ―이는 컴포넌트들의 방향 및 기하학적 구조의 어레인지먼트를 통해 달성될 수 있음―, 이르게 될 수 있다.
[0030] 도 1a는 본 개시내용의 양상에 따른, 다이플렉서(200)를 사용하는 RFFE(RF(radio frequency) front end) 모듈(100)의 개략적인 다이어그램이다. RF 프론트 엔드 모듈(100)은 전력 증폭기들(102), 듀플렉서/필터들(104), 및 RF(radio frequency) 스위치 모듈(106)을 포함한다. 전력 증폭기들(102)은 신호(들)를 송신을 위한 소정의 전력 레벨로 증폭시킨다. 듀플렉서/필터들(104)은, 주파수, 삽입 손실, 거부 또는 다른 유사한 파라미터들을 포함하는 다양한 상이한 파라미터들에 따라 입력/출력 신호들을 필터링한다. 부가하여, RF 스위치 모듈(106)은, RF 프론트 엔드 모듈(100)의 나머지에 전달되도록 입력 신호들의 소정의 부분들을 선택할 수 있다.
[0031] RF 프론트 엔드 모듈(100)은 또한, 튜너 회로(112)(예컨대, 제1 튜너 회로(112A) 및 제2 튜너 회로(112B)), 다이플렉서(200), 커패시터(116), 인덕터(118), 접지 단자(115) 및 안테나(114)를 포함한다. 튜너 회로(112)(예컨대, 제1 튜너 회로(112A) 및 제2 튜너 회로(112B))는 컴포넌트들, 이를테면, 튜너, PDET(portable data entry terminal), 및 HKADC(house keeping analog to digital converter)를 포함한다. 튜너 회로(112)는 안테나(114)에 대한 임피던스 튜닝(예컨대, VSWR(voltage standing wave ratio) 최적화)을 수행할 수 있다. RF 프론트 엔드 모듈(100)은 또한, 무선 트랜시버(WTR; wireless transceiver)(120)에 커플링된 수동 컴바이너(108)를 포함한다. 수동 컴바이너(108)는, 제1 튜너 회로(112A)와 제2 튜너 회로(112B)로부터의 검출 전력을 결합한다. 무선 트랜시버(120)는 수동 컴바이너(108)로부터의 정보를 프로세싱하고, 이 정보를 모뎀(130)(예컨대, MSM(mobile station modem))에 제공한다. 모뎀(130)은 디지털 신호를 애플리케이션 프로세서(AP; application processor)(140)에 제공한다.
[0032] 도 1a에서 도시된 바와 같이, 다이플렉서(200)는 튜너 회로(112)의 튜너 컴포넌트와, 커패시터(116), 인덕터(118), 및 안테나(114) 사이에 있다. 다이플렉서(200)는, RF 프론트 엔드 모듈(100)로부터의 높은 시스템 성능을 무선 트랜시버(120), 모뎀(130) 및 애플리케이션 프로세서(140)를 포함하는 칩셋으로 제공하기 위해, 안테나(114)와 튜너 회로(112) 사이에 배치될 수 있다. 다이플렉서(200)는 또한, 고대역 주파수들과 저대역 주파수들 둘 모두에 대해 주파수 도메인 멀티플렉싱을 수행한다. 다이플렉서(200)가 입력 신호들에 대해 자신의 주파수 멀티플렉싱 기능들을 수행한 후에, 다이플렉서(200)의 출력은, 커패시터(116) 및 인덕터(118)를 포함하는 선택적인 LC(inductor/capacitor) 네트워크에 피딩된다. LC 네트워크는, 원할 때 안테나(114)에 여분의 임피던스 매칭 컴포넌트들을 제공할 수 있다. 그런 다음, 특정 주파수를 갖는 신호가 안테나(114)에 의해 송신되거나 또는 수신된다. 단일 커패시터 및 인덕터가 도시되지만, 다수의 컴포넌트들이 또한 고려된다.
[0033] 도 1b는 본 개시내용의 양상에 따른, 캐리어 애그리게이션을 제공하기 위한 칩셋(160)에 대한, 제1 다이플렉서(200-1)를 포함하는 무선 로컬 영역 네트워크(WLAN; wireless local area network)(예컨대, WiFi) 모듈(170) 및 제2 다이플렉서(200-2)를 포함하는 RF 프론트 엔드 모듈(150)의 개략적인 다이어그램이다. WiFi 모듈(170)은 안테나(192)를 무선 로컬 영역 네트워크 모듈(예컨대, WLAN 모듈(172))에 통신가능하게 커플링하는 제1 다이플렉서(200-1)를 포함한다. RF 프론트 엔드 모듈(150)은 안테나(194)를 듀플렉서(180)를 통해 무선 트랜시버(WTR)(120)에 통신가능하게 커플링하는 제2 다이플렉서(200-2)를 포함한다. WiFi 모듈(170)의 WLAN 모듈(172)과 무선 트랜시버(120)는 모뎀(MSM, 예컨대 베이스밴드 모뎀)(130)에 커플링되며, 이 모뎀(130)은 전력 공급부(152)에 의해 PMIC(power management integrated circuit)(156)를 통해 전력을 공급받는다. 칩셋(160)은 또한, 신호 무결성을 제공하기 위해 인덕터(들)(166) 뿐만 아니라 커패시터들(162 및 164)을 포함한다. PMIC(156), 모뎀(130), 무선 트랜시버(120), 및 WLAN 모듈(172) 각각은 커패시터들(예컨대, 158, 132, 122, 및 174)을 포함하고, 클록(154)에 따라 동작한다. 칩셋(160)에서의 다양한 인덕터 및 커패시터 컴포넌트들의 기하학적 구조 및 어레인지먼트는 컴포넌트들 사이의 전자기 커플링을 감소시킬 수 있다.
[0034] 도 2a는 본 개시내용의 양상에 따른, 다이플렉서(200)의 다이어그램이다. 다이플렉서(200)는 고대역(HB; high band) 입력 포트(212), 저대역(LB; low band) 입력 포트(214), 및 안테나(216)를 포함한다. 다이플렉서(200)의 고대역 경로는 고대역 안테나 스위치(210-1)를 포함한다. 다이플렉서(200)의 저대역 경로는 저대역 안테나 스위치(210-2)를 포함한다. RF 프론트 엔드 모듈을 포함하는 무선 디바이스는 안테나 스위치들(210) 및 다이플렉서(200)를 사용하여, 무선 디바이스의 RF 입력 및 RF 출력을 위한 넓은 범위의 대역을 가능하게 할 수 있다. 부가하여, 안테나(216)는 다중 입력 다중 출력(MIMO; multiple input, multiple output) 안테나일 수 있다. 다중 입력 다중 출력 안테나들은 캐리어 애그리게이션과 같은 특징들을 지원하기 위해 무선 디바이스들의 RF 프론트 엔드에 대해 널리 사용될 것이다.
[0035] 도 2b는 본 개시내용의 양상에 따른, RF 프론트 엔드 모듈(250)의 다이어그램이다. RF 프론트 엔드 모듈(250)은, 도 2a에서 주목된 넓은 범위의 대역을 가능하게 하기 위해 다이플렉서(200)(또는 트리플렉서(triplexer))와 안테나 스위치(ASW; antenna switch)(210)를 포함한다. 부가하여, RF 프론트 엔드 모듈(250)은 기판(202)에 의해 지지되는 필터들(230), RF 스위치(220) 및 전력 증폭기들(218)을 포함한다. 필터들(230)은, 다이플렉서, 트리플렉서, 로우 패스 필터들, 발룬(balun) 필터들, 및/또는 RF 프론트 엔드 모듈(250)에서 고차 고조파들을 방지하기 위한 노치 필터들을 형성하기 위한, 기판(202)을 따라 배열된 인덕터들(L) 및 커패시터들(C)을 갖는 다양한 LC 필터들을 포함할 수 있다. 다이플렉서(200)는 시스템 보드(201)(예컨대, PCB(printed circuit board) 또는 패키지 기판) 상의 SMD(surface mount device)로서 구현될 수 있다. 대안적으로, 다이플렉서(200)는 기판(202) 상에 구현될 수 있다.
[0036] 이 어레인지먼트에서, RF 프론트 엔드 모듈(250)은, 커패시터들, 이를테면 MOS 커패시터들을 포함하는 SOI(silicon on insulator) 기술을 사용하여 구현된다. 유감스럽게도, 어드밴스드 CMOS(complementary MOS) 프로세싱에서의 MOS 커패시터들의 사용은, 특정된 커패시턴스 밀도를 제공하기 위해 대면적의 소비를 야기한다. 게다가, SOI 디바이스들에서의 바디의 시닝에 기인하여, 바디 저항은 MOS 커패시터 성능에서 제한 팩터이며, 여기서, 바디는 MOS 커패시터 플레이트들 중 하나로서 동작된다. 그 결과, 원하는 커패시턴스 밀도를 제공하기 위해, 하나의 대면적 커패시터 대신에, 많은 소면적 커패시터들이 사용된다. 이는 칩 공간의 비효율적인 사용, 증가된 칩 복잡성, 및 더 낮은 칩 성능을 야기한다. 그 결과, 본 개시내용의 양상들은, 도 3a-도 3e 그리고 도 4에서 도시된 바와 같이, 듀얼 사이드 콘택 커패시터(예컨대, MOS 커패시터)를 형성하기 위한 레이어 전사 프로세스를 포함한다.
[0037] 도 3a 내지 도 3e는 본 개시내용의 양상들에 따른, 레이어 전사 프로세스 동안의 집적 RF(radio frequency) 회로 구조(300)의 단면도들을 도시한다. 도 3a에서 도시된 바와 같이, RF SOI(silicon on insulator) 디바이스는, 희생 기판(301)(예컨대, 벌크 웨이퍼)에 의해 지지되는 BOX(buried oxide) 레이어(320) 상의 디바이스(310)를 포함한다. RF SOI 디바이스는 또한, 제1 유전체 레이어(306) 내에서 디바이스(310)에 커플링된 인터커넥트들(350)을 포함한다. 도 3b에서 도시된 바와 같이, RF SOI 디바이스의 제1 유전체 레이어(306)에 핸들 기판(302)이 본딩된다. 부가하여, 희생 기판(301)은 제거된다. 레이어 전사 프로세스를 사용한 희생 기판(301)의 제거는, 유전체 두께를 증가시킴으로써 고-성능 저-기생 RF 디바이스들을 가능하게 한다. 즉, RF SOI 디바이스의 기생 커패시턴스는, 디바이스(310)와 핸들 기판(302) 사이의 거리를 결정하는 유전체 두께에 비례한다.
[0038] 도 3c에서 도시된 바와 같이, 일단 핸들 기판(302)이 고정되고 희생 기판(301)이 제거되면, RF SOI 디바이스는 플리핑된다(flipped). 도 3d에서 도시된 바와 같이, 예컨대 규칙적 CMOS(complementary metal oxide semiconductor) 프로세스를 사용하여, 포스트 레이어 전사 금속배선 프로세스가 수행된다. 도 3e에서 도시된 바와 같이, 시스템 보드(예컨대, PCB(printed circuit board))에 대한 집적 RF 회로 구조(300)의 본딩을 가능하게 하기 위해, 패시베이션 레이어를 증착시키고, 본드 패드들을 개방하고, 재분배(redistribution) 레이어를 증착시키고, 그리고 전도성 범프들/필러(pillar)들을 형성함으로써, 집적 RF 회로 구조(300)가 완성된다.
[0039] 본 개시내용의 다양한 양상들은, 집적 RF(radio frequency) 회로 구조의 디바이스들의 백사이드에 대한 액세스를 제공하기 위해 레이어 전사 및 포스트 전사 금속배선을 위한 기법들을 제공한다. 그에 반해서, FEOL(front-end-of-line) 프로세스 동안 형성된 디바이스들에 대한 액세스는 종래에는, BEOL(back-end-of-line) 인터커넥트 레이어들(예컨대, M1, M2 등)과 디바이스들의 게이트들 및 소스/드레인 구역들 사이의 콘택들을 제공하는 MEOL(middle-end-of-line) 프로세싱 동안 제공된다. 본 개시내용의 양상들은, 고품질(Q)-팩터 RF 애플리케이션들을 위한 듀얼 사이드 콘택 커패시터(예컨대, MOS 커패시터)를 형성하기 위한 포스트 레이어 전사 금속배선 프로세스를 수반한다.
[0040] 도 4는 본 개시내용의 양상들에 따른, 레이어 전사 프로세스를 사용하여 제작된 듀얼 사이드 콘택 커패시터를 포함하는 집적 RF 회로 구조(400)의 단면도이다. 대표하여, 집적 RF 회로 구조(400)는, 제1 플레이트로서 반도체 레이어(412)(예컨대, SOI(silicon on insulator) 레이어) 및 제2 플레이트로서 게이트 레이어(408)(예컨대, 폴리(poly) 레이어)를 갖는 수동 디바이스(410)(예컨대, MOS 커패시터)를 포함한다. 이 어레인지먼트에서, 수동 디바이스(410)를 형성하기 위해, 제1 플레이트(예컨대, 반도체 레이어(412))와 제2 플레이트(예컨대, 게이트 레이어(408))는 커패시터 유전체 레이어(426)(예컨대, 하이-K 유전체)에 의해 분리된다. 반도체 레이어(412), 게이트 레이어(408), 및 커패시터 유전체 레이어(426) 전부는 격리 레이어(420) 상에 형성될 수 있다. SOI 구현들에서, 격리 레이어(420)는 BOX(buried oxide) 레이어이고, SOI 레이어는 BOX 레이어(예컨대, 격리 레이어(420))에 의해 지지되는 STI(shallow trench isolation) 구역들(422)을 포함할 수 있다.
[0041] 본원에서 설명된 바와 같이, MOL/BEOL 레이어들은 프론트-사이드 레이어들로 지칭된다. 그에 반해서, 격리 레이어(420)를 지지하는 레이어들은 백사이드 레이어들로 본원에서 지칭될 수 있다. 이 명명법에 따라, 집적 RF 회로 구조(400)는 또한, 프론트-사이드 금속배선 레이어에 의해 함께 커플링된 프론트-사이드 금속배선 플러그들(418)(예컨대, 프론트-사이드 텅스텐 플러그들)을 포함하는 프론트-사이드 금속배선(406)을 포함한다. 프론트-사이드 금속배선(406)은 프론트-사이드 콘택 레이어(430)(예컨대, 프론트-사이드 실리사이드 레이어)를 통해 게이트 레이어(408)에 커플링될 수 있다. 이 어레인지먼트에서, 프론트-사이드 금속배선 플러그들(418)은 프론트-사이드 콘택 레이어(430)에 커플링된다.
[0042] 도 4에서 도시된 바와 같이, 백사이드 금속배선(414)이 백사이드 콘택 레이어(432)(예컨대, 백사이드 실리사이드 레이어)를 통해 반도체 레이어(412)에 커플링된다. 백사이드 실리사이드는 높은 비저항이 원인인 이슈들을 감소시킨다. 이 어레인지먼트에서, 백사이드 금속배선(414)은 백사이드 금속배선 레이어(예컨대, 텅스텐)에 의해 함께 커플링된 백사이드 금속배선 플러그들(424)(예컨대, 백사이드 텅스텐 플러그들)을 포함한다. 프론트-사이드 금속배선(406)과 백사이드 금속배선(414)은 서로 바로 맞은편에 그리고 먼 쪽에 배열될 수 있다. 프론트-사이드 콘택 레이어와 백사이드 콘택 레이어는, 각각, 프론트-사이드 실리사이드화와 백사이드 실리사이드화를 통해 게이트 레이어(408)와 반도체 레이어(412) 상에 증착될 수 있다. 이 어레인지먼트에서, 백사이드 금속배선 플러그들(424)은 백사이드 콘택 레이어(432)에 커플링되고, 백사이드 금속배선 재료에 의해 함께 접합된다(joined).
[0043] 본 개시내용의 관련 양상들에서, 프론트-사이드 금속배선(406)은, 수동 디바이스(410)의 게이트 레이어(408)에 근접하게 그리고 프론트-사이드 유전체 레이어(404)에 배열될 수 있다. 부가하여, 백사이드 금속배선(414)은 백사이드 유전체 레이어(416)에 배열된 포스트-레이어 전사 금속배선 레이어일 수 있다. 이 어레인지먼트에서, 백사이드 유전체 레이어(416)는 격리 레이어(420)에 인접하고, 가능하게는 이 격리 레이어(420)를 지지한다. 부가하여, 프론트-사이드 유전체 레이어(404)에 핸들 기판(402)이 커플링될 수 있다. 프론트-사이드 유전체 레이어(404)와 핸들 기판(402) 사이에 선택적인 트랩 리치 레이어가 제공될 수 있다. 핸들 기판(402)은 반도체 재료, 이를테면 실리콘으로 구성될 수 있다. 본 개시내용의 일 양상에서, 핸들 기판은 적어도 하나의 다른 능동/수동 디바이스, 이를테면 스위치 트랜지스터를 포함한다.
[0044] 도 4에서 도시된 바와 같이, 본 개시내용의 양상들은, 수동 디바이스(410)로서 도시되는 듀얼 사이드 콘택 커패시터(예컨대, MOS 커패시터)를 형성하기 위한 포스트 레이어-전사 금속배선을 설명한다. 포스트 전사 금속배선 프로세스는 듀얼 사이드 콘택 커패시터의 제1 플레이트(예컨대, 반도체 레이어(412))에 커플링된 백사이드 금속배선을 형성할 수 있다. 부가하여, 백사이드 금속배선(414)으로부터 먼 쪽의 프론트-사이드 금속배선(406)은 듀얼 사이드 콘택 커패시터의 제2 플레이트(예컨대, 게이트 레이어(408))에 커플링될 수 있다. 이 어레인지먼트에서, 듀얼 사이드 콘택 커패시터는, 원하는 커패시턴스 밀도를 달성하기 위해 종래의 커패시터 세분을 수행할 필요 없이 단일 커패시터를 사용함으로써, 원하는 커패시턴스 밀도를 제공할 수 있다.
[0045] 도 5는 본 개시내용의 양상에 따른, 집적 RF(radio frequency) 회로 구조를 구성하는 방법(500)을 예시하는 프로세스 흐름 다이어그램이다. 블록(502)에서, 희생 기판 상에 배치되는 격리 레이어의 제1 표면 상에 수동 디바이스(예컨대, MOS 커패시터)가 제작된다. 예컨대, 도 3a에서 도시된 바와 같이, BOX(buried oxide) 레이어 상에 디바이스(310)가 제작된다. 도 4에서 도시된 어레인지먼트에서, 수동 디바이스(410)(예컨대, MOS 커패시터)는 격리 레이어(420)의 제1 표면 상에 배열된다. 본 개시내용의 일 양상에서, 제1 MOS 커패시터 플레이트를 제공하기 위해 반도체 레이어(412) 내에 미리 결정된 크기의 확산 구역이 형성된다. 반도체 레이어(412) 내의 확산 구역의 크기는 원하는 커패시턴스 밀도에 따라 결정된다. 그런 다음, 반도체 레이어(412) 상에 커패시터 유전체 레이어(426)가 증착된다. 다음 차례로, MOS 커패시터(예컨대, 수동 디바이스(410))의 형성을 완료하기 위해 커패시터 유전체 레이어(426) 상에 게이트 레이어(408)(예컨대, 폴리실리콘 레이어 또는 금속 게이트 레이어)가 증착된다.
[0046] 블록(504)에서, 디바이스의 게이트 레이어의 표면 상에 실리사이드로 구성된 프론트-사이드 콘택 레이어를 증착시키기 위해 프론트-사이드 실리사이드화 프로세스가 수행된다. 예컨대, 도 4에서 도시된 바와 같이, 게이트 레이어(408) 상에 프론트-사이드 콘택 레이어(430)가 증착된다. 블록(506)에서, 디바이스 상의 프론트-사이드 유전체 레이어에 프론트-사이드 금속배선이 제작된다. 예컨대, 도 4에서 도시된 바와 같이, 프론트-사이드 금속배선(406)은 프론트-사이드 유전체 레이어(404)에 제작되고, 수동 디바이스(410)에 커플링된다. 프론트-사이드 금속배선(406)은 프론트-사이드 콘택 레이어(430)를 통해 수동 디바이스(410)에 커플링될 수 있다. 프론트-사이드 금속배선(406)은, 프론트-사이드 콘택 레이어(430)에 커플링되며 그리고 패터닝된 프론트-사이드 유전체 레이어에 프론트-사이드 금속배선 재료를 증착시킴으로써 함께 접합되는 프론트-사이드 금속배선 플러그들(418)(예컨대, 프론트-사이드 텅스텐 플러그들)을 포함할 수 있다. 프론트-사이드 금속배선(406)의 제작 동안, 프론트-사이드 콘택 레이어(430)의 미리 결정된 부분들을 노출시키기 위해, 프론트-사이드 유전체 레이어(404)는 패터닝 및 에칭된다. 일단 노출되면, 프론트-사이드 콘택 레이어(430)의 노출된 미리 결정된 부분들 상에 제1 프론트-사이드 금속배선 재료가 증착된다. 다음 차례로, 프론트-사이드 금속배선 플러그들(418) 상에 제2 프론트-사이드 금속배선 재료가 증착된다.
[0047] 다시 도 5를 참조하면, 블록(508)에서, 프론트-사이드 유전체 레이어에 핸들 기판이 본딩된다. 예컨대, 도 4에서 도시된 바와 같이, 프론트-사이드 유전체 레이어(404)에 핸들 기판(402)이 본딩된다. 블록(510)에서, 희생 기판은 제거된다. 도 3b에서 도시된 바와 같이, 레이어-전사 프로세스는 희생 기판(301)의 제거를 포함한다. 블록(512)에서, 디바이스의 반도체 레이어의 제1 면 상에 실리사이드를 포함하는 백사이드 콘택 레이어를 증착시키기 위해 백사이드 실리사이드화가 수행된다. 예컨대, 도 4에서 도시된 바와 같이, 반도체 레이어(412) 상에 백사이드 콘택 레이어(432)가 증착된다.
[0048] 블록(514)에서, 격리 레이어 상에 백사이드 금속배선이 제작된다. 도 4에서 도시된 바와 같이, 격리 레이어(420)의 제1 표면 상에 수동 디바이스(410)가 제작되고, 핸들 기판(402)으로부터 먼 쪽의, 격리 레이어(420)의 맞은편 표면 상에 백사이드 금속배선(414)이 제작된다. 부가하여, 백사이드 금속배선(414)은 백사이드 콘택 레이어(432)를 통해 반도체 레이어(412)에 커플링될 수 있다. 백사이드 금속배선(414)의 제작 동안, 백사이드 콘택 레이어(432)의 미리 결정된 부분들을 노출시키기 위해, 격리 레이어(420)는 패터닝 및 에칭된다. 일단 노출되면, 백사이드 금속배선 플러그들(424)(예컨대, 백사이드 텅스텐 플러그들)을 형성하기 위해, 백사이드 콘택 레이어(432)의 노출된 미리 결정된 부분들 상에 제1 백사이드 금속배선 재료가 증착된다. 다음 차례로, 백사이드 금속배선 플러그들(424) 상에 제2 백사이드 금속배선 재료가 증착된다. 백사이드 금속배선(414)은 프론트-사이드 금속배선(406)의 바로 맞은편에, 그리고 먼 쪽에 배열될 수 있다.
[0049] 본 개시내용의 추가적인 양상에 따라, 듀얼 사이드 콘택 커패시터를 포함하는 집적 RF 회로 구조들이 설명된다. 집적 RF 회로 구조는 전하를 저장하기 위한 수단을 포함한다. 집적 RF 회로 구조는 또한, 격리 레이어 및 백사이드 유전체 레이어를 포함한다. 전하 저장 수단은, 도 4에서 도시된 반도체 레이어(412) 및 게이트 레이어(408)일 수 있다. 다른 양상에서, 전술된 수단은, 전술된 수단에 의해 인용된 기능들을 수행하도록 구성된 임의의 모듈 또는 임의의 장치일 수 있다.
[0050] 커패시터들은 전기 전하를 저장하기 위한, 집적 회로들에서 사용되는 수동 엘리먼트들이다. 커패시터들은 종종, 전도성인 플레이트들 또는 구조들을 사용하여 만들어지는데, 플레이트들 사이에는 절연 재료가 있다. 주어진 커패시터에 대한 저장의 양 또는 커패시턴스는, 플레이트들 및 절연체를 만들기 위해 사용된 재료들, 플레이트들의 면적, 그리고 플레이트들 사이의 간격에 달려 있다. 절연 재료는 종종 유전체 재료이다. MOS(metal oxide semiconductor) 커패시터들은, 절연체가 게이트 산화물이고 플레이트들이 디바이스의 게이트와 바디로 만들어지는 평행 플레이트 커패시터의 일 예이다.
[0051] 증가된 커패시턴스 밀도를 제공하기 위해 RF 애플리케이션들에서 MOS 커패시터들이 사용될 수 있다. 유감스럽게도, 어드밴스드 CMOS(complementary MOS) 프로세싱에서 사용되는 MOS 커패시터들은, 대면적을 점유할 수 있다. 게다가, SOI 디바이스들에서의 바디의 시닝은, MOS 커패시터 성능에서 제한 팩터가 된 실질적인 바디 저항을 초래한다. 그 결과, 원하는 커패시턴스 밀도를 제공하기 위해, 하나의 대면적 커패시터 대신에, 많은 소면적 커패시터들이 사용된다. 이는 칩 공간의 비효율적인 사용, 증가된 칩 복잡성, 및 더 낮은 칩 성능을 야기한다.
[0052] 본 개시내용의 양상들은, 듀얼 사이드 콘택 커패시터(예컨대, MOS 커패시터)를 형성하기 위한 포스트 레이어-전사 금속배선을 사용하여 설명한다. 포스트 전사 금속배선 프로세스는 커패시터의 제1 플레이트에 커플링된 백사이드 금속배선을 형성할 수 있다. 부가하여, 백사이드 금속배선으로부터 먼 쪽의 프론트-사이드 금속배선은 커패시터의 제2 플레이트에 커플링될 수 있다. 이 어레인지먼트에서, 듀얼 사이드 콘택 커패시터는, 원하는 커패시턴스 밀도를 달성하기 위해 종래의 커패시터 세분을 수행할 필요 없이 단일 커패시터를 사용함으로써, 원하는 커패시턴스 밀도를 제공할 수 있다.
[0053] 이 어레인지먼트에서, 프론트-사이드 금속배선은 커패시터의 제2 플레이트에 커플링되며, 그리고 커패시터의 제1 플레이트에 커플링되는 백사이드 금속배선으로부터 먼 쪽에 배열된다. 본 개시내용의 양상들에서, 제1 플레이트는 SOI(silicon on insulator) 레이어로 구성되고, 제2 플레이트는 게이트 레이어로 구성된다. 백사이드 금속배선은 백사이드 콘택 레이어를 통해 커패시터의 제1 플레이트에 커플링된다. 프론트-사이드 금속배선은 프론트-사이드 콘택 레이어를 통해 제2 플레이트에 커플링된다. 이 어레인지먼트에서, 커패시터는, 종래의 커패시터 세분을 수행할 필요 없이 단일 커패시터를 사용함으로써 원하는 커패시턴스 밀도를 제공하며, 이는 부가적인 칩 공간, 감소된 칩 복잡성, 그리고 증가된 칩 효율 및 성능을 야기한다.
[0054] 도 6은 본 개시내용의 양상이 유리하게 사용될 수 있는 예시적인 무선 통신 시스템(600)을 도시하는 블록 다이어그램이다. 예시의 목적들을 위해, 도 6은 3개의 원격 유닛들(620, 630, 및 650) 그리고 2개의 기지국들(640)을 도시한다. 무선 통신 시스템들이 더욱 많은 원격 유닛들 및 기지국들을 가질 수 있다는 것이 인식될 것이다. 원격 유닛들(620, 630, 및 650)은, 개시된 듀얼 사이드 콘택 커패시터를 포함하는 IC 디바이스들(625A, 625C, 및 625B)을 포함한다. 다른 디바이스들이 또한, 기지국들, 스위칭 디바이스들, 및 네트워크 장비와 같이 개시된 듀얼 사이드 콘택 커패시터를 포함할 수 있다는 것이 인식될 것이다. 도 6은 기지국(640)으로부터 원격 유닛들(620, 630, 및 650)로의 순방향 링크 신호들(680) 및 원격 유닛들(620, 630, 및 650)로부터 기지국들(640)로의 역방향 링크 신호들(690)을 도시한다.
[0055] 도 6에서, 원격 유닛(620)은 모바일 전화로서 도시되고, 원격 유닛(630)은 휴대용 컴퓨터로서 도시되며, 원격 유닛(650)은 무선 로컬 루프 시스템에서의 고정 위치 원격 유닛으로서 도시된다. 예컨대, 원격 유닛들은, 모바일 폰, 핸드-헬드 PCS(personal communication systems) 유닛, PDA(personal digital assistant)와 같은 휴대용 데이터 유닛, GPS 가능 디바이스, 내비게이션 디바이스, 셋톱 박스, 뮤직 플레이어, 비디오 플레이어, 엔터테인먼트 유닛, 계량기 판독 장비와 같은 고정 위치 데이터 유닛, 또는 데이터 또는 컴퓨터 명령들을 저장하거나 또는 리트리빙하는 다른 통신 디바이스, 또는 이들의 결합들일 수 있다. 도 6이 본 개시내용의 양상들에 따른 원격 유닛들을 예시하지만, 본 개시내용은 이들 예시적인 예시된 유닛들로 제한되지 않는다. 본 개시내용의 양상들은, 개시된 듀얼 사이드 콘택 커패시터를 포함하는 많은 디바이스들에서 적절하게 사용될 수 있다.
[0056] 도 7은 반도체 컴포넌트, 이를테면 위에서 개시된 듀얼 사이드 콘택 커패시터의 회로, 레이아웃, 및 로직 설계를 위해 사용되는 설계 워크스테이션을 예시하는 블록 다이어그램이다. 설계 워크스테이션(700)은 운영체제 소프트웨어, 지원 파일들, 및 설계 소프트웨어, 이를테면 Cadence 또는 OrCAD를 포함하는 하드 디스크(701)를 포함한다. 설계 워크스테이션(700)은 또한, 듀얼 사이드 콘택 커패시터와 같은 반도체 컴포넌트(712) 또는 회로(710)의 설계를 용이하게 하기 위해 디스플레이(702)를 포함한다. 회로 설계(710) 또는 반도체 컴포넌트(712)를 유형으로 저장하기 위한 저장 매체(704)가 제공된다. 회로 설계(710) 또는 반도체 컴포넌트(712)는 GDSII 또는 GERBER와 같은 파일 포맷으로 저장 매체(704) 상에 저장될 수 있다. 저장 매체(704)는 CD-ROM, DVD, 하드 디스크, 플래시 메모리, 또는 다른 적절한 디바이스일 수 있다. 또한, 설계 워크스테이션(700)은, 저장 매체(704)로부터 입력을 수용하거나 또는 저장 매체(704)에 출력을 기록하기 위한 드라이브 장치(703)를 포함한다.
[0057] 저장 매체(704) 상에 레코딩되는 데이터는 로직 회로 구성들, 포토리소그래피 마스크들에 대한 패턴 데이터, 또는 전자 빔 리소그래피와 같은 직렬 기록 툴들에 대한 마스크 패턴 데이터를 특정할 수 있다. 데이터는, 로직 시뮬레이션들과 연관된 넷(net) 회로들 또는 타이밍 다이어그램들과 같은 로직 검증 데이터를 더 포함할 수 있다. 저장 매체(704) 상에 데이터를 제공하는 것은, 반도체 웨이퍼들을 설계하기 위한 프로세스들의 수를 감소시킴으로써 회로 설계(710) 또는 반도체 컴포넌트(712)의 설계를 용이하게 한다.
[0058] 펌웨어 및/또는 소프트웨어 구현의 경우, 방법론들은, 본원에서 설명된 기능들을 수행하는 모듈들(예컨대, 프로시저들, 함수들 등)을 이용하여 구현될 수 있다. 본원에서 설명된 방법론들을 구현할 때, 명령들을 유형으로 구현하는 머신-판독가능 매체가 사용될 수 있다. 예컨대, 소프트웨어 코드들은 메모리에 저장되며, 프로세서 유닛에 의해 실행될 수 있다. 메모리는, 프로세서 유닛 내부에 또는 프로세서 유닛 외부에 구현될 수 있다. 본원에서 사용된 바와 같이, "메모리"란 용어는 장기, 단기, 휘발성, 비휘발성, 또는 다른 메모리의 타입들을 지칭하며, 특정 타입의 메모리 또는 메모리들의 수, 또는 메모리가 저장되는 매체의 타입으로 제한되지 않아야 한다.
[0059] 펌웨어 및/또는 소프트웨어로 구현되면, 기능들은 컴퓨터-판독가능 매체 상의 하나 또는 그 초과의 명령들 또는 코드로서 저장될 수 있다. 예들은, 데이터 구조로 인코딩된 컴퓨터-판독가능 매체, 및 컴퓨터 프로그램으로 인코딩된 컴퓨터-판독가능 매체를 포함한다. 컴퓨터-판독가능 매체는 물리적 컴퓨터 저장 매체를 포함한다. 저장 매체는 컴퓨터에 의해 액세스될 수 있는 이용가능한 매체일 수 있다. 제한이 아닌 예로서, 그러한 컴퓨터-판독가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장소, 자기 디스크 저장 또는 다른 자기 저장 디바이스들, 또는 명령들 또는 데이터 구조들의 형태로 원하는 프로그램 코드를 저장하기 위해 사용될 수 있고 컴퓨터에 의해 액세스될 수 있는 다른 매체를 포함할 수 있으며; 본원에서 사용된 바와 같이, 디스크(disk) 및 디스크(disc)는, 컴팩트 디스크(CD; compact disc), 레이저 디스크(disc), 광학 디스크(disc), 디지털 다기능 디스크(DVD; digital versatile disc), 플로피 디스크(disk) 및 블루-레이 디스크(disc)를 포함하는데, 여기서, 디스크(disk)들은 대개 자기적으로 데이터를 재생하지만, 디스크(disc)들은 레이저들을 이용하여 광학적으로 데이터를 재생한다. 이들의 결합들이 또한, 컴퓨터-판독가능 매체의 범위 내에 포함되어야 한다.
[0060] 컴퓨터 판독가능 매체 상의 저장에 부가하여, 명령들 및/또는 데이터는 통신 장치에 포함된 송신 매체 상의 신호들로서 제공될 수 있다. 예컨대, 통신 장치는, 명령들 및 데이터를 표시하는 신호들을 갖는 트랜시버를 포함할 수 있다. 명령들 및 데이터는, 하나 또는 그 초과의 프로세서들로 하여금, 청구항들에서 약술된 기능들을 구현하게 하도록 구성된다.
[0061] 본 개시내용 및 본 개시내용의 장점들이 상세히 설명되었지만, 첨부된 청구항들에 의해 정의된 본 개시내용의 기술을 벗어나지 않으면서, 다양한 변화들, 치환들 및 변경들이 본원에서 이루어질 수 있다는 것이 이해되어야 한다. 예컨대, "위" 및 "아래"와 같은 관계 용어들이 기판 또는 전자 디바이스에 대해 사용된다. 물론, 기판 또는 전자 디바이스가 반전되면, 위는 아래가 되고, 그 반대로도 마찬가지이다. 부가적으로, 옆으로 배향되면, 위와 아래는 기판 또는 전자 디바이스의 사이드(side)들을 지칭할 수 있다. 게다가, 본 출원의 범위는, 본 명세서에서 설명된 프로세스, 머신, 제조(manufacture), 그리고 물질의 조성, 수단, 방법들 및 단계들의 특정 구성들로 제한되는 것으로 의도되지 않는다. 당업자가 본 개시내용으로부터 용이하게 인식할 바와 같이, 본원에서 설명된 대응하는 구성들과 실질적으로 동일한 기능을 수행하거나 또는 실질적으로 동일한 결과를 달성하는, 현재 존재하거나 또는 추후에 개발될 프로세스들, 머신들, 제조, 물질의 조성들, 수단들, 방법들, 또는 단계들은 본 개시내용에 따라 활용될 수 있다. 그에 따라서, 첨부된 청구항들은 그러한 프로세스들, 머신들, 제조, 물질의 조성들, 수단들, 방법들, 또는 단계들을 이러한 청구항들의 범위 내에 포함하는 것으로 의도된다.

Claims (25)

  1. 집적 회로 구조로서,
    커패시터 유전체 레이어(layer)에 의해 분리되는, 제1 플레이트로서 반도체 레이어 및 제2 플레이트로서 게이트 레이어를 포함하는 커패시터;
    상기 커패시터의 상기 제1 플레이트에 커플링된 백사이드 금속배선(backside metallization); 및
    상기 커패시터의 상기 제2 플레이트에 커플링된 프론트-사이드(front-side) 금속배선
    을 포함하며,
    상기 프론트-사이드 금속배선은 상기 백사이드 금속배선으로부터 먼 쪽에 배열되는,
    집적 회로 구조.
  2. 제1 항에 있어서,
    상기 백사이드 금속배선은 백사이드 유전체 레이어에 배열된 금속배선 레이어를 포함하는,
    집적 회로 구조.
  3. 제1 항에 있어서,
    상기 프론트-사이드 금속배선은, 프론트-사이드 유전체 레이어 내에 그리고 상기 커패시터의 상기 게이트 레이어에 근접하게 있는,
    집적 회로 구조.
  4. 제1 항에 있어서,
    백사이드 실리사이드(silicide) 레이어를 더 포함하며, 상기 백사이드 금속배선은 상기 백사이드 실리사이드 레이어를 통해 상기 커패시터의 상기 제1 플레이트에 커플링되는,
    집적 회로 구조.
  5. 제1 항에 있어서,
    프론트-사이드 실리사이드 레이어를 더 포함하며, 상기 프론트-사이드 금속배선은 상기 프론트-사이드 실리사이드 레이어를 통해 상기 커패시터의 상기 제2 플레이트에 커플링되는,
    집적 회로 구조.
  6. 제1 항에 있어서,
    상기 커패시터 유전체 레이어는 하이-K 유전체를 포함하며, 상기 반도체 레이어는 실리콘 온 인슐레이터(SOI; silicon on insulator) 레이어를 포함하는,
    집적 회로 구조.
  7. 제1 항에 있어서,
    상기 프론트-사이드 금속배선과 상기 백사이드 금속배선은 서로 바로 맞은편에 배열되는,
    집적 회로 구조.
  8. 제1 항에 있어서,
    상기 집적 회로 구조는 RF 프론트 엔드(front end) 모듈에 통합되며, 상기 RF 프론트 엔드 모듈은 뮤직 플레이어, 비디오 플레이어, 엔터테인먼트 유닛, 내비게이션 디바이스, 통신 디바이스, PDA(personal digital assistant), 고정 위치 데이터 유닛, 모바일 폰, 및 휴대용 컴퓨터 중 적어도 하나에 통합되는,
    집적 회로 구조.
  9. 집적 회로 구조를 구성하는 방법으로서,
    격리 레이어에 의해 지지되고, 희생 기판 상에 배치되는 디바이스를 제작하는 단계;
    상기 디바이스의 게이트 레이어 상에 프론트-사이드 콘택(contact) 레이어를 증착시키는 단계;
    상기 프론트-사이드 콘택 레이어에 커플링되는 상태로, 그리고 상기 디바이스 상의 프론트-사이드 유전체 레이어에 프론트-사이드 금속배선을 제작하는 단계;
    상기 디바이스 상의 상기 프론트-사이드 유전체 레이어에 핸들 기판을 본딩하는 단계;
    상기 희생 기판을 제거하는 단계;
    상기 디바이스의 반도체 레이어 상에 백사이드 콘택 레이어를 증착시키는 단계; 및
    상기 격리 레이어를 지지하는 백사이드 유전체 레이어에 백사이드 금속배선을 제작하는 단계
    를 포함하며,
    상기 백사이드 금속배선은 상기 백사이드 콘택 레이어에 커플링되고, 상기 프론트-사이드 금속배선으로부터 먼 쪽에 배열되는,
    집적 회로 구조를 구성하는 방법.
  10. 제9 항에 있어서,
    상기 백사이드 금속배선을 제작하는 단계는,
    상기 백사이드 콘택 레이어의 미리 결정된 부분들을 노출시키기 위해, 상기 디바이스의 상기 반도체 레이어에 따라 상기 격리 레이어를 패터닝하는 단계;
    상기 백사이드 금속배선을 형성하기 위해, 패터닝된 격리 레이어 내에, 그리고 상기 백사이드 콘택 레이어의 노출된 미리 결정된 부분들 상에, 백사이드 금속배선 재료를 증착시키는 단계; 및
    상기 격리 레이어 및 상기 백사이드 금속배선 상에 상기 백사이드 유전체 레이어를 증착시키는 단계
    를 포함하는,
    집적 회로 구조를 구성하는 방법.
  11. 제10 항에 있어서,
    상기 백사이드 금속배선 재료를 증착시키는 단계는,
    복수의 백사이드 금속배선 플러그들을 형성하기 위해, 상기 백사이드 콘택 레이어의 노출된 미리 결정된 부분들 상에, 제1 백사이드 금속배선 재료를 증착시키는 단계; 및
    상기 복수의 백사이드 금속배선 플러그들 상에, 제2 백사이드 금속배선 재료를 증착시키는 단계
    를 포함하는,
    집적 회로 구조를 구성하는 방법.
  12. 제9 항에 있어서,
    상기 프론트-사이드 금속배선을 제작하는 단계는,
    상기 프론트-사이드 콘택 레이어의 미리 결정된 부분들을 노출시키기 위해, 상기 디바이스의 상기 게이트 레이어에 따라 상기 프론트-사이드 유전체 레이어를 패터닝하는 단계;
    복수의 프론트-사이드 금속배선 플러그들을 형성하기 위해, 패터닝된 프론트-사이드 유전체 레이어 내에, 그리고 상기 프론트-사이드 콘택 레이어의 노출된 미리 결정된 부분들 상에, 제1 프론트-사이드 금속배선 재료를 증착시키는 단계; 및
    상기 프론트-사이드 금속배선을 형성하기 위해, 상기 복수의 프론트-사이드 금속배선 플러그들 상에 제2 프론트-사이드 금속배선 재료를 증착시키는 단계
    를 포함하는,
    집적 회로 구조를 구성하는 방법.
  13. 제9 항에 있어서,
    상기 핸들 기판을 본딩하는 단계는,
    상기 프론트-사이드 유전체 레이어 상에 트랩 리치(trap rich) 레이어를 증착시키는 단계; 및
    상기 트랩 리치 레이어에 상기 핸들 기판을 본딩하는 단계
    를 더 포함하는,
    집적 회로 구조를 구성하는 방법.
  14. 제9 항에 있어서,
    RF 집적 회로 구조를 RF 프론트 엔드 모듈에 통합하는 단계를 더 포함하며, 상기 RF 프론트 엔드 모듈은 뮤직 플레이어, 비디오 플레이어, 엔터테인먼트 유닛, 내비게이션 디바이스, 통신 디바이스, PDA(personal digital assistant), 고정 위치 데이터 유닛, 모바일 폰, 및 휴대용 컴퓨터 중 적어도 하나에 통합되는,
    집적 회로 구조를 구성하는 방법.
  15. 집적 회로 구조로서,
    격리 레이어 및 백사이드 유전체 레이어에 의해 지지되는, 전하를 저장하기 위한 수단;
    상기 백사이드 유전체 레이어에 배열되고, 전하 저장 수단에 커플링된 백사이드 금속배선; 및
    상기 전하 저장 수단 상의 프론트-사이드 유전체 레이어에 배열된 프론트-사이드 금속배선
    을 포함하며,
    상기 프론트-사이드 금속배선은 상기 전하 저장 수단에 커플링되며, 상기 프론트-사이드 금속배선은 상기 백사이드 금속배선으로부터 먼 쪽에 배열되는,
    집적 회로 구조.
  16. 제15 항에 있어서,
    상기 백사이드 금속배선은 백사이드 실리사이드 레이어를 통해 상기 전하 저장 수단에 커플링되는,
    집적 회로 구조.
  17. 제15 항에 있어서,
    상기 프론트-사이드 금속배선은 프론트-사이드 실리사이드 레이어를 통해 상기 전하 저장 수단에 커플링되는,
    집적 회로 구조.
  18. 제15 항에 있어서,
    상기 전하 저장 수단 상의 상기 프론트-사이드 유전체 레이어 상의 트랩 리치 레이어; 및
    상기 트랩 리치 레이어 상의 핸들 기판
    을 더 포함하는,
    집적 회로 구조.
  19. 제18 항에 있어서,
    상기 핸들 기판은 적어도 하나의 다른 능동/수동 디바이스를 포함하는,
    집적 회로 구조.
  20. 제15 항에 있어서,
    상기 집적 회로 구조는 RF 프론트 엔드 모듈에 통합되며, 상기 RF 프론트 엔드 모듈은 뮤직 플레이어, 비디오 플레이어, 엔터테인먼트 유닛, 내비게이션 디바이스, 통신 디바이스, PDA(personal digital assistant), 고정 위치 데이터 유닛, 모바일 폰, 및 휴대용 컴퓨터 중 적어도 하나에 통합되는,
    집적 회로 구조.
  21. RF(radio frequency) 프론트 엔드 모듈로서,
    집적 RF(radio frequency) 회로 구조 ―상기 집적 RF(radio frequency) 회로 구조는, 커패시터 유전체 레이어에 의해 분리되는, 제1 플레이트로서 반도체 레이어 및 제2 플레이트로서 게이트 레이어를 포함하는 커패시터, 상기 커패시터의 상기 제1 플레이트에 커플링된 백사이드 금속배선, 및 상기 커패시터의 상기 제2 플레이트에 커플링된 프론트-사이드 금속배선을 포함하며, 상기 프론트-사이드 금속배선은 상기 백사이드 금속배선으로부터 먼 쪽에 배열됨―;
    상기 커패시터에 커플링된 스위치 트랜지스터; 및
    상기 스위치 트랜지스터의 출력에 커플링된 안테나
    를 포함하는,
    RF(radio frequency) 프론트 엔드 모듈.
  22. 제21 항에 있어서,
    상기 프론트-사이드 금속배선은 상기 게이트 레이어 상의 프론트-사이드 콘택 레이어의 미리 결정된 부분들에 커플링된 복수의 프론트-사이드 금속배선 플러그들을 포함하며, 프론트-사이드 금속배선 레이어가 상기 복수의 프론트-사이드 금속배선 플러그들에 커플링되는,
    RF(radio frequency) 프론트 엔드 모듈.
  23. 제21 항에 있어서,
    상기 백사이드 금속배선은 상기 반도체 레이어 상의 백사이드 콘택 레이어의 미리 결정된 부분들에 커플링된 복수의 백사이드 금속배선 플러그들을 포함하며, 백사이드 금속배선 레이어가 상기 복수의 백사이드 금속배선 플러그들에 커플링되는,
    RF(radio frequency) 프론트 엔드 모듈.
  24. 제21 항에 있어서,
    상기 커패시터 유전체 레이어는 하이-K 유전체를 포함하며, 상기 반도체 레이어는 실리콘 온 인슐레이터(SOI; silicon on insulator) 레이어를 포함하는,
    RF(radio frequency) 프론트 엔드 모듈.
  25. 제21 항에 있어서,
    상기 RF 프론트 엔드 모듈은 뮤직 플레이어, 비디오 플레이어, 엔터테인먼트 유닛, 내비게이션 디바이스, 통신 디바이스, PDA(personal digital assistant), 고정 위치 데이터 유닛, 모바일 폰, 및 휴대용 컴퓨터 중 적어도 하나에 통합되는,
    RF(radio frequency) 프론트 엔드 모듈.
KR1020197004314A 2016-08-18 2017-07-14 듀얼 사이드 콘택 커패시터를 형성하기 위한 백사이드 실리사이드화의 활용 KR20190039714A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/240,952 US9847293B1 (en) 2016-08-18 2016-08-18 Utilization of backside silicidation to form dual side contacted capacitor
US15/240,952 2016-08-18
PCT/US2017/042213 WO2018034756A1 (en) 2016-08-18 2017-07-14 Utilization of backside silicidation to form dual side contacted capacitor

Publications (1)

Publication Number Publication Date
KR20190039714A true KR20190039714A (ko) 2019-04-15

Family

ID=59416818

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197004314A KR20190039714A (ko) 2016-08-18 2017-07-14 듀얼 사이드 콘택 커패시터를 형성하기 위한 백사이드 실리사이드화의 활용

Country Status (7)

Country Link
US (2) US9847293B1 (ko)
EP (1) EP3501045A1 (ko)
JP (1) JP6921180B2 (ko)
KR (1) KR20190039714A (ko)
CN (1) CN109690788A (ko)
BR (1) BR112019002750B1 (ko)
WO (1) WO2018034756A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9847293B1 (en) 2016-08-18 2017-12-19 Qualcomm Incorporated Utilization of backside silicidation to form dual side contacted capacitor

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4882649A (en) * 1988-03-29 1989-11-21 Texas Instruments Incorporated Nitride/oxide/nitride capacitor dielectric
JP3326267B2 (ja) 1994-03-01 2002-09-17 三菱電機株式会社 半導体装置およびその製造方法
US5541442A (en) * 1994-08-31 1996-07-30 International Business Machines Corporation Integrated compact capacitor-resistor/inductor configuration
JPH08222701A (ja) * 1995-02-17 1996-08-30 Asahi Chem Ind Co Ltd キャパシタを有する半導体装置およびその製造方法
US6320237B1 (en) 1999-11-08 2001-11-20 International Business Machines Corporation Decoupling capacitor structure
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
DE10210044A1 (de) * 2002-03-07 2003-09-18 Philips Intellectual Property Integrierte monolithische SOI-Schaltung mit Kondensator
SE527487C2 (sv) 2004-03-02 2006-03-21 Infineon Technologies Ag En metod för framställning av en kondensator och en monolitiskt integrerad krets innefattande en sådan kondensator
JP2005260163A (ja) 2004-03-15 2005-09-22 Fujitsu Ltd 容量素子及びその製造方法並びに半導体装置及びその製造方法
US20050280087A1 (en) * 2004-06-16 2005-12-22 Cree Microwave, Inc. Laterally diffused MOS transistor having source capacitor and gate shield
US7064043B1 (en) 2004-12-09 2006-06-20 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US20060170044A1 (en) 2005-01-31 2006-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. One-transistor random access memory technology integrated with silicon-on-insulator process
US7345334B2 (en) 2005-04-27 2008-03-18 International Business Machines Corporation Integrated circuit (IC) with high-Q on-chip discrete capacitors
US7709313B2 (en) 2005-07-19 2010-05-04 International Business Machines Corporation High performance capacitors in planar back gates CMOS
US8013342B2 (en) * 2007-11-14 2011-09-06 International Business Machines Corporation Double-sided integrated circuit chips
US20090057742A1 (en) 2007-08-30 2009-03-05 Sungjae Lee Cmos varactor
KR101591492B1 (ko) * 2008-02-25 2016-02-03 페어차일드 세미컨덕터 코포레이션 집적된 박막 인덕터들을 포함하는 마이크로모듈들 및 이를 제조하는 방법
US8889548B2 (en) * 2008-09-30 2014-11-18 Infineon Technologies Ag On-chip RF shields with backside redistribution lines
JP2011193191A (ja) * 2010-03-15 2011-09-29 Renesas Electronics Corp 半導体集積回路およびそれを内蔵した高周波モジュール
JP5876249B2 (ja) * 2011-08-10 2016-03-02 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8916421B2 (en) * 2011-08-31 2014-12-23 Freescale Semiconductor, Inc. Semiconductor device packaging having pre-encapsulation through via formation using lead frames with attached signal conduits
US20130158378A1 (en) * 2011-09-22 2013-06-20 The Ohio State University Ionic barrier for floating gate in vivo biosensors
US8592241B2 (en) * 2011-09-28 2013-11-26 Freescale Semiconductor, Inc. Method for packaging an electronic device assembly having a capped device interconnect
US8748258B2 (en) 2011-12-12 2014-06-10 International Business Machines Corporation Method and structure for forming on-chip high quality capacitors with ETSOI transistors
US8685790B2 (en) * 2012-02-15 2014-04-01 Freescale Semiconductor, Inc. Semiconductor device package having backside contact and method for manufacturing
JP6216235B2 (ja) * 2013-05-07 2017-10-18 キヤノン株式会社 画像形成装置及びその制御方法とプログラム
US20160043108A1 (en) * 2014-08-07 2016-02-11 Silanna Semiconductor U.S.A., Inc. Semiconductor Structure with Multiple Active Layers in an SOI Wafer
KR20160034200A (ko) * 2014-09-19 2016-03-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US9257393B1 (en) * 2014-09-29 2016-02-09 Freescale Semiconductor Inc. Fan-out wafer level packages containing embedded ground plane interconnect structures and methods for the fabrication thereof
US9620463B2 (en) * 2015-02-27 2017-04-11 Qualcomm Incorporated Radio-frequency (RF) shielding in fan-out wafer level package (FOWLP)
US9780210B1 (en) * 2016-08-11 2017-10-03 Qualcomm Incorporated Backside semiconductor growth
US9847293B1 (en) 2016-08-18 2017-12-19 Qualcomm Incorporated Utilization of backside silicidation to form dual side contacted capacitor

Also Published As

Publication number Publication date
BR112019002750A2 (pt) 2019-05-14
US9847293B1 (en) 2017-12-19
BR112019002750B1 (pt) 2023-04-11
WO2018034756A1 (en) 2018-02-22
JP2019525476A (ja) 2019-09-05
JP6921180B2 (ja) 2021-08-18
CN109690788A (zh) 2019-04-26
EP3501045A1 (en) 2019-06-26
US10290579B2 (en) 2019-05-14
US20180076137A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
CN110088891B (zh) 利用双面处理的逻辑电路块布局
US10431558B2 (en) Method and apparatus for back-biased switch transistors
CN109643691B (zh) 背面半导体生长
US10074942B2 (en) Switch device performance improvement through multisided biased shielding
US9812580B1 (en) Deep trench active device with backside body contact
US9917062B1 (en) Self-aligned transistors for dual-side processing
US10043752B2 (en) Substrate contact using dual sided silicidation
US10290579B2 (en) Utilization of backside silicidation to form dual side contacted capacitor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal