KR20190011192A - 실리콘 산화막을 제거하는 방법 - Google Patents

실리콘 산화막을 제거하는 방법 Download PDF

Info

Publication number
KR20190011192A
KR20190011192A KR1020180080454A KR20180080454A KR20190011192A KR 20190011192 A KR20190011192 A KR 20190011192A KR 1020180080454 A KR1020180080454 A KR 1020180080454A KR 20180080454 A KR20180080454 A KR 20180080454A KR 20190011192 A KR20190011192 A KR 20190011192A
Authority
KR
South Korea
Prior art keywords
gas
chamber
silicon oxide
oxide film
workpiece
Prior art date
Application number
KR1020180080454A
Other languages
English (en)
Other versions
KR102149082B1 (ko
Inventor
히데아키 야마사키
다카미치 기쿠치
세이시 무라카미
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190011192A publication Critical patent/KR20190011192A/ko
Application granted granted Critical
Publication of KR102149082B1 publication Critical patent/KR102149082B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은, 실리콘 산화막을 제거하는 방법을 제공한다. 일 실시 형태에 따른 방법이 적용되는 피가공물에서는, 실리콘 산화막은, 절연막에 형성된 개구의 저부에서 노출되어 있다. 이 방법에서는, 피가공물의 표면 상에 보호막이 콘포멀하게 형성된다. 이어서, 불활성 가스의 플라스마로부터의 이온에 의한 스퍼터 에칭에 의해, 실리콘 산화막 상에서 연장되는 보호막과 실리콘 산화막의 일부 영역이 에칭된다. 이어서, 화학적 에칭에 의해 실리콘 산화막의 잔사가 제거된다. 보호막의 형성에서는, 탄소 함유 가스를 사용한 전구체층의 형성, 퍼지에 의한 여분의 전구체의 제거, 및 불활성 가스의 플라스마에 의한 전구체층 내의 불순물의 양의 저감이 반복된다.

Description

실리콘 산화막을 제거하는 방법{METHOD OF REMOVING SILICON OXIDE FILM}
본 개시의 실시 형태는, 실리콘 산화막을 제거하는 방법에 관한 것이다.
전자 디바이스의 제조에 있어서는, 실리콘 영역 상의 절연막에 개구가 형성되고, 실리콘 영역에 접속되는 콘택트가 당해 개구에 형성된다. 콘택트에는, 예를 들어 티타늄이 사용된다. 콘택트를 형성하기 전에, 실리콘 영역의 표면을 구성하는 실리콘 산화막(자연 산화막)이 제거된다. 이 실리콘 산화막은, 개구의 저부에서 노출되어 있다.
콘택트의 형성 전에 행해지는 실리콘 산화막의 제거에는, 일반적으로, 등방성 에칭이 사용되고 있다. 등방성 에칭으로서는, 특허문헌 1에 기재된 화학적 에칭이 알려져 있다. 특허문헌 1에 기재된 화학적 에칭에서는, 처리 가스 중의 불소 및 염기성 가스가 실리콘 산화막의 산화 실리콘과 반응하여, 실리콘 산화막으로부터 변질 영역이 형성된다. 형성된 변질 영역은, 가열에 의해 제거된다.
국제 공개 제2007/049510호 팜플릿
상술한 바와 같은 등방성 에칭에서는, 개구를 구획 형성하는 면으로부터 가로 방향을 따라 절연막도 에칭된다. 절연막이 에칭되면, 제작된 전자 디바이스의 콘택트 사이에서 전류의 누설이 발생할 수 있다. 따라서, 절연막에 형성된 개구의 저부에서 노출되어 있는 실리콘 산화막을, 절연막의 에칭을 억제하면서 에칭할 것이 요구되고 있다.
일 형태에서는, 피가공물의 실리콘 산화막을 제거하는 방법이 제공된다. 피가공물은, 절연막 및 실리콘 산화막을 갖는다. 실리콘 산화막은, 절연막에 형성된 개구의 저부에서 노출되어 있다. 일 형태에 관한 방법은, (i) 피가공물의 표면 상에 탄소를 함유하는 보호막을 형성하는 공정이며, 보호막은, 개구를 구획 형성하는 절연막의 측벽면을 따라 연장되는 제1 영역, 및 실리콘 산화막 상에서 연장되는 제2 영역을 갖는 해당 공정과, (ii) 제1 불활성 가스의 플라스마로부터의 이온에 의한 스퍼터 에칭에 의해 보호막의 제2 영역과 실리콘 산화막을 제거하는 공정과, (iii) 화학적 에칭에 의해 실리콘 산화막의 잔사를 제거하는 공정을 포함한다. 보호막을 형성하는 공정은, 피가공물이 챔버 내에 배치된 상태에서 실행된다. 보호막을 형성하는 공정에서는, 챔버에 탄소 함유 가스를 공급함으로써 피가공물의 표면 상에 탄소 함유의 전구체층을 형성하는 공정과, 챔버를 퍼지하는 공정과, 챔버 내에서 제2 불활성 가스의 플라스마를 생성함으로써, 전구체층에 포함되는 불순물의 양을 저감시키는 공정과, 챔버를 퍼지하는 공정을, 각각이 포함하는 복수회의 사이클이 실행된다.
상기 방법에서는, 전구체층의 형성, 퍼지에 의한 여분의 전구체의 제거, 및 전구체층 내의 불순물의 양의 저감이 반복됨으로써, 보호막이 형성된다. 따라서, 실리콘 산화막의 표면 및 절연막의 표면 상에 콘포멀한 보호막이 형성된다. 이어서, 스퍼터 에칭이 실행됨으로써, 실리콘 산화막의 표면 상에서 연장되는 보호막의 제2 영역 및 실리콘 산화막이, 절연막의 측벽면을 따라 연장되는 보호막의 제1 영역에 대하여 선택적으로 제거된다. 그 후에, 실리콘 산화막의 잔사에 대한 등방성의 화학적 에칭이 행해진다. 화학적 에칭의 실행 중에는, 보호막에 의해 절연막이 보호된다. 따라서, 절연막의 에칭을 억제하면서, 실리콘 산화막을 에칭하는 것이 가능하게 된다.
일 실시 형태에서는, 탄소 함유 가스는, 하이드로플루오로카본 가스이다. 일례의 탄소 함유 가스는, CH3F 가스일 수 있다. 다른 실시 형태에서는, 탄소 함유 가스는 탄화수소 가스이다. 일 실시 형태의 전구체층을 형성하는 공정에서, 염소 가스 및 수소 가스 중 적어도 한쪽이 챔버에 더 공급되어도 된다. 이 실시 형태에 따르면, 수소 가스를 공급함으로써, 보다 콘포멀한 보호막(PF)을 형성하는 것이 가능하게 된다. 또한, 염소 가스를 공급함으로써, 선택적으로 보호막을 형성하는 것이 가능하게 된다.
일 실시 형태의 불순물의 양을 저감시키는 공정에서 사용되는 불활성 가스는 희가스이다. 일 실시 형태의 보호막의 제2 영역 및 실리콘 산화막을 제거하는 공정에서 사용되는 불활성 가스는 희가스이다.
일 실시 형태의 실리콘 산화막의 잔사를 제거하는 공정은, 실리콘 산화막에 처리 가스를 공급함으로써, 실리콘 산화막으로부터 변질 영역을 형성하는 공정이며, 변질 영역은 규불화암모늄을 포함하는 해당 공정과, 변질 영역을 갖는 피가공물을 가열함으로써, 변질 영역을 제거하는 공정을 포함한다. 일 실시 형태에 있어서, 처리 가스는, HF 가스 및 NH3 가스를 포함하고 있어도 된다.
이상 설명한 바와 같이, 절연막에 형성된 개구의 저부에서 노출되어 있는 실리콘 산화막을, 절연막의 에칭을 억제하면서 에칭하는 것이 가능하게 된다.
도 1은 일 실시 형태에 따른 실리콘 산화막을 제거하는 방법을 나타내는 흐름도이다.
도 2의 (a)는 도 1에 도시하는 방법이 적용되기 전의, 일례의 피가공물의 일부를 확대한 단면도이며, (b), (c), (d), (e) 및 (f)는 도 1에 도시하는 방법의 실행 중 또는 실행 후의 피가공물의 일부를 확대한 단면도이다.
도 3은 도 1에 도시하는 방법의 실행에 사용하는 것이 가능한 처리 시스템의 일례를 도시하는 도면이다.
도 4는 도 3에 도시하는 처리 시스템의 프로세스 모듈로서 채용 가능한 플라스마 처리 장치를 개략적으로 도시하는 도면이다.
도 5는 도 3에 도시하는 처리 시스템의 프로세스 모듈로서 채용 가능한 처리 장치의 일례를 도시하는 도면이다.
도 6은 도 3에 도시하는 처리 시스템의 프로세스 모듈로서 채용 가능한 처리 장치의 일례를 도시하는 도면이다.
도 7은 제1 평가 실험에서 측정한 치수를 도시하는 도면이다.
도 8의 (a)는 제2 평가 실험에서 측정한 치수를 도시하는 도면이며, (b)는 비교 실험에서 측정한 치수를 도시하는 도면이다.
이하, 도면을 참조하여 다양한 실시 형태에 대해서 상세하게 설명한다. 또한, 각 도면에서 동일하거나 또는 상당하는 부분에 대해서는 동일한 부호를 붙이기로 한다.
도 1은, 일 실시 형태에 따른 실리콘 산화막을 제거하는 방법을 나타내는 흐름도이다. 도 2의 (a)는 도 1에 도시하는 방법이 적용되기 전의 일례의 피가공물의 일부를 확대한 단면도이며, (b), (c), (d), (e) 및 (f)는 도 1에 도시하는 방법의 실행 중 또는 실행 후의 피가공물의 일부를 확대한 단면도이다. 이하, 도 2의 (a)에 도시하는 피가공물(W)에 적용되는 경우를 예로 들어, 도 1에 도시하는 방법(MT)을 설명한다. 또한, 방법(MT)은, 실리콘 산화막과 절연막을 갖고, 절연막에 형성된 개구의 저부에서 실리콘 산화막이 노출되어 있는 구조를 갖는 임의의 피가공물에 적용될 수 있다.
도 1에 도시하는 방법(MT)은, 공정 ST1 내지 공정 ST3을 포함하고 있다. 방법(MT)은, 공정 ST4를 더 포함하고 있어도 된다. 방법(MT)의 공정 ST1에서는, 피가공물의 표면 상에 보호막이 형성된다. 도 2의 (a)에 도시하는 바와 같이, 방법(MT)의 적용 전에, 피가공물(W)은, 실리콘 영역(SR) 및 절연막(IF)을 갖고 있다. 실리콘 영역(SR)은, 실리콘으로 형성되어 있다. 실리콘 영역(SR)은, 예를 들어 트랜지스터의 소스 및 드레인을 제공하는 영역일 수 있다. 절연막(IF)은, 실리콘 영역(SR) 상에 설치되어 있다. 절연막(IF)은, 산화 실리콘과 같은 절연 재료로 형성되어 있다. 절연막(IF)에는 개구(OP)가 형성되어 있다. 개구(OP)는, 예를 들어 마스크의 패턴을 플라스마 에칭에 의해 절연막(IF)에 전사함으로써 형성된다. 개구(OP)의 저부에는, 실리콘 산화막(OX)이 노출되어 있다. 실리콘 산화막(OX)은, 예를 들어 실리콘 영역(SR)의 일부의 산화(예를 들어 자연 산화)에 의해 형성된다.
공정 ST1은, 챔버에 피가공물(W)이 배치된 상태에서 실행된다. 이 챔버를 제공하는 프로세스 모듈은, 플라스마 처리 장치이다. 도 1에 도시한 바와 같이, 방법(MT)의 공정 ST1에서는, 복수회의 사이클(CY)이 실행된다. 즉, 공정 ST1에서는, 사이클(CY)이 복수회 실행된다. 각 사이클(CY)은, 공정 ST11 내지 공정 ST14를 포함하고 있다.
공정 ST11에서는, 피가공물(W)의 표면 상에 탄소 함유의 전구체층이 형성된다. 전구체층을 형성하기 위해서, 공정 ST11에서는, 피가공물(W)이 수용된 챔버에 탄소 함유 가스가 공급된다. 이 탄소 함유 가스에 포함되는 전구체가, 피가공물(W)의 표면 또는 이미 형성되어 있는 보호막 상에 부착됨으로써, 전구체층이 형성된다.
공정 ST11에서 사용되는 탄소 함유 가스는, 하이드로플루오로카본 가스, 탄화수소 가스, 플루오로카본 가스 등과 같이, 탄소를 함유하는 임의의 가스일 수 있다. 공정 ST11에서 사용되는 탄소 함유 가스는, 예를 들어 CH3F 가스 또는 C3H6 가스일 수 있다. 공정 ST11에서는, 탄소 함유 가스와 함께, 챔버에 캐리어 가스가 추가로 공급되어도 된다. 캐리어 가스는, 불활성 가스이며, 예를 들어 희가스일 수 있다. 공정 ST11에서는, 탄소 함유 가스와 함께, 염소 가스(Cl2 가스) 및 수소 가스(H2 가스) 중 적어도 한쪽이 챔버에 추가로 공급되어도 된다.
계속되는 공정 ST12에서는, 챔버의 퍼지가 실행된다. 공정 ST12의 퍼지에서는, 챔버의 배기가 실행될 수 있다. 공정 ST12의 퍼지에서는, 챔버에 불활성 가스가 공급되어도 된다. 공정 ST12에서 사용되는 불활성 가스는, 예를 들어 희가스이다. 공정 ST12에서 사용되는 불활성 가스는, 공정 ST11에서 사용되는 캐리어 가스와 동일한 가스이어도 된다. 공정 ST12에서는, 여분의 전구체, 즉, 피가공물(W) 또는 이미 형성되어 있는 보호막 상에 과잉으로 부착되어 있는 전구체가 제거된다.
계속되는 공정 ST13에서는, 챔버 내에서 불활성 가스의 플라스마가 생성된다. 공정 ST13에서 사용되는 불활성 가스는, 예를 들어 희가스이다. 공정 ST13에서 사용되는 불활성 가스는, 공정 ST11에서 사용되는 캐리어 가스, 및 공정 ST12에서 사용되는 불활성 가스와 동일한 가스이어도 된다. 공정 ST13에서는, 불활성 가스의 플라스마로부터의 이온 및/또는 라디칼이 전구체층에 조사된다. 이에 의해, 전구체층 내의 탄소와 불순물(예를 들어, 수소 및/또는 불소)의 사이의 결합이 절단되어, 탄소로부터 분리된 불순물이 제거된다. 그 결과, 전구체층 내의 불순물의 양이 저감된다.
계속되는 공정 ST14에서는, 챔버의 퍼지가 실행된다. 공정 ST14의 퍼지에서는, 챔버의 배기가 실행될 수 있다. 공정 ST14의 퍼지에서는, 챔버에 불활성 가스가 공급되어도 된다. 공정 ST14에서 사용되는 불활성 가스는, 예를 들어 희가스이다. 공정 ST14에서 사용되는 불활성 가스는, 공정 ST11에서 사용되는 캐리어 가스, 공정 ST12에서 사용되는 불활성 가스, 공정 ST13에서 사용되는 불활성 가스와 동일한 가스이어도 된다. 즉, 공정 ST11 내지 공정 ST14의 실행 중, 동일한 불활성 가스가 연속적으로 챔버에 공급되어도 된다.
공정 ST14의 실행 후에는, 공정 STJ에서 정지 조건을 만족하는지 여부가 판정된다. 정지 조건은, 공정 ST11 내지 공정 ST14를 포함하는 사이클(CY)의 실행 횟수가 소정 횟수에 달하였을 때 만족되는 것이라 판정된다. 공정 STJ에서 정지 조건이 만족되지 않는다고 판정되면, 다시 공정 ST11이 실행된다. 방법(MT)에서는, 공정 ST11 내지 공정 ST14를 각각이 포함하는, 복수회의 사이클(CY)이 실행된다. 복수회의 사이클(CY)이 실행되면, 도 2의 (b)에 도시하는 바와 같이, 피가공물(W)의 표면, 즉, 실리콘 산화막(OX)의 표면 상 및 절연막(IF)의 표면 상에는, 보호막(PF)이 콘포멀하게 형성된다. 보호막(PF)은, 제1 영역(R1), 제2 영역(R2) 및 제3 영역(R3)을 포함한다. 제1 영역(R1)은, 개구(OP)를 구획 형성하는 절연막(IF)의 측벽면을 따라 연장되어 있다. 제2 영역(R2)은, 실리콘 산화막(OX) 상에서 연장되어 있다. 제3 영역(R3)은, 절연막(IF)의 상면 상에서 연장되어 있다.
도 1로 돌아가서, 공정 STJ에서 정지 조건이 만족된다고 판정되면, 처리는 공정 ST2로 진행된다. 공정 ST2에서는, 불활성 가스의 플라스마로부터의 이온에 의한 스퍼터 에칭에 의해 제2 영역(R2) 및 실리콘 산화막(OX)이 제거된다. 공정 ST2에서는, 스퍼터 에칭, 즉 이방성 에칭에 의해, 제2 영역(R2)이 제1 영역(R1)에 대하여 선택적으로 에칭된다. 공정 ST2에서는, 플라스마 처리 장치가 사용된다. 이 플라스마 처리 장치는, 공정 ST1의 실행에 사용되는 프로세스 모듈(즉, 플라스마 처리 장치)과 동일한 프로세스 모듈이어도 되고, 상이한 프로세스 모듈이어도 된다. 도 2의 (c)에 도시하는 바와 같이, 공정 ST2의 스퍼터 에칭에 의해, 제2 영역(R2) 및 실리콘 산화막(OX)과 함께 제3 영역(R3)도 제거된다. 또한, 공정 ST2에서는, 실리콘 산화막(OX)은 완전히는 제거되지 않고, 실리콘 산화막의 잔사(OXR)가 남겨진다.
도 1에 도시한 바와 같이, 방법(MT)에서는, 이어서 공정 ST3이 실행된다. 공정 ST3에서는, 화학적 에칭에 의해 실리콘 산화막의 잔사(OXR)가 제거된다. 공정 ST3에서 실행되는 에칭은 등방성 에칭이다. 공정 ST3의 에칭에는, 실리콘 산화막의 잔사(OXR)를 제거할 수 있는 임의의 화학적 에칭을 사용할 수 있다.
일 실시 형태에서, 공정 ST3은, 공정 ST31 및 공정 ST32를 포함한다. 공정 ST31에서는, 실리콘 산화막의 잔사(OXR)에 대하여 처리 가스가 공급된다. 이 처리 가스가 실리콘 산화막의 잔사(OXR)와 반응함으로써, 도 2의 (d)에 도시하는 바와 같이, 변질 영역(TR)이 형성된다. 변질 영역(TR)은, 규불화암모늄을 포함한다. 이러한 변질 영역(TR)의 형성에 사용되는 처리 가스는, 예를 들어 HF 가스 및 NH3 가스를 포함한다.
계속되는 공정 ST32에서는, 도 2의 (e)에 도시하는 바와 같이, 변질 영역(TR)이 제거된다. 구체적으로, 공정 ST32에서는, 변질 영역(TR)을 갖는 피가공물(W)이 가열된다. 이에 의해, 변질 영역(TR)이 승화하여 배기된다. 그 결과, 실리콘 산화막의 잔사(OXR)가 제거된다. 공정 ST32에서는, 가열 공정 및 퍼지 공정을 동시에 행하여도 되고, 또는, 가열 공정 및 퍼지 공정을 비동시에 행하여도 된다(예를 들어, 후술의 공정 ST32A 및 ST32B).
일 실시 형태의 공정 ST3에서는, 공정 ST31 및 공정 ST32 각각을 포함하는 사이클이 1회 이상 실행될 수 있다. 공정 ST31과 공정 ST32는 서로 다른 프로세스 모듈을 사용해서 실행되어도 되고, 단일한 프로세스 모듈을 사용해서 실행되어도 된다. 즉, 공정 ST31과 공정 ST32는 서로 다른 챔버 내에서 실행되어도 되고, 또는, 단일한 챔버 내에서 실행되어도 된다. 일 실시 형태에서는, 공정 ST1 내지 공정 ST3은, 단일한 프로세스 모듈을 사용해서 실행되어도 된다. 즉, 공정 ST1 내지 공정 ST3은, 단일한 챔버에 피가공물(W)이 배치된 상태에서 실행되어도 된다.
계속되는 공정 ST4에서는, 도 2의 (f)에 도시하는 바와 같이, 보호막(PF)이 제거된다. 보호막(PF)은, 예를 들어 수소 가스 및 질소 가스를 포함하는 처리 가스의 플라스마로부터의 활성종을 보호막(PF)에 조사함으로써 제거된다. 공정 ST4는, 공정 ST1 내지 공정 ST3의 실행에 사용되는 1 이상의 프로세스 모듈과는 상이한 프로세스 모듈을 사용해서 실행되어도 된다. 또한, 공정 ST1 내지 공정 ST4가, 2 이상의 프로세스 모듈을 사용해서 실행되는 경우에는, 당해 2 이상의 프로세스 모듈 사이에서의 피가공물(W)의 반송은, 감압된 환경(예를 들어, 후술하는 반송 모듈(TM)의 챔버(TC))만을 통해서 행해진다. 또는, 공정 ST1 내지 공정 ST4는, 단일한 프로세스 모듈을 사용해서 실행되어도 된다. 즉, 공정 ST1 내지 공정 ST4는, 단일한 챔버 내에 피가공물(W)이 배치된 상태에서 실행되어도 된다.
이상 설명한 방법(MT)에서는, 공정 ST1에서 피가공물(W)의 표면 상에 콘포멀하게 보호막(PF)이 형성된다. 따라서, 공정 ST2의 스퍼터 에칭에 의해, 보호막(PF)의 전체 영역 중 제1 영역(R1)을 남기고, 제2 영역(R2) 및 실리콘 산화막(OX)을 제거할 수 있다. 그 후에, 남겨진 실리콘 산화막의 잔사(OXR)의 제거를 위해서, 공정 ST3에서, 화학적 에칭이 실행된다. 공정 ST3의 화학적 에칭의 실행 중에는, 공정 ST2의 실행 후에 남겨진 보호막(PF)의 제1 영역(R1)에 의해 절연막(IF)이 보호된다. 따라서, 절연막(IF)의 에칭을 억제하면서, 실리콘 산화막의 잔사(OXR)를 에칭하는 것이 가능하게 된다.
일 실시 형태에서는, 상술한 바와 같이, 공정 ST11에서, 탄소 함유 가스와 함께, 수소 가스(H2 가스) 및 염소 가스(Cl2 가스) 중 적어도 한쪽이 챔버에 추가로 공급된다. 이 실시 형태에 따르면, 수소 가스(H2 가스)를 공급함으로써, 보다 콘포멀한 보호막(PF)을 형성하는 것이 가능하게 된다. 또한, 염소 가스(Cl2 가스)를 공급함으로써, 선택적으로 보호막을 형성하는 것이 가능하게 된다.
이하, 방법(MT)의 실행에 사용하는 것이 가능한 처리 시스템에 대해서 설명한다. 도 3은, 도 1에 도시하는 방법의 실행에 사용하는 것이 가능한 처리 시스템의 일례를 도시하는 도면이다. 도 3에 도시하는 처리 시스템(1)은, 받침대(2a, 2b, 2c, 2d), 용기(4a, 4b, 4c, 4d), 로더 모듈(LM), 얼라이너(AN), 로드 로크 모듈(LL1, LL2), 반송 모듈(TM), 프로세스 모듈(PM1, PM2, PM3, PM4)을 구비하고 있다. 또한, 처리 시스템(1)의 받침대의 개수, 용기의 개수, 로드 로크 모듈의 개수는, 하나 이상의 임의의 개수일 수 있다.
받침대(2a, 2b, 2c, 2d)는, 로더 모듈(LM)의 한 가장자리를 따라 배열되어 있다. 용기(4a, 4b, 4c, 4d)는 각각, 받침대(2a, 2b, 2c, 2d) 상에 배치되어 있다. 용기(4a, 4b, 4c, 4d)는, 그 내부에 피가공물(W)을 수용하도록 구성되어 있다. 용기(4a, 4b, 4c, 4d) 각각은, FOUP(Front-Opening Unified Pod)라 불리는 용기일 수 있다.
로더 모듈(LM)은, 그 내부에 챔버(LC)를 제공하고 있다. 챔버(LC)의 압력은, 대기압으로 설정된다. 로더 모듈(LM)은, 반송 장치(TU1)를 구비하고 있다. 반송 장치(TU1)는, 예를 들어 다관절 로봇이다. 반송 장치(TU1)는, 용기(4a, 4b, 4c, 4d) 각각과 얼라이너(AN)의 사이, 얼라이너(AN)와 로드 로크 모듈(LL1, LL2) 각각의 사이, 용기(4a, 4b, 4c, 4d) 각각과 로드 로크 모듈(LL1, LL2) 각각의 사이에서, 챔버(LC)를 거쳐서, 피가공물(W)을 반송하도록 구성되어 있다. 얼라이너(AN)는, 로더 모듈(LM)에 접속되어 있다. 얼라이너(AN)는, 그 내부에서 피가공물(W)의 위치를 교정한다.
로드 로크 모듈(LL1, LL2)은, 로더 모듈(LM)과 반송 모듈(TM)의 사이에 설치되어 있다. 로드 로크 모듈(LL1, LL2) 각각은, 예비 감압실을 제공하고 있다. 로드 로크 모듈(LL1, LL2) 각각의 예비 감압실과 챔버(LC)의 사이에는 게이트 밸브가 설치되어 있다.
반송 모듈(TM)은, 그 내부에 챔버(TC)를 제공하고 있다. 챔버(TC)는, 감압 가능하게 구성되어 있다. 챔버(TC)와 로드 로크 모듈(LL1, LL2) 각각의 사이에는 게이트 밸브가 설치되어 있다. 반송 모듈(TM)은, 반송 장치(TU2)를 갖고 있다. 반송 장치(TU2)는, 예를 들어 다관절 로봇이다. 반송 장치(TU2)는, 로드 로크 모듈(LL1, LL2) 각각과 프로세스 모듈(PM1, PM2, PM3, PM4) 각각의 사이, 프로세스 모듈(PM1, PM2, PM3, PM4) 중 임의의 2개의 프로세스 모듈의 사이에서, 챔버(TC)를 통하여, 피가공물(W)을 반송하도록 구성되어 있다.
프로세스 모듈(PM1, PM2, PM3, PM4) 각각은, 전용의 기판 처리를 실행하는 장치이다. 프로세스 모듈(PM1, PM2, PM3, PM4) 각각의 챔버는, 게이트 밸브를 통해서 챔버(TC)에 접속되어 있다. 또한, 처리 시스템(1)에서의 프로세스 모듈의 개수는, 하나 이상의 임의의 개수일 수 있다. 일례에 있어서, 처리 시스템(1)의 하나의 프로세스 모듈은, 후술하는 플라스마 처리 장치이며, 당해 플라스마 처리 장치를 사용해서 공정 ST1 내지 공정 ST4가 실행될 수 있다. 다른 일례에서는, 처리 시스템(1)의 하나의 프로세스 모듈인 후술하는 플라스마 처리 장치를 사용하여, 공정 ST1, 공정 ST2, 공정 ST3의 공정 ST31 및 공정 ST4가 실행되고, 공정 ST3의 공정 ST32는, 당해 하나의 프로세스 모듈 또는 다른 프로세스 모듈을 사용해서 실행된다. 다른 일례에서는, 처리 시스템(1)의 하나의 프로세스 모듈인 후술하는 플라스마 처리 장치를 사용하여, 공정 ST1, 공정 ST2 및 공정 ST4가 실행되고, 공정 ST3의 공정 ST31 및 공정 ST32는, 단일한 프로세스 모듈 또는 다른 프로세스 모듈을 사용해서 실행된다. 공정 ST1, 공정 ST2 및 공정 ST4는, 다른 플라스마 처리 장치를 사용해서 실행되어도 되고, 또는, 공정 ST1, 공정 ST2 및 공정 ST4 중 2개의 공정이 동일한 플라스마 처리 장치를 사용해서 실행되어도 된다. 또한, 프로세스 모듈(PM1, PM2, PM3, PM4) 중 1개의 프로세스 모듈은, 공정 ST4의 실행 후에 개구(OP) 내에 콘택트를 형성하기 위한 성막 장치여도 된다.
처리 시스템(1)은, 제어부(MC)를 더 구비할 수 있다. 제어부(MC)는, 방법(MT)의 실행에 있어서, 처리 시스템(1)의 각 부를 제어하도록 구성되어 있다. 제어부(MC)는, 프로세서(예를 들어 CPU), 및 메모리와 같은 기억 장치, 제어 신호의 입출력 인터페이스를 구비한 컴퓨터 장치일 수 있다. 기억 장치에는, 제어 프로그램 및 레시피 데이터가 기억되어 있다. 프로세서가 제어 프로그램 및 레시피 데이터에 따라서 동작함으로써, 처리 시스템(1)의 각 부에 제어 신호가 송출된다. 이러한 제어부(MC)의 동작에 의해, 방법(MT)이 실행될 수 있다.
이하, 처리 시스템(1)의 하나 이상의 프로세스 모듈로서 채용될 수 있는 플라스마 처리 장치에 대해서 설명한다. 도 4는, 도 3에 도시하는 처리 시스템의 프로세스 모듈로서 채용 가능한 플라스마 처리 장치를 개략적으로 도시하는 도면이다. 도 4에 도시하는 플라스마 처리 장치(10)는, 공정 ST1 내지 공정 ST4 중 적어도 하나의 공정에서 사용할 수 있다.
플라스마 처리 장치(10)는, 대략 원통형 챔버 본체(11)를 갖고 있다. 챔버 본체(11)는, 예를 들어 알루미늄으로 형성되어 있다. 챔버 본체(11)에는 표면 처리가 실시되어 있지 않아도 된다. 또는, 챔버 본체(11)의 내벽면에는, OGF(Out Gas Free) 양극 산화 처리가 실시되어 있어도 된다. 챔버 본체(11)는, 그 내부 공간을 챔버(11c)로서 제공하고 있다. 또한, 챔버(11c)는, 챔버 본체(11)의 내부 공간 중 후술하는 샤워 플레이트(16)의 하방의 공간이다.
챔버(11c) 내에는, 서셉터(12)가 설치되어 있다. 서셉터(12)는, 그 중앙의 하방에 설치된 원통형 지지 부재(13)에 의해 지지되어 있다. 챔버 본체(11)의 저부의 중앙에는, 개구가 형성되어 있다. 챔버 본체(11)의 저부에는, 당해 개구를 폐쇄하도록 원통형 돌출부(11b)가 접속되어 있다. 지지 부재(13)는, 돌출부(11b)의 저부에 지지되어 있다.
서셉터(12) 상에는, 정전 척(31)이 설치되어 있다. 피가공물(W)은, 정전 척(31)의 상면 상에 적재된다. 플라스마 처리 장치(10)는, 예를 들어 3개의 리프트 핀을 구비한다. 리프트 핀은, 정전 척(31) 상에 피가공물(W)을 배치하기 위해서, 또한 피가공물(W)을 정전 척(31)으로부터 상방으로 이동시키기 위해서 사용되는 기구이다. 리프트 핀은, 그것들의 선단이 정전 척(31)의 상면 상에 돌출되는 위치와, 그것들의 선단이 정전 척(31)의 상면으로부터 하방으로 퇴피되는 위치의 사이에서 이동 가능하게 되어 있다.
정전 척(31)은, 산화알루미늄과 같은 유전체로 형성된 본체의 내부에, 전극(31a)을 갖고 있다. 전극(31a)에 직류 전원(34)으로부터 전압이 인가되면, 정전 척(31)과 피가공물(W)의 사이에서 정전 인력이 발생한다. 발생한 정전 인력에 의해, 피가공물(W)은 정전 척(31)에 끌어 당겨진다. 그 결과, 피가공물(W)은 정전 척(31)에 의해 보유 지지된다.
서셉터(12)는, 본체부를 갖고 있다. 서셉터(12)의 본체부는, 예를 들어 알루미늄으로 형성되어 있고, 그 외주에는 절연 링이 형성되어 있다. 서셉터(12)의 내부에는, 정전 척(31)을 통해서, 피가공물(W)의 온도를 조정하기 위한 온도 조절 기구(14)가 설치되어 있다. 온도 조절 기구(14)는, 서셉터(12)의 내부에 형성된 유로를 포함하고 있다. 이 유로에는, 그 온도가 제어된 열교환 매체가 공급된다. 이 온도 조절 기구(14)는, 피가공물(W)의 온도를, 처리에 적합한 온도, 예를 들어 10℃ 내지 150℃범위 내의 온도로 조정하도록 되어 있다. 또한, 온도 조절 기구(14)는, 서셉터(12) 내에 설치된 하나 이상의 히터를 포함하고 있어도 된다. 하나 이상의 히터는, 정전 척(31) 내에 설치되어 있어도 된다.
챔버 본체(11)의 상부에는 샤워 헤드(15)가 설치되어 있다. 샤워 헤드(15)는, 샤워 플레이트(16)를 포함하고 있다. 샤워 플레이트(16)는, 챔버 본체(11)의 천장벽(11a)의 하방이면서 또한 정전 척(31)의 상방에 설치되어 있다. 샤워 플레이트(16)는, 대략 원반 형상을 갖고 있다. 샤워 플레이트(16)에는, 다수의 가스 토출 구멍(17)이 형성되어 있다. 샤워 플레이트(16)는, 예를 들어 알루미늄제의 본체의 표면이 산화이트륨으로 이루어지는 용사 피막을 설치한 구조를 가질 수 있다. 샤워 플레이트(16)와 챔버 본체(11)는 링형 절연 부재(16a)에 의해 절연되어 있다.
챔버 본체(11)의 천장벽(11a)의 중앙에는, 가스 도입구(18)가 설치되어 있다. 천장벽(11a)과 샤워 플레이트(16)의 사이는 가스 확산 공간(19)이 설치되어 있다.
가스 도입구(18)에는, 가스 공급 기구(30)의 가스 배관(30a)이 접속되어 있다. 가스 공급 기구(30)로부터의 가스는, 가스 도입구(18)로부터 가스 확산 공간(19)에 도입되고, 가스 확산 공간(19) 내에서 확산되어, 샤워 플레이트(16)의 가스 토출 구멍(17)으로부터 챔버(11c)에 토출된다.
가스 공급 기구(30)는, 복수의 가스 공급원을 갖는다. 복수의 가스 공급원은, HF 가스, NH3 가스, CxHyFz 가스, Ar 가스, N2 가스, H2 가스, Cl2 가스 각각의 공급원을 포함한다. 또한, CxHyFz 가스는 탄소 함유 가스이며, 예를 들어 CH3F 가스이다. 또한, 가스 공급 기구(30)는, 이들 복수의 가스 공급원으로부터 각각의 가스를 공급하기 위한 복수의 가스 공급 배관을 갖고 있다. 복수의 가스 공급 배관 각각에는, 개폐 밸브 및 유량 제어기(예를 들어 매스 플로우 컨트롤러)가 설치되어 있다. 따라서, 복수의 가스 공급원 각각으로부터의 가스의 공급 또는 공급 정지, 및 복수의 가스 공급원 각각으로부터의 가스의 유량을 조정하는 것이 가능하다. 이들 복수의 가스 공급 배관으로부터의 가스는, 상술한 가스 배관(30a)을 통해서 샤워 헤드(15)에 공급된다.
서셉터(12)에는, 정합기(36)를 거쳐서 고주파 전원(35)이 접속되어 있다. 고주파 전원(35)으로부터의 고주파 전력은, 서셉터(12)에 공급된다. 서셉터(12)는 하부 전극으로서 기능하고, 샤워 플레이트(16)는 상부 전극으로서 기능한다. 즉, 서셉터(12)와 샤워 플레이트(16)는, 한 쌍의 평행 평판 전극을 구성한다. 서셉터(12)에 고주파 전력이 공급됨으로써, 챔버(11c) 내에서 용량 결합 플라스마가 생성된다. 또한, 고주파 전원(35)으로부터 서셉터(12)에 고주파 전력이 공급됨으로써, 플라스마 중의 이온이 피가공물(W)에 인입된다. 고주파 전원(35)으로부터 출력되는 고주파 전력의 주파수는, 예를 들어 0.1 내지 500MHz범위 내의 주파수로 설정된다. 고주파 전원(35)으로부터 출력되는 고주파 전력의 주파수는, 예를 들어 13.56MHz이다.
챔버 본체(11)의 저부에는, 배기 기구(20)가 접속되어 있다. 배기 기구(20)는, 제1 배기 배관(23), 제2 배기 배관(24), 제1 압력 제어 밸브(25), 드라이 펌프(26), 제2 압력 제어 밸브(27) 및 터보 분자 펌프(28)를 갖고 있다. 제1 배기 배관(23)은, 챔버 본체(11)의 저부에 형성된 배기구(21)에 접속되어 있다. 제1 압력 제어 밸브(25) 및 드라이 펌프(26)는, 제1 배기 배관(23) 상에 설치되어 있다. 제2 배기 배관(24)은, 챔버 본체(11)의 저부에 형성된 배기구(22)에 접속되어 있다. 제2 압력 제어 밸브(27) 및 터보 분자 펌프(28)는, 제2 배기 배관(24) 상에 설치되어 있다. 챔버(11c)의 압력이 고압으로 설정되는 성막 처리 시에는 드라이 펌프(26)만으로 챔버(11c)의 배기가 행해진다. 챔버(11c)의 압력이 저압으로 설정되는 플라스마 처리 시에는 드라이 펌프(26)와 터보 분자 펌프(28) 양쪽이 이용된다. 챔버(11c)의 압력은, 당해 챔버(11c)의 압력을 측정하는 압력 센서의 검출값에 기초하여, 제1 압력 제어 밸브(25) 및 제2 압력 제어 밸브(27) 각각의 개방도를 제어함으로써 조정된다.
챔버 본체(11)의 측벽에는, 개구(32)가 형성되어 있다. 피가공물(W)은, 챔버(11c)에 반입될 때, 또한 챔버(11c)로부터 반출될 때, 개구(32)를 통과한다. 플라스마 처리 장치(10)에서는, 개구(32)를 개폐하기 위한 게이트 밸브(G)가 챔버 본체(11)의 측벽을 따라 설치되어 있다. 또한, 피가공물(W)의 반송은, 상술한 반송 장치(TU2)에 의해 행해진다.
플라스마 처리 장치(10)가 공정 ST1의 실행을 위해서 사용되는 경우에는, 공정 ST11에서 챔버(11c)에 전구체 가스가 공급되고, 공정 ST12에서 챔버(11c)에 불활성 가스가 공급되고, 공정 ST13에서 챔버(11c) 내에서 불활성 가스의 플라스마가 생성되고, 공정 ST14에서, 챔버(11c)에 불활성 가스가 공급된다. 공정 ST11 내지 공정 ST14의 실행 중에는, 배기 기구(20)에 의해 챔버(11c)가 감압된다.
플라스마 처리 장치(10)가 공정 ST2의 실행을 위해서 사용되는 경우에는, 챔버(11c)에서 불활성 가스의 플라스마가 생성된다. 공정 ST2의 실행 중에는, 배기 기구(20)에 의해 챔버(11c)가 감압된다. 플라스마로부터의 이온은, 자기 바이어스 전위 또는 고주파 전원(35)으로부터의 바이어스 전력에 의해, 피가공물(W)에 인입된다. 이에 의해, 공정 ST2의 스퍼터 에칭이 실현된다.
플라스마 처리 장치(10)가 공정 ST3의 실행을 위해서 사용되는 경우에는, 공정 ST31에서 상술한 처리 가스가 챔버(11c)에 공급되고, 공정 ST32에서 서셉터(12)의 하나 이상의 히터에 의해 피가공물(W)이 가열된다. 공정 ST3의 실행 중에는, 배기 기구(20)에 의해 챔버(11c)가 감압된다.
플라스마 처리 장치(10)가 공정 ST4의 실행을 위해서 사용되는 경우에는, 챔버(11c) 내에서 수소 가스 및 질소 가스를 포함하는 처리 가스의 플라스마가 생성된다. 공정 ST4의 실행 중에는, 배기 기구(20)에 의해 챔버(11c)가 감압된다.
이하, 공정 ST31의 실행에서 사용하는 것이 가능한 처리 장치에 대해서 설명한다. 도 5는, 도 3에 도시하는 처리 시스템의 프로세스 모듈로서 채용 가능한 처리 장치의 일례를 도시하는 도면이다. 도 5에 도시하는 처리 장치(100)는, 처리 시스템(1)의 하나의 프로세스 모듈로서 채용 가능한 처리 장치이며, 공정 ST31의 실행에서 사용될 수 있다.
처리 장치(100)는, 챔버 본체(112)를 구비하고 있다. 챔버 본체(112)는, 그 내부 공간을 챔버(112c)로서 제공하고 있다. 챔버(112c) 내에는, 스테이지(114)가 설치되어 있다. 스테이지(114) 상에는, 피가공물(W)이 대략 수평인 상태로 적재된다. 스테이지(114)는, 그 위에 적재된 피가공물(W)을 지지하도록 구성되어 있다. 스테이지(114)는, 평면으로 보아 대략 원형을 이루고 있다. 스테이지(114)는, 챔버 본체(112)의 저부에 고정되어 있다. 스테이지(114)는, 온도 조정 기구(114a)를 갖는다. 온도 조정 기구(114a)는, 일례에서는, 스테이지(114)의 내부에 형성된 유로를 포함한다. 챔버 본체(112)의 외부에는, 열교환 매체의 공급기(도시하지 않음)가 설치되어 있다. 스테이지(114)의 내부의 유로에는, 당해 공급기로부터 열교환 매체(예를 들어, 냉매)가 공급된다. 스테이지(114)의 내부의 유로에 공급된 열교환 매체는, 공급기로 되돌려진다. 즉, 공급기와 스테이지(114) 내부의 유로의 사이에서는 열교환 매체가 순환된다. 열교환 매체가 스테이지(114)의 내부의 유로에 공급됨으로써, 스테이지(114)의 온도가 조정된다.
처리 장치(100)는, 압력 센서(119)를 더 구비하고 있다. 압력 센서(119)는, 예를 들어 캐패시턴스 마노미터이다. 압력 센서(119)는, 챔버(112c)의 압력을 측정하도록 구성되어 있다.
챔버 본체(112)는, 일례에서, 제1 부재(116) 및 제2 부재(118)를 포함하고 있다. 제1 부재(116)는, 챔버(112c)의 측방 및 하방에서 연장되어 있다. 제1 부재(116)는, 측벽부(116a) 및 저부(116b)를 포함하고 있다. 측벽부(116a)는, 대략 원통형을 갖고 있다. 저부(116b)는, 측벽부(116a)의 하단에 연속하고 있다. 측벽부(116a)에는, 통로(112p)가 형성되어 있다. 피가공물(W)은, 챔버 본체(112)의 외부로부터 챔버(112c)에 반입될 때, 및 챔버(112c)로부터 챔버 본체(112)의 외부에 반출될 때, 통로(112p)를 통과한다. 이 통로(112p)의 개방 및 폐쇄를 위해서, 게이트 밸브(120)가 측벽부(116a)를 따라 설치되어 있다. 게이트 밸브(120)가 통로(112p)를 개방하면, 챔버(112c)와 반송 모듈(TM)의 챔버(TC)가 서로 연통된다. 한편, 게이트 밸브(120)가 통로(112p)를 폐쇄하면, 챔버(112c)가 반송 모듈(TM)의 챔버(TC)로부터 격리된다.
제1 부재(116)는, 그 상단에 개구를 제공하고 있다. 제2 부재(118)는, 제1 부재(116)의 상단의 개구를 폐쇄하도록, 제1 부재(116) 상에 설치되어 있다. 챔버 본체(112)의 벽부 내에는, 히터(112h)가 설치되어 있다. 히터(112h)는, 예를 들어 저항 가열 히터이다. 히터(112h)는, 챔버 본체(112)를 가열한다.
제2 부재(118)는, 덮개부(122) 및 샤워 헤드(124)를 포함하고 있다. 덮개부(122)는, 제2 부재(118)의 외측 부분을 구성하고 있다. 샤워 헤드(124)는, 덮개부(122)의 내측에 설치되어 있다. 샤워 헤드(124)는, 스테이지(114)의 상방에 설치되어 있다. 샤워 헤드(124)는, 본체(126) 및 샤워 플레이트(128)를 포함하고 있다. 본체(126)는, 측벽(126a) 및 상벽(126b)을 포함하고 있다. 측벽(126a)은 대략 통 형상을 이루고 있고, 상벽(126b)은 측벽(126a)의 상단에 연속하고 있어, 측벽(126a)의 상단을 폐쇄하고 있다.
샤워 플레이트(128)는, 본체(126)의 하단측이면서 또한 본체(126)의 내측에 설치되어 있다. 본체(126)의 상벽(126b)과 샤워 플레이트(128)의 사이에는, 샤워 플레이트(128)와 평행으로 플레이트(130)가 설치되어 있다. 본체(126)와 샤워 플레이트(128) 사이의 공간은, 제1 공간(124a) 및 제2 공간(124b)을 포함한다. 제1 공간(124a)은, 본체(126)의 상벽(126b)과 플레이트(130) 사이의 공간이다. 제2 공간(124b)은, 플레이트(130)와 샤워 플레이트(128) 사이의 공간이다.
처리 장치(100)는, 가스 공급부(140)를 더 구비하고 있다. 샤워 헤드(124)의 제1 공간(124a)에는, 가스 공급부(140)의 제1 가스 공급 배관(141)이 접속되어 있다. 제1 공간(124a)에는, 복수의 가스 통로(132)가 접속하고 있다. 복수의 가스 통로(132)는, 플레이트(130)에서부터 샤워 플레이트(128)까지 연장되어 있다. 복수의 가스 통로(132)는, 샤워 플레이트(128)에 형성된 복수의 제1 가스 토출 구멍(128a)에 각각 연결되어 있다. 제1 가스 공급 배관(141)으로부터 제1 공간(124a)에 공급된 가스는, 복수의 가스 통로(132) 및 복수의 제1 가스 토출 구멍(128a)을 통해서 챔버(112c)에 토출된다.
샤워 헤드(124)의 제2 공간(124b)에는, 가스 공급부(140)의 제2 가스 공급 배관(142)이 접속되어 있다. 제2 공간(124b)에는, 샤워 플레이트(128)에 형성된 복수의 제2 가스 토출 구멍(128b)이 연결되어 있다. 제2 가스 공급 배관(142)으로부터 제2 공간(124b)에 공급된 가스는, 복수의 제2 가스 토출 구멍(128b)으로부터 챔버(112c)에 토출된다.
가스 공급부(140)는, 제1 가스 공급 배관(141) 및 제2 가스 공급 배관(142) 외에도, 복수의 유량 제어기(144a 내지 144d)를 포함하고 있다. 복수의 유량 제어기(144a 내지 144d) 각각은, 매스 플로우 컨트롤러 또는 압력 제어식 유량 제어기이다. 유량 제어기(144a 내지 144d) 각각은, 그 입력에 공급된 가스의 유량을 조정하고, 유량이 조정된 가스를 그 출력으로부터 출력한다.
유량 제어기(144a)의 입력은, 가스 소스(146a)에 접속되어 있다. 가스 소스(146a)는, HF(불화수소) 가스의 소스이다. 유량 제어기(144a)의 출력은, 제1 가스 공급 배관(141)에 접속되어 있다. 유량 제어기(144b)의 입력은, 가스 소스(146b)에 접속되어 있다. 가스 소스(146b)는, NH3(암모니아) 가스의 소스이다. 유량 제어기(144b)의 출력은, 제2 가스 공급 배관(142)에 접속되어 있다. 유량 제어기(144c)의 입력은, 가스 소스(146c)에 접속되어 있다. 유량 제어기(144c)의 출력은, 제1 가스 공급 배관(141)에 접속되어 있다. 유량 제어기(144d)의 입력은, 가스 소스(146d)에 접속되어 있다. 유량 제어기(144d)의 출력은, 제2 가스 공급 배관(142)에 접속되어 있다. 가스 소스(146c) 및 가스 소스(146d) 각각은 불활성 가스의 소스이다. 예를 들어, 가스 소스(146c)는 Ar 가스와 같은 희가스의 소스이며, 가스 소스(146d)는 N2(질소) 가스의 소스이다.
처리 장치(100)는, 밸브(136) 및 배기 장치(138)를 더 구비하고 있다. 챔버 본체(112)의 저부에는, 배기구(112e)가 설치되어 있다. 배기구(112e)는, 챔버(112c)에 연통되어 있다. 배기 장치(138)는, 밸브(136)를 거쳐서, 배기구(112e)에 접속되어 있다. 밸브(136)는, 예를 들어 자동 압력 제어 밸브이다. 배기 장치(138)는, 드라이 펌프, 터보 분자 펌프와 같은 진공 펌프를 포함하고 있다.
챔버(112c)에 피가공물(W)이 수용되어 있는 상태에서, HF 가스 및 NH3 가스를 포함하는 처리 가스가 챔버(112c)에 공급되면, 당해 처리 가스가 실리콘 산화막의 잔사(OXR)와 반응하여, 실리콘 산화막의 잔사(OXR)로부터 변질 영역(TR)이 형성된다.
이하, 공정 ST32의 실행에서 사용하는 것이 가능한 처리 장치에 대해서 설명한다. 도 6은, 도 3에 도시하는 처리 시스템의 프로세스 모듈로서 채용 가능한 처리 장치의 일례를 도시하는 도면이다. 도 6에 도시하는 처리 장치(160)는, 처리 시스템(1)의 하나의 프로세스 모듈로서 채용 가능한 처리 장치이며, 공정 ST32의 실행에서 사용될 수 있다.
처리 장치(160)는, 챔버 본체(162)를 구비하고 있다. 챔버 본체(162)는, 그 내부 공간을 챔버(162c)로서 제공하고 있다. 챔버(162c) 내에는, 스테이지(164)가 설치되어 있다. 스테이지(164)는, 그 위에 적재되는 피가공물(W)을 지지하도록 구성되어 있다. 스테이지(164) 내에는 히터(166)가 설치되어 있다. 히터(166)는, 예를 들어 저항 발열 히터이다.
챔버 본체(162)의 측벽에는, 통로(162p)가 형성되어 있다. 피가공물(W)은, 챔버 본체(162)의 외부로부터 챔버(162c)에 반입될 때, 및 챔버(162c)로부터 챔버 본체(162)의 외부에 반출될 때, 통로(162p)를 통과한다. 이 통로(162p)의 개방 및 폐쇄를 위해서, 게이트 밸브(168)가 챔버 본체(162)의 측벽을 따라 설치되어 있다. 게이트 밸브(168)가 통로(162p)를 개방하면, 챔버(162c)와 반송 모듈(TM)의 챔버(TC)가 서로 연통된다. 한편, 게이트 밸브(168)가 통로(162p)를 폐쇄하면, 챔버(162c)가 반송 모듈(TM)의 챔버(TC)로부터 격리된다.
처리 장치(160)는, 가스 공급부(170)를 더 구비하고 있다. 가스 공급부(170)는, 유량 제어기(172)를 구비하고 있다. 유량 제어기(172)는, 매스 플로우 컨트롤러 또는 압력 제어식 유량 제어기이다. 유량 제어기(172)는, 그 입력에 공급된 가스의 유량을 조정하고, 유량이 조정된 가스를 그 출력으로부터 출력한다. 유량 제어기(172)의 입력에는, 가스 소스(174)가 접속되어 있다. 가스 소스(174)는, 불활성 가스의 소스, 예를 들어 N2(질소) 가스의 소스이다. 유량 제어기(172)의 출력은, 배관(176)을 거쳐서, 챔버(162c)에 접속되어 있다. 처리 장치(160)는, 개폐 밸브(180) 및 배기 장치(182)를 더 구비하고 있다. 배기 장치(182)는, 드라이 펌프 또는 터보 분자 펌프와 같은 진공 펌프를 포함하고 있고, 개폐 밸브(180)를 거쳐서 챔버(162c)에 접속되어 있다.
상술한 변질 영역(TR)을 갖는 피가공물(W)은, 챔버(112c)로부터 챔버(TC)를 거쳐서 챔버(162c)로 반송된다. 챔버(162c) 내에서, 피가공물(W)은 스테이지(164) 상에 적재된다. 그리고, 히터(166)에 의해 스테이지(164)가 가열되어, 피가공물(W)이 가열된다. 피가공물(W)이 가열되면, 변질 영역(TR)의 규불화암모늄이 승화하여 배기된다. 이에 의해, 실리콘 산화막의 잔사(OXR)가 에칭된다.
이상, 다양한 실시 형태에 대해서 설명했지만, 상술한 실시 형태에 한정되지 않고 다양한 변형 양태를 구성 가능하다. 예를 들어, 방법(MT)에 있어서 사용되는 플라스마 처리 장치는, 유도 결합형 플라스마 처리 장치 또는 플라스마의 생성을 위해서 마이크로파와 같은 표면파를 사용하는 플라스마 처리 장치와 같은 임의의 타입의 플라스마 처리 장치이어도 된다.
이하, 방법(MT)의 평가를 위해서 행한 평가 실험에 대해 설명한다.
(제1 평가 실험)
제1 평가 실험에서는, 플라스마 처리 장치(10)를 사용해서 공정 ST1을 실행하여, 샘플 상에 보호막(PFA)을 형성하였다. 도 7에 도시하는 바와 같이, 샘플은, 산화 실리콘으로 형성된 절연막(IFA)을 갖고 있으며, 당해 절연막(IFA)에는 개구가 형성되어 있었다. 개구의 폭은 8nm이며, 개구의 깊이는 150nm이었다. 이하, 제1 평가 실험에서의 공정 ST1의 조건을 나타낸다.
<제1 평가 실험에서의 공정 ST1의 조건>
·사이클(CY)의 실행 횟수: 50회
·공정 ST11:
CH3F 가스의 유량: 40sccm
Ar 가스의 유량: 300sccm
정전 척(31)의 온도: 60℃
챔버의 압력: 80mTorr(10.67Pa)
처리 시간: 5초
·공정 ST12:
Ar 가스의 유량: 300sccm
정전 척(31)의 온도: 60℃
챔버의 압력: 80mTorr(10.67Pa)
처리 시간: 2초
·공정 ST13:
Ar 가스의 유량: 300sccm
정전 척(31)의 온도: 60℃
챔버의 압력: 80mTorr(10.67Pa)
고주파 전원(61)의 고주파: 40MHz, 150W
처리 시간: 5초
·공정 ST14:
Ar 가스의 유량: 300sccm
정전 척(31)의 온도: 60℃
챔버의 압력: 80mTorr(10.67Pa)
처리 시간: 2초
제1 평가 실험에서는, 형성된 보호막(PFA)의 4군데에서의 막 두께(T1 내지 T4)(도 7 참조)를 측정하였다. 그 결과, 막 두께(T1, T2, T3, T4)는 각각 3.0nm, 3.1nm, 3.1nm, 2.5nm이었다. 따라서, 공정 ST1의 실행에 의해, 탄소를 함유하는 보호막을 콘포멀하게 형성하는 것이 가능하다는 것이 확인되었다.
(제2 평가 실험)
제2 평가 실험에서는, 샘플에 대하여 공정 ST1 및 공정 ST3을 순서대로 실행하였다. 도 8의 (a)에 도시하는 바와 같이, 샘플은, 산화 실리콘으로 형성된 절연막(IFB)을 갖고 있으며, 당해 절연막(IFB)에는 개구가 형성되어 있었다. 개구의 폭은 9.4nm이며, 개구의 깊이는 192nm이었다. 공정 ST1에서는, 플라스마 처리 장치(10)를 사용하였다. 공정 ST31에서는 처리 장치(100)를 사용하고, 공정 ST32에서는 처리 장치(160)를 사용하였다. 이하, 제2 평가 실험에서의 조건을 나타낸다.
<제2 평가 실험에서의 공정 ST1의 조건>
·사이클(CY)의 실행 횟수: 10회
·공정 ST11:
C3H6 가스의 유량: 40sccm
Ar 가스의 유량: 300sccm
정전 척(31)의 온도: 60℃
챔버의 압력: 80mTorr(10.67Pa)
처리 시간: 5초
·공정 ST12:
Ar 가스의 유량: 300sccm
정전 척(31)의 온도: 60℃
챔버의 압력: 80mTorr(10.67Pa)
처리 시간: 2초
·공정 ST13:
Ar 가스의 유량: 300sccm
정전 척(31)의 온도: 60℃
챔버의 압력: 80mTorr(10.67Pa)
고주파 전원(61)의 고주파: 40MHz, 150W
처리 시간: 5초
·공정 ST14:
Ar 가스의 유량: 300sccm
정전 척(31)의 온도: 60℃
챔버의 압력: 80mTorr(10.67Pa)
처리 시간: 2초
<제2 평가 실험에서의 공정 ST3의 조건>
·공정 ST31 및 공정 ST32를 포함하는 사이클의 실행 횟수: 3회
·각 사이클에서의 공정 ST31의 조건
HF 가스의 유량: 150sccm
NH3 가스의 유량: 90sccm
Ar 가스의 유량: 225sccm
N2 가스의 유량: 225sccm
챔버의 압력: 450mTorr(60Pa)
스테이지(164)의 온도: 85℃
처리 시간: 6초
·각 사이클에서의 공정 ST32의 조건
공정 ST32A: 가열 공정
N2 가스의 유량: 225sccm
스테이지(164)의 온도: 85℃
처리 시간: 5초
공정 ST32B: 퍼지 공정
챔버: 진공화
가스의 통류: 없음
처리 시간: 30초
또한, 비교를 위해서 비교 실험을 행하였다. 비교 실험에서는, 제2 평가 실험에 사용한 샘플과 마찬가지의 샘플을 사용하였다. 비교 실험에서는, 당해 샘플에 대하여 공정 ST1을 실행하지 않고 공정 ST3을 실행하였다. 비교 실험에서의 공정 ST3의 조건은, 제2 평가 실험에서의 공정 ST3의 조건과 동일하였다.
제2 평가 실험에서는, 공정 ST1 및 공정 ST3의 실행 전후에 있어서의 개구의 폭(CD1, CD2 및 CD3)(도 8의 (a)를 참조)의 변화량을 구하였다. 또한, 비교 실험에서는, 공정 ST3의 실행 전후에 있어서의 개구의 폭(CD4, CD5 및 CD6)(도 8의 (b)를 참조)의 변화량을 구하였다. 그 결과, CD1의 변화량, CD2의 변화량, CD3의 변화량은 각각, 1nm, 0.2nm, 1.3nm이었다. 한편, CD4의 변화량, CD5의 변화량, CD6의 변화량은 각각 21.9nm, 22.8nm, 18.6nm이었다. 따라서, 보호막을 형성하지 않고 공정 ST3을 실행하면, 절연막(IFB)이 개구에 대하여 가로 방향으로 에칭되지만, 보호막(PFB)이 절연막(IFB) 상에 형성되면, 절연막(IFB)은 공정 ST3의 에칭으로부터 보호된다는 것이 확인되었다.
1 : 처리 시스템 PM1, PM2, PM3, PM4 : 프로세스 모듈
TM : 반송 모듈 TC : 챔버
10 : 플라스마 처리 장치 11 : 챔버 본체
11c : 챔버 12 : 서셉터
20 : 배기 기구 31 : 정전 척
31a : 전극 35 : 고주파 전원
100 : 처리 장치 112 : 챔버 본체
112c : 챔버 114 : 스테이지
138 : 배기 장치 140 : 가스 공급부
160 : 처리 장치 162 : 챔버 본체
162c : 챔버 164 : 스테이지
166 : 히터 170 : 가스 공급부
182 : 배기 장치 W : 피가공물
SR : 실리콘 영역 IF : 절연막
OP : 개구 OX : 실리콘 산화막
PF : 보호막 R1 : 제1 영역
R2 : 제2 영역 TR : 변질 영역

Claims (9)

  1. 피가공물의 실리콘 산화막을 제거하는 방법이며, 해당 피가공물은, 절연막 및 해당 절연막에 형성된 개구의 저부에서 노출된 상기 실리콘 산화막을 포함하고,
    상기 피가공물의 표면 상에 탄소를 함유하는 보호막을 형성하는 공정이며, 상기 보호막은, 상기 개구를 구획 형성하는 상기 절연막의 측벽면을 따라 연장되는 제1 영역, 및 상기 실리콘 산화막 상에서 연장되는 제2 영역을 포함하는 해당 공정과,
    제1 불활성 가스의 플라스마로부터의 이온에 의한 스퍼터 에칭에 의해 상기 보호막의 상기 제2 영역과 상기 실리콘 산화막을 제거하는 공정과,
    화학적 에칭에 의해 상기 실리콘 산화막의 잔사를 제거하는 공정
    을 포함하고,
    보호막을 형성하는 상기 공정은, 상기 피가공물이 챔버 내에 배치된 상태에서 실행되고,
    보호막을 형성하는 상기 공정에서는,
    상기 챔버에 탄소 함유 가스를 공급함으로써 상기 피가공물의 표면 상에 탄소 함유의 전구체층을 형성하는 공정과,
    상기 챔버를 퍼지하는 공정과,
    상기 챔버 내에서 제2 불활성 가스의 플라스마를 생성함으로써, 상기 전구체층에 포함되는 불순물의 양을 저감시키는 공정과,
    상기 챔버를 퍼지하는 공정
    을 각각이 포함하는 복수회의 사이클이 실행되는
    방법.
  2. 제1항에 있어서,
    상기 탄소 함유 가스는, 하이드로플루오로카본 가스인 방법.
  3. 제2항에 있어서,
    상기 탄소 함유 가스는, CH3F 가스인 방법.
  4. 제1항에 있어서,
    상기 탄소 함유 가스는, 탄화수소 가스인 방법.
  5. 제1항에 있어서,
    전구체층을 형성하는 상기 공정에서, 염소 가스 및 수소 가스 중 적어도 한쪽이 상기 챔버에 추가로 공급되는 방법.
  6. 제1항에 있어서,
    불순물의 양을 저감시키는 상기 공정에서 사용되는 상기 제2 불활성 가스는 희가스인 방법.
  7. 제1항에 있어서,
    상기 보호막의 상기 제2 영역 및 상기 실리콘 산화막을 제거하는 상기 공정에서 사용되는 상기 제1 불활성 가스는, 희가스인 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 실리콘 산화막의 잔사를 제거하는 상기 공정은,
    상기 실리콘 산화막의 상기 잔사에 처리 가스를 공급함으로써, 상기 실리콘 산화막의 상기 잔사로부터 변질 영역을 형성하는 공정이며, 해당 변질 영역은 규불화암모늄을 포함하는 해당 공정과,
    상기 변질 영역을 포함하는 상기 피가공물을 가열함으로써, 상기 변질 영역을 제거하는 공정
    을 포함하는 방법.
  9. 제8항에 있어서,
    상기 처리 가스는, HF 가스 및 NH3 가스를 포함하는 방법.
KR1020180080454A 2017-07-24 2018-07-11 실리콘 산화막을 제거하는 방법 KR102149082B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2017-142746 2017-07-24
JP2017142746A JP6561093B2 (ja) 2017-07-24 2017-07-24 シリコン酸化膜を除去する方法

Publications (2)

Publication Number Publication Date
KR20190011192A true KR20190011192A (ko) 2019-02-01
KR102149082B1 KR102149082B1 (ko) 2020-08-27

Family

ID=65023146

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180080454A KR102149082B1 (ko) 2017-07-24 2018-07-11 실리콘 산화막을 제거하는 방법

Country Status (4)

Country Link
US (1) US10546753B2 (ko)
JP (1) JP6561093B2 (ko)
KR (1) KR102149082B1 (ko)
TW (1) TW201921501A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11171012B1 (en) * 2020-05-27 2021-11-09 Tokyo Electron Limited Method and apparatus for formation of protective sidewall layer for bow reduction

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007049510A1 (ja) 2005-10-27 2007-05-03 Tokyo Electron Limited 処理方法及び記録媒体
KR100763514B1 (ko) * 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
KR20090093875A (ko) * 2008-02-29 2009-09-02 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법, 플라즈마 에칭 장치 및 컴퓨터 기억 매체
KR20150022773A (ko) * 2012-05-23 2015-03-04 도쿄엘렉트론가부시키가이샤 산화물 에칭 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US8642473B2 (en) * 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US10297459B2 (en) * 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
JP6059165B2 (ja) * 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
JP2016153518A (ja) * 2015-02-20 2016-08-25 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007049510A1 (ja) 2005-10-27 2007-05-03 Tokyo Electron Limited 処理方法及び記録媒体
KR100763514B1 (ko) * 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
KR20090093875A (ko) * 2008-02-29 2009-09-02 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법, 플라즈마 에칭 장치 및 컴퓨터 기억 매체
KR20150022773A (ko) * 2012-05-23 2015-03-04 도쿄엘렉트론가부시키가이샤 산화물 에칭 방법

Also Published As

Publication number Publication date
TW201921501A (zh) 2019-06-01
JP6561093B2 (ja) 2019-08-14
KR102149082B1 (ko) 2020-08-27
US20190027371A1 (en) 2019-01-24
JP2019024043A (ja) 2019-02-14
US10546753B2 (en) 2020-01-28

Similar Documents

Publication Publication Date Title
CN109427576B (zh) 蚀刻方法
KR101974715B1 (ko) 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
US20230093011A1 (en) Atomic layer etching of molybdenum
US11462412B2 (en) Etching method
US20220157616A1 (en) Substrate processing method and substrate processing system
KR102149082B1 (ko) 실리콘 산화막을 제거하는 방법
KR20170099778A (ko) 기판 처리 방법
US10923358B2 (en) Substrate processing method
TW201907477A (zh) 蝕刻方法及蝕刻裝置
TWI750364B (zh) 形成鈦矽化物區域之方法
KR102606417B1 (ko) 에칭 방법, 대미지층의 제거 방법, 및 기억 매체
TWI827674B (zh) 蝕刻方法、蝕刻殘渣之去除方法及記憶媒體
TW202129760A (zh) 蝕刻方法、基板處理裝置、及基板處理系統
CN111725062B (zh) 膜的蚀刻方法和等离子体处理装置
WO2021049306A1 (ja) 成膜方法、成膜装置および成膜システム
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
CN114121641A (zh) 晶片处理方法和等离子体处理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right