KR20180106952A - 웨이퍼 처리 장치, 기록 매체 및 웨이퍼 운반 방법 - Google Patents

웨이퍼 처리 장치, 기록 매체 및 웨이퍼 운반 방법 Download PDF

Info

Publication number
KR20180106952A
KR20180106952A KR1020180030547A KR20180030547A KR20180106952A KR 20180106952 A KR20180106952 A KR 20180106952A KR 1020180030547 A KR1020180030547 A KR 1020180030547A KR 20180030547 A KR20180030547 A KR 20180030547A KR 20180106952 A KR20180106952 A KR 20180106952A
Authority
KR
South Korea
Prior art keywords
wafer
center
stage
load lock
processing stage
Prior art date
Application number
KR1020180030547A
Other languages
English (en)
Other versions
KR102377417B1 (ko
Inventor
토시히사 노자와
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20180106952A publication Critical patent/KR20180106952A/ko
Application granted granted Critical
Publication of KR102377417B1 publication Critical patent/KR102377417B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/26Pc applications
    • G05B2219/2602Wafer processing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45032Wafer manufacture; interlock, load-lock module
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/30End effector
    • Y10S901/40Vacuum or mangetic

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)

Abstract

웨이퍼 처리 장치는 제1 로봇 및 제2 로봇에 연결된 컨트롤러를 포함한다. 상기 컨트롤러는 상기 웨이퍼의 중심이 제1 위치 쉬프트 량 만큼 상기 제1 로드 록 스테이지의 중심으로부터 쉬프트되는 방식으로 상기 웨이퍼가 제1 로드 록 스테이지 상에 배치되도록, 그리고 상기 웨이퍼의 중심이 제2 위치 쉬프트 량 만큼 상기 제2 로드 록 스테이지의 줌심으로부터 쉬프트되는 방식으로 다른 웨이퍼가 제2 로드 록 스테이 상에 배치되도록 제1 로봇을 제어한다. 상기 컨트롤러는 제2 로봇이 제1 및 제2 로드 록 스테이지 사이에서, 그리고 제1 처리 스테이지 및 제2 처리 스테이지 사이에서 두개의 웨이퍼들을 동시에 반송하도록 제2 로봇을 제어한다.

Description

웨이퍼 처리 장치, 기록 매체 및 웨이퍼 운반 방법{Wafer processing apparatus, recording medium and wafer conveying method}
본 발명은 웨이퍼 처리를 위해 사용되는 웨이퍼 처리 장치, 기록 매체 및 웨이퍼 운반 방법에 관한 것이다.
복수의 챔버 모듈들을 구비한 웨이퍼 처리 장치들이 있다. 하나의 챔버 모듈에는 예를 들어, 2개의 반응기 챔버들이 제공된다. 2개의 반응기 챔버들이 제공된 챔버 모듈을 "DCM(Dual Chamber Module)"이라고 지칭한다. 4개의 반응기 챔버들이 제공된 챔버 모듈을 "QCM(Quad Chamber Module)"이라고 지칭한다. 각 반응기 챔버는 처리 단계가 제공된다.
US 2012/0325148은 2개의 웨이퍼들을 유지하거나 또는 2개의 웨이퍼들을 챔버 모듈로부터 언로딩하는 로봇 아암을 사용하여 챔버 모듈에 2개의 웨이퍼를 제공하는 것을 개시하고 있다. 하나의 챔버 모듈에서 2개의 처리 스테이지들 사이의 위치 관계가 예정된 위치로부터 쉬프트된다는 사실을 고려하면, US 2012/0325148은 하나의 웨이퍼가 먼저 하나의 처리 스테이지 상에 정확히 배치되도록 정렬되고, 이어서 다른 웨이퍼가 다른 처리 스테이지 상에 정확하게 배치되도록 정렬된다. 정렬은 로봇 아암 상에서 웨이퍼의 위치를 측정함으로써 가능하다. 이러한 방식으로, 2개의 웨이퍼들이 처리 스테이지의 예정된 위치들에 장착된다.
챔버 모듈에 웨이퍼들을 도입할 때, 웨이퍼들을 신속하게 운반하고 웨이퍼들을 처리 스테이지들 상의 소정의 위치들로 정확하게 운반할 것이 요구된다. 신속하게 웨이퍼를 운반하는 것은 처리량을 향상시킨다. 처리 스테이지들 상의 정확한 위치들로 웨이퍼들을 운반하는 것은 균일하게 수행되는 웨이퍼들 상의 처리에 기여한다.
그러나, US 2012/0325148에 기술된 기술에 따르면, 웨이퍼 위치들은 챔버 모듈에서 한 번에 하나의 웨이퍼로 조절되므로, 웨이퍼들을 신속하게 운반할 수 없다. 또한, 로봇 아암을 연장시킴으로써 웨이퍼 위치들을 조절하기 때문에, 로봇 아암이 진동하고, 진동이 수렴하여 웨이퍼 위치들이 안정될 때까지 시간이 걸린다. 이렇게 하면 웨이퍼를 운반하는 데 시간이 걸린다.
본 발명은 상술한 문제점들을 해결하기 위해 이루어진 것으로, 본 발명의 목적은 처리 스테이지의 예정된 위치로 웨이퍼의 신속한 반송과 정밀한 반송 모두를 실현할 수 있는 웨이퍼 처리 장치, 기록 매체 및 웨이퍼 반송 방법을 제공하는 것이다.
본 발명의 특징들 및 이점들은 다음과 같이 요약될 수 있다.
본 발명의 하나의 형태에 따르면, 웨이퍼 처리 장치는, 웨이퍼를 반송하는 제1 로봇과, 제1 로드 록 스테이지와 제2 로드 록 스테이지를 구비하고, 상기 제1 로봇의 이동 범위 내에 설치되는 로드 록 장치와, 제1 처리 스테이지 및 제2 처리 스테이지를 포함하는 챔버 모듈, 제1 로드 록 스테이지와 제2 로드 록 스테이지, 및 제1 처리 스테이지 및 제2 처리 스테이지 사이에서 2 개의 웨이퍼를 동시에 반송하는 제2 로봇, 및 상기 웨이퍼의 중심이 상기 제1 처리 스테이지의 상기 로드 록 장치에 대응하는 위치 쉬프트인 제1 위치 쉬프트량 만큼 상기 제1 로드 록 스테이지의 중심으로부터 쉬프트되도록 제1 로드 록 스테이지 상에 상기 웨이퍼를 위치시키도록, 그리고 상기 웨이퍼의 중심이 상기 제2 처리 스테이지의 상기 로드 록 장치에 대응하는 위치 쉬프트인 제2 위치 쉬프트량 만큼 상기 제2 로드 록 스테이지의 중심으로부터 쉬프트되도록 제2 로드 록 스테이지 상에 상기 웨이퍼를 위치시키도록 상기 제1 로봇을 제어하는 컨트롤러를 포함한다.
본 발명의 다른 형태에 따르면, 프로그램을 기록하는 컴퓨터로 판독가능한 기록 매체로서, 상기 프로그램은 컴퓨터로 하여금 제1 로드 록 스테이지 및 제2 로드 록 스테이지를 구비하는 로드 록 장치에 대하여 제1 처리 스테이지의 위치 쉬프트인 제1 위치 쉬프트량, 그리고 상기 제1 처리 스테이지에 인접하게 제공된 제2 처리 스테이지의 상기 로드 록 장치에 대하여 위치 쉬프트인 제2 위치 쉬프트량을 확인하는 확인 단계, 상기 웨이퍼의 중심을 검출하는 웨이퍼 중심 검출 단계, 상기 웨이퍼의 중심이 상기 제1 로드 록 스테이지의 중심으로부터 상기 제1 위치 쉬프트량 만큼 쉬프트되도록 상기 제1 로드 록 스테이 상에 웨이퍼를 위치시키고 그리고 상기 웨이퍼의 중심이 상기 제2 로드 록 스테이지의 중심으로부터 상기 제2 위치 쉬프트량 만큼 쉬프트되도록 상기 제2 로드 록 스테이지 상에 다른 웨이퍼를 위치시키는 쉬프트 장착 단계, 그리고 상기 제2 처리 스테이지 상의 상기 제2 로드 록 스테이지 상에 상기 웨이퍼를 위치시킴과 동시에 상기 제1 처리 스테이지 상의 상기 제1 로드 록 스테이지 상에 상기 웨이퍼를 위치시키는 장착 단계를 실행하도록 한다.
본 발명의 다른 형태에 따르면, 웨이퍼 반송 방법은, 제1 로드 록 스테이지 및 제2 로드 록 스테이지를 갖는 로드 록 장치에 대한 제1 처리 스테이지의 위치 쉬프트인 제1 위치 쉬프트 량과, 상기 제1 처리 스테이지에 인접하여 제공된 제2 처리 스테이지의 로드 록 장치에 대한 위치 쉬프트인 제2 위치 쉬프트량을 확인하는 확인 단계와, 웨이퍼의 중심을 검출하는 중심 검출 단계와, 웨이퍼의 중심이 제1 로드 록 스테이지의 중심으로부터 제1 위치 쉬프트만큼 쉬프트되도록 제1 로드 록 스테이지 상에 웨이퍼를 위치시키고 그리고 상기 웨이퍼의 중심이 상기 제2 로드 록 스테이지의 중심으로부터 제2 위치 쉬프트량만큼 쉬프트되도록 상기 제2 로드 록 스테이지 상에 다른 웨이퍼를 위치시키는 쉬프트 장착 단계와, 그리고 상기 제2 처리 스테이지 상의 상기 제2 로드 록 스테이지 상에 상기 웨이퍼를 위치시킴과 동시에 상기 제1 처리 스테이지 상의 상기 제1 로드 록 스테이지 상에 상기 웨이퍼를 위치시키는 장착 단계를 포함한다.
본 발명의 다른 그리고 추가적인 목적들, 특징들 및 이점들은 다음의 설명으로부터 더욱 명백해질 것이다.
도 1은 제1 실시예에 따른 웨이퍼 처리 장치의 평면도이다.
도 2는 제2 로봇들의 평면도이다.
도 3은 포크형 섹션의 사시도이다.
도 4는 제1 처리 스테이지의 단면도이다.
도 5는 웨이퍼 처리 장치의 단면도이다.
도 6은 하드웨어 구성 도면이다.
도 7은 웨이퍼 반송 방법을 나타내는 플로차트이다.
도 8은 제1 및 제2 엔드 이펙터들(end effectors)을 나타내는 도면이다.
도 9는 제1 및 제2 엔드 이펙터들을 나타내는 도면이다.
도 10은 웨이퍼들을 나타내는 도면이다.
도 11은 각 디바이스의 동작의 타이밍 차트이다.
도 12는 제2 실시예에 따른 웨이퍼 처리 장치를 나타내는 도면이다.
도 13은 제3 실시예에 따른 웨이퍼 처리 장치를 나타내는 도면이다.
도 14는 센서 또는 그밖에 유사한 것을 나타내는 도면이다.
도 15는 제3 실시예에 따른 웨이퍼 처리 장치의 하드웨어 구성 도면이다.
도 16은 제4 실시예에 따른 제1 처리 스테이지의 평면도이다.
도 17은 변형예에 따른 제1 처리 스테이지의 평면도이다.
본 발명의 실시 예들에 따른 웨이퍼 처리 장치, 기록 매체 및 웨이퍼 반송 방법을 첨부 도면을 참조하여 설명한다. 동일하거나 대응하는 구성 요소들에는 동일한 참조 부호들을 붙이고 중복된 설명은 생략될 수 있다.
<제1 실시예>
도 1은 제1 실시 예에 따른 웨이퍼 처리 장치의 평면도이다. 이 웨이퍼 처리 장치는 EFEM(Equipment Front End Module)(10)을 구비하고 있다. EFEM(10)에는 로드 포트(12) 및 제1 로봇(14)이 설치되어 있다. 로드 포트(12)에는 FOUP가 배치되어있다. 상기 제1 로봇(14)은 제1 엔드 이펙터(14a) 및 제2 엔드 이펙터(14b)를 포함한다. 제1 엔드 이펙터(14a) 및 제2 엔드 이펙터(14b)는 각각 하나의 웨이퍼를 흡입하는 진공 흡착 장치 또는 정전 척이다. 제1 로봇(14)은 "FERB(Front End RoBot)"이라고 불린다. 제1 로봇(14)은 제1 엔드 이펙터(14a) 및 제2 엔드 이펙터(14b)를 개별적으로 이동시킬 수 있는 관절식 로봇이다. 제1 로봇(14)은 하나 또는 두 개의 웨이퍼를 운반할 수 있다.
얼라이너들(16, 18)는 EFEM(10)에 부착되어 있다. 얼라이너(16)에는 턴테이블(16a)과, 상기 턴테이블(16a) 위에 놓인 웨이퍼를 검출하는 센서(16b)가 설치되어있다. 얼라이너(18)에는 턴테이블(18a)과, 상기 턴테이블(18a)에 놓인 웨이퍼를 검출하는 센서(18b)가 설치되어있다. 얼라이너들(16 및 18)은 공지된 방법을 사용하여 상기 웨이퍼의 중심, 상기 웨이퍼의 노치 또는 오리엔테이션 플랫(orientation flat)을 검출한다. 또한, 상기 EFEM(10)에는 웨이퍼를 냉각시키는 냉각 스테이지(20)가 제공된다.
로드 록 장치(30)가 EFEM(10)에 부착된다. 상기 로드 록 장치(30)는 처리 전 또는 후에 웨이퍼를 로딩 또는 언로딩하도록 설치된 진공 챔버이다. 게이트 밸브가 상기 로드 록 장치(30)와 상기 EFEM(10) 사이에 제공된다. 상기 로드 록 장치(30)는 제1 LLS(Load Lock Stage, 로드 록 스테이지)(32) 및 제2 LLS(로드 록 스테이지)(34)를 포함한다. 상기 로드 록 장치(30)는, 최대 2개의 웨이퍼들을 수용할 수 있다. 로드 록 장치(30)는 제1 로봇(14)의 이동 범위 내에 제공된다.
상기 로드 록 장치(30)에는 WHC(wafer handling chamber, 웨이퍼 핸들링 챔버)(40)가 연결되어있다. WHC(40)는 일 측면이 로드 록 장치(30)와 접촉하는 평면에서 볼 때 다각형 형상을 갖는다. 제2 로봇들(42, 44)이 상기 WHC(40)에 제공된다. 상기 제2 로봇들(42, 44)은 각각 "BERB(Back End RoBot)"이라고 불린다.
도 2는 제2 로봇들(42, 44)의 평면도이다. 제2 로봇(42)과 제2 로봇(44)은 동일한 구조이므로, 제2 로봇(42)에 대해서만 설명한다. 제2 로봇(42)은 2 개의 웨이퍼들을 동시에 운반하는 이중 아암 웨이퍼 핸들링 로봇이다. 제2 로봇(42)은 포크 형상 섹션(42A), 중간 섹션(42B) 및 바닥 섹션(42C)을 구비하고 있다. 포크 형상 섹션(42A)에는 제1 엔드 이펙터(42d) 및 제2 엔드 이펙터(42e)가 제공된다. 제1 엔드 이펙터(42d)는 하나의 웨이퍼를 유지할 수 있고, 제2 엔드 이펙터(42e)는 다른 웨이퍼를 유지할 수 있다. 포크 형상 섹션(42A)과 중간 섹션(42B)는 조인트(42a)를 통해 함께 결합되어 있다. 중간 섹션(42B)과 바닥 섹션(42C)은 조인트(42b)를 통해 함께 결합되어 있다. 또한, 바닥 섹션(42C)과 액츄에이터(41)는 조인트(42c)를 통해 함께 결합된다.
도 3은 포크 형상 섹션(42A)의 사시도이다. 제1 엔드 이펙터(42d) 및 제2 엔드 이펙터(42e)는 각각 하나의 웨이퍼를 유지할 수 있다. 제1 엔드 이펙터(42d)는 제2 엔드 이펙터(42e)와 동일한 높이를 갖는다. 따라서, 제1 엔드 이펙터(42d)에 의해 유지되는 웨이퍼의 높이는 제2 엔드 이펙터(42e)에 의해 유지되는 다른 웨이퍼의 높이와 동일하다. 제2 로봇들(42, 44)은 웨이퍼들을 동시에 반송할 수 있는 한, 전술한 구성에 특별히 한정되지 않는다.
이제, 설명이 도 1로 되돌아간다. WHC(40)의 4개의 측면들에 CM들(챔버 모듈들)이 각각 1 대 1로 연결된다. WHC(40)는 게이트 밸브들에 의해 상기 CM들(50, 52, 54 및 56)과 구획된다. CM들(50, 52, 54 및 56)은 각각 DCM(이중 챔버 모듈)을 형성한다. CM(50)은 제1 처리 스테이지(50A) 및 제2 처리 스테이지(50B)를 포함한다. CM(52)은 제1 처리 스테이지(52A) 및 제2 처리 스테이지(52B)를 포함하고, CM(54)은 제1 처리 스테이지(54A) 및 제2 처리 스테이지(54B)를 포함하고, CM(56)은 제1 처리 스테이지(56A) 및 제2 처리 스테이지(56B)를 포함한다. 따라서, 4개의 상이한 CM들은 각각 2개의 처리 스테이지들을 포함한다. 모든 처리 스테이지들은 히터들이 내장된 서셉터들이 될 수 있다. 각 처리 스테이지 상에 배치된 웨이퍼는 성막 또는 에칭과 같은 처리를 받는다. 도 1은 웨이퍼들이 모든 처리 스테이지들 상에 배치된 상황을 도시한다.
도 4는 제1 처리 스테이지(50A)의 단면도이다. 제1 처리 스테이지(50A)는 측벽 섹션(50a)과 플레이트 섹션(50b)을 구비한다. 측벽 섹션(50a)은, 평면에서 보아 링 형상을 가지며, 상기 플레이트 섹션(50b)을 둘러싸고 있다. 제1 처리 스테이지 (50A)에는, 측벽 섹션(50a)과 플레이트 섹션(50b)을 구비함으로써, "포켓(pocket)"이라고 불리는 오목 섹션이 제공된다. 이 오목 섹션에는 웨이퍼(Wa)가 수용되어있다. 도 4는 웨이퍼(Wa)의 좌측 단부와 측벽 섹션(50a) 사이의 거리가 x1이고, 웨이퍼(Wa)의 우측 단부와 측벽 섹션(50a) 사이의 거리가 x2임을 도시한다. 도 4의 일점 쇄선은 웨이퍼(Wa)의 중심 위치와 제1 처리 스테이지(50A)의 중심 위치를 나타낸다. 웨이퍼(Wa)의 중심 위치를 제1 처리 스테이지(50A)의 중심 위치와 일치시킴으로써, 거리 x1은 거리 x2와 일치되도록 허용된다. 웨이퍼(Wa)의 중심 위치가 제1 처리 스테이지(50A)의 중심 위치와 일치하게 함으로써, 웨이퍼(Wa)의 에지로부터 측벽 섹션(50a)까지의 거리가 균일해진다. 제1 처리 스테이지들(52A, 54A, 56A) 및 제2 처리 스테이지들(50B, 52B, 54B, 56B)은 제1 처리 스테이지(50A)와 동일한 형상을 갖는다 것에 유의하라.
처리 스테이지의 오목 섹션의 직경은 측벽 섹션의 내부 에지의 직경이다. 오목 섹션의 직경은 종종 웨이퍼의 직경보다 약 4mm만큼 크게 설정된다. 따라서, 웨이퍼의 중심이 처리 스테이지의 중심과 일치한다고 가정하면, 웨이퍼 에지와 측벽 사이의 거리는 2mm 정도가 된다. 대조적으로, 본 발명의 제1 실시 예에 따른 오목 섹션의 직경은 바람직하게는 웨이퍼의 직경보다 1 내지 2 mm 큰 직경이다. 이 경우, 웨이퍼의 중심이 처리 스테이지의 중심과 일치한다고 가정하면, 웨이퍼 에지와 측벽 사이의 거리는 0.5mm 내지 1mm이다. 웨이퍼의 직경이 300㎜일 때, 제1 처리 스테이지들(50A, 52A, 54A, 56A) 및 제2 처리 스테이지들(50B, 52B, 54B, 56B)에는 직경 301mm 내지 302㎜의 오목 섹션들이 형성되어 있다.
도 5는 제1 실시 예에 따른 웨이퍼 처리 장치의 단면도이다. 로드 록 장치(30)의 하부에는 로드 록 장치(31)가 중첩되어있다. 로드 록 장치(31)는 로드 록 장치(30)와 동일한 구성을 갖는다. 따라서, 로드 록 장치(30)는 제1 LLS(32) 및 제2 LLS(34)를 가지며, 로드 록 장치(31)도 또한 제1 LLS 및 제2 LLS를 가진다.
제2 로봇들(42, 44)은 제1 LLS와 제2 LLS와, 제1 처리 스테이지와 제2 처리 스테이지 사이에서 2 매의 웨이퍼들을 동시에 반송한다. 예를 들면, 제2 로봇들(42, 44)은 로드 록 장치(30)에 수용된 2 매의 웨이퍼들을 CM들(50, 52, 54, 56) 중 어느 하나에 반송하며, CM에서의 처리가 완료된 2 매의 웨이퍼들을 로드 록 장치(31)에 운반한다.
도 6은 제1 실시 예에 따른 웨이퍼 처리 장치의 하드웨어 구성도이다. 컨트롤러(70)에는, 전술한 로드 포트들(12), 제1 로봇(14), 얼라이너들(16 및 18), 로드 록 장치들(30 및 31), 제2 로봇들(42 및 44), CM들(50, 52, 54 및 56) 그리고 게이트 밸브들(57)이 디바이스 네트(DEVICE NET)를 통해 연결된다. 또한, 컨트롤러(70)에 다른 디바이스들이 연결될 수 있다. 상기 컨트롤러(70)는 "PMC (process module controller)" 또는 "TMC (transfer module controller)"로 불린다. 컨트롤러(70)는 리스트 파일(70A), 컴퓨터(70B) 및 기록 매체(70C)를 구비한다.
주 제어 장치(72)는 컨트롤러(70)에 연결된다. 주 제어 장치(72)에는 구성 파일(72A)이 제공된다. 구성 파일(72A)은 컨트롤러(70)에 연결된 제2 로봇들(42, 44) 등과 같은 각 디바이스들의 ID 정보, 통신 데이터 크기 정보 및 타입 정보를 기록한다. ID 정보는 각 디바이스에 할당된 "MAC 어드레스"를 나타낸다. 통신 데이터 크기 정보는 어떤 디바이스의 입력 또는 출력 동안의 데이터 크기에 대한 정보를 나타낸다. 타입 정보는 디바이스의 타입을 식별하는 정보를 나타낸다.
리스트 파일(70A)은 구성 파일(72A)을 컨트롤러(70)(컴퓨터) 판독 가능한 포맷으로 변환함으로써 얻어진다. 컨트롤러(70)의 컴퓨터(70B)는 주 제어 장치(72)로부터의 명령에 기초하여 리스트 파일(70A)에 기술된 디바이스들을 제어한다. 상기 "디바이스들"은 디바이스 네트에 연결된 모든 디바이스들을 나타낸다. 컨트롤러(70)는 기록 매체(70C)를 구비한다. 기록 매체(70C)는 컴퓨터 판독 가능한 프로그램을 기록한다. 주 제어 장치(72)는 그것의 스케줄러 기능(scheduler function)을 이용하여 각 웨이퍼를 처리하기 위한 웨이퍼 처리 순서 및 레시피를 결정한다. 컨트롤러(70)는 리스트 파일(70A)에 기술된 디바이스들로 하여금 주 제어 장치(72)에서 결정된 기판의 처리 순서 및 레시피에 따라 기판을 처리하게 한다.
도 7은 제1 실시 예에 따른 웨이퍼 처리 장치를 이용한 웨이퍼 반송 방법을 도시하는 플로차트이다. 먼저, 단계 S1에서, 웨이퍼 처리 장치가 시작된다. "시동 (Starting)"이란 정기적인 유지 보수 또는 오작동과 같은 이유로 정지된 웨이퍼 처리 장치에 전원을 켜는 것을 의미한다.
다음에, 처리는 단계 2로 진행한다. 단계 2에서는, 로드 록 장치들(30, 31)에 대한 제1 처리 스테이지들(50A, 52A, 54A, 56A)의 위치 쉬프트인 제1 위치 쉬프트량, 그리고 로드 록 장치들(30, 31)에 대한 제2 처리 스테이지들(50B, 52B, 54B, 56B)의 위치 쉬프트인 제2 위치 쉬프트량에 대한 확인이 이루어진다. 이 단계는 "확인 단계"로 지칭된다. 웨이퍼 처리 장치가 설계된 바와 완전히 동일한 크기로 제조되는 경우, 제1 위치 쉬프트량 및 제2 위치 쉬프트량은 모두 제로이다. 그러나, 웨이퍼 처리 장치의 부품들에는 실제로 약간의 치수 오차들이 발생하고, 그리고 치수 오차들은 제1 위치 쉬프트 량 및 제2 위치 쉬프트 량을 유의미한 값들로 만든다. 예를 들면, CM의 2 개의 처리 스테이지들 사이의 거리가 설계값으로부터 쉬프트되면, 제1 위치 쉬프트 량 및 제2 위치 쉬프트 량은 유의미한 값들이 된다.
예를 들어, 직교 좌표계에서, 상기 로드 록 장치들(30, 31)의 기준 위치들에 대한 상기 제1 처리 스테이지들(50A, 52A, 54A, 56A) 및 제2 처리 스테이지들(50B, 52B, 54B, 56B)의 위치 쉬프트 량들을 계산함으로써 제1 위치 쉬프트 량 및 제2 위치 쉬프트 량이 명확해진다. 상기 로드 록 장치들(30, 31)의 기준 위치들은 상기 로드 록 장치들(30, 31)의 임의의 주어진 위치들이다. 예를 들어, 제1 LLS(32)의 중심을 기준 위치로 지정하거나, 또는 제2 LLS(34)의 중심을 기준 위치로 지정하거나, 또는 제1 LLS(32)의 중심과 제2 LLS(34)의 중심 사이의 중간 위치를 기준 위치로 지정할 수 있다. 또한, 직교 좌표계뿐만 아니라 극 좌표계를 채용하는 것도 가능하다.
제1 위치 쉬프트 량 및 제2 위치 쉬프트 량을 확인하는 특정 수단의 일례는 다음과 같다. 우선, 웨이퍼 처리 장치는 웨이퍼가 처리될 때와 동일한 온도로 가열된다. 예를 들어, 8개의 처리 스테이지들의 모든 히터들은 상기 처리 스테이지들을 350℃ 정도에 이르도록 가열하기 위해 가열된다. 웨이퍼 처리 장치가 가열된 상태에서, 처리 스테이지의 포켓의 직경과 일치하는 직경을 갖는 전용 웨이퍼들이 포켓 내에 들어간다. 즉, 8개의 전용 웨이퍼들이 제1 처리 스테이지들(50A, 52A, 54A, 56A) 및 제2 처리 스테이지들(50B, 52B, 54B, 56B)에 제공된다. 그 다음, 전용 웨이퍼들의 에지들이 처리 스테이지들의 측벽들과 접촉하거나 또는 이들과 접촉하는 것으로 간주된다. 이 조건에서 각 전용 웨이퍼의 중심은 각 처리 스테이지의 중심과 일치한다.
이러한 전용 웨이퍼들은 제2 로봇(42) 또는 제2 로봇(44)을 이용하여 로드 록 장치(30) 또는 로드 록 장치(31)로 이동된다. 구체적인 예로서, 제1 처리 스테이지(50A) 및 제2 처리 스테이지(50B)는 리프트 핀(50c)에 대해 하강하며, 그리하여 리프트 핀(50c)은 돌출되고 웨이퍼를 지지한다. 이 조건에서, 제2 로봇(42)의 제1 엔드 이펙터(42d)는 하나의 웨이퍼(Wa)의 후면 측을 흡인하고, 제2 엔드 이펙터(42e)는 다른 웨이퍼(Wb)의 후면 측을 흡인한다. 그 후, 제2 로봇(42)이 이동되고, 2개의 웨이퍼들(Wa, Wb)은 상기 로드 록 장치(31)의 제1 LLS 및 제2 LLS 상에 배치된다.
그 후, 로드 록 장치(31)의 2매의 웨이퍼들(Wa, Wb)은 제1 로봇(14)에 의해 얼라이너(16)로 이동된다. 턴테이블(16a)의 중심과 전용 웨이퍼의 중심 사이의 쉬프트를 검출하기 위해 상기 얼라이너(16)를 사용함으로써, 제1 처리 스테이지(50A)와 제2 처리 스테이지(50B)의 포켓의 중심과 포켓의 설계 중심 사이의 쉬프트 량을 검출 할 수 있다. 제1 처리 스테이지(52A, 54A, 56A)의 제1 위치 쉬프트 량 및 제2 처리 스테이지(52B, 54B, 56B)의 제2 위치 쉬프트 량은 이와 유사한 절차를 사용하여 명확해진다. 그리하여, 4개의 제1 위치 쉬프트 량과 4개의 제2 위치 쉬프트 량이 계산된다.
단계 2의 확인 단계에 의해, 예를 들어 다음 데이터가 얻어질 수 있다. 단위는 mm이다.
기준 위치에 대한 제1 처리 스테이지(50A)의 중심 좌표의 위치 쉬프트 량: (0.1, -0.2)
기준 위치에 대한 제1 처리 스테이지(52A)의 중심 좌표의 위치 쉬프트 량: (0.1, 0)
기준 위치에 대한 제1 처리 스테이지(54A)의 중심 좌표의 위치 쉬프트 량: (0.3, 0.3)
기준 위치에 대한 제1 처리 스테이지(56A)의 중심 좌표의 위치 쉬프트 량: (-0.3, -0.5)
기준 위치에 대한 제2 처리 스테이지(50B)의 중심 좌표의 위치 쉬프트 량: (0.2, -0.1)
기준 위치에 대한 제2 처리 스테이지(52B)의 중심 좌표의 위치 쉬프트 량: (0, -0.1)
기준 위치에 대한 제2 처리 스테이지(54B)의 중심 좌표의 위치 쉬프트 량: (0.2, 0.2)
기준 위치에 대한 제2 처리 스테이지(56B)의 중심 좌표의 위치 쉬프트 량: (-0.1, -0.3)
웨이퍼 처리 장치의 크기는 온도에 따라 약간 변한다. 예를 들어, Al로 제조 된 웨이퍼 처리 장치가 수백 도까지 가열될 때, 상기 장치의 크기는 정상 온도 동안의 것과 비교하여 약 0.1mm만큼 증가한다. 따라서, 웨이퍼 처리 장치가 가열될 때의 제1 및 제2 위치 쉬프트 량들을 검출함으로써, 웨이퍼 처리 동안의 것과 동일한 온도 환경에서의 제1 및 제2 위치 쉬프트 량을 알 수 있다.
다음으로, 공정은 단계 3으로 진행된다. 단계 3으로부터의 공정은 제품 웨이퍼 상에서의 공정에 관한 것이다. 단계 3에서, 컨트롤러(70)는 먼저 웨이퍼 처리 스케줄을 참조하고, 처리 스테이지로 반송될 웨이퍼를 식별하고, 그리고 예를 들어, 웨이퍼가 예를 들어 제1 처리 스테이지(50A)로 이동되어야 하는지를 검출한다. 컨트롤러(70)는 제1 로봇(14)을 제어하고, 식별된 웨이퍼는 로드 포트(12)로부터 얼라이너(16) 또는 얼라이너(18)로 이동된다. 상기 얼라이너(16) 또는 얼라이너(18)는 웨이퍼의 중심 및 노치 또는 오리엔테이션 플랫을 검출한다. 구체적인 예로서, 턴테이블(16a)을 회전시키고, 웨이퍼의 회전 중에 센서(16b)가 웨이퍼의 중심 및 노치 또는 오리엔테이션 플랫을 검출하기 위해 웨이퍼를 검출한다. 물론, 얼라이너(18)가 사용될 수도 있다. 이 단계를 "검출 단계"라고 지칭한다.
다음에, 공정은 단계 4로 진행한다. 단계 4에서, 제1 로봇(14)은 얼라이너(16)에 위치된 웨이퍼를 제1 LLS(32) 또는 제2 LLS(34)로 반송한다. 예를 들어, 웨이퍼가 제1 LLS(32)에 위치된 경우를 가정한다. 이 경우, 제1 로봇(14)은 중심 검출 단계에서 검출된 웨이퍼의 중심이 상기 제1 처리 스테이지(50A)와 관련하여 제1 LLS(32)의 중심으로부터 제1 위치 쉬프트 량만큼 쉬프트되는 방식으로 상기 제1 LLS(32) 상에 웨이퍼를 위치시킨다. 보다 구체적으로, 웨이퍼의 중심이 (0.1, -0.2)만큼 제1 LLS(32)의 중심으로부터 쉬프트되도록 제1 LLS(32) 상에 웨이퍼가 배치된다.
제1 LLS(32) 상에 웨이퍼를 배치하는 것과 동일한 절차를 사용하여, 하나의 웨이퍼가 제2 LLS(34) 상에 또한 배치된다. 보다 구체적으로, 컨트롤러(70)는 웨이퍼 처리 스케줄을 참조하고, 처리 스테이지로 반송될 웨이퍼를 식별하며, 웨이퍼가 예를 들어, 제2 처리 스테이지(50B)로 이동된 것을 검출한다. 식별된 웨이퍼는 제 1로봇(14)에 의해 로드 포트(12)로부터 얼라이너(16 또는 18)로 이동된다. 얼라이너(16 또는 18)가 웨이퍼의 중심 및 노치, 또는 오리엔테이션 플랫을 검출한 후, 웨이퍼는 제1 로봇(14)에 의해 상기 제2 LLS(34) 상에 배치된다. 이 경우, 제1 로봇(14)은 중심 검출 단계에서 검출된 웨이퍼의 중심이 상기 제2 처리 스테이지(50B)에 관련된 제2 위치 쉬프트 량만큼 쉬프트되도록 제2 LLS(34) 상에 웨이퍼를 위치시킨다. 보다 구체적으로는, 제1 로봇(14)은 웨이퍼의 중심이 (0.2,- 0.1)만큼 제2 LLS(34)의 중심으로부터 쉬프트되도록 제2 LLS(34) 상에 웨이퍼를 위치시킨다.
따라서, 웨이퍼가 향하는 처리 스테이지를 알 수 있는 목적지 정보에 기초하여, 웨이퍼가 LLS의 중심으로부터 목적지 처리 스테이지에 관한 위치 쉬프트 량만큼 쉬프트 되어 배치된다. 따라서, 웨이퍼의 중심이 제1 또는 제2 LLS의 중심으로부터 쉬프트된 상태에서 제1 또는 제2 로드 록 스테이지로 웨이퍼를 반송하는 단계는 "쉬프트 장착 단계(shift mounting step)"로 지칭된다.
다음으로, 공정은 단계 5로 진행된다. 단계 5에서, 제2 로봇(42 또는 44)은 제1 LLS(32) 상의 웨이퍼 및 제2 LLS(34) 상의 웨이퍼를 픽업하는데 사용되며, 제1 LLS(32) 상의 웨이퍼는 제1 처리 스테이지(50A) 상에 배치되며, 동시에 제2 LLS(34) 상의 웨이퍼는 제2 처리 스테이지(50B) 상에 배치된다. 제2 로봇은 제1 위치 쉬프트 량 및 제2 위치 쉬프트 량에 관계없이 로드 록 장치(30), 제1 처리 스테이지(50A) 및 제2 처리 스테이지(50B)의 설계 좌표에 기초하여 2매의 웨이퍼들을 반송한다. 이 때문에, 제2 로봇에 의한 반송은, 즉, 로드 록 장치(30)의 웨이퍼를 설계대로 CM(50)으로 이동시키는 것은 매우 간단하다.
쉬프트 장착 단계에서는, 웨이퍼가 웨이퍼의 중심을 제1 LLS(32)의 중심으로부터 제1 처리 스테이지(50A)에 관한 제1 위치 쉬프트 량만큼 쉬프트 시킴으로서, 그리고 웨이퍼의 중심을 제2 LLS(34)의 중심으로부터 제2 처리 스테이지(50B)에 관한 제2 위치 쉬프트 량만큼 쉬프트 시킴으로서 반송된다. 따라서, 제1 처리 스테이지(50A)에 배치된 웨이퍼의 중심을 제1 처리 스테이지(50A)의 중심과 일치시키는 것이 가능하며, 그리고 제2 로봇을 사용하여 2매의 웨이퍼를 CM(50)으로 단지 반송시킴으로써 제2 처리 스테이지(50B) 상에 배치된 웨이퍼의 중심을 제2 처리 스테이지(50B)의 중심과 일치시키는 것이 가능하다. 이에 따라, 웨이퍼의 에지와 처리 스테이지의 측벽 사이의 거리를 균일하게 할 수 있다. 따라서, 제1 LLS(32) 상의 웨이퍼 및 제2 LLS(34) 상의 웨이퍼를 픽업하는 동시에, 하나의 웨이퍼를 제1 처리 스테이지(50A) 상에 그리고 다른 웨이퍼를 제2 처리 스테이지(50B) 상에 동시에 배치하는 단계는 "장착 단계"로 지칭된다. 웨이퍼의 중심과 처리 스테이지의 중심 사이의 "일치(coincidence)"는 단어의 엄밀한 의미에서의 "일치"뿐만 아니라 웨이퍼 처리의 관점에서 실질적으로 "일치"로 간주될 수 있는 경우들을 포함하는 것에 유의하라.
도 8 내지 도 10은 장착 단계에서의 제2 로봇(42)의 동작을 설명하기 위한 도면이다. 도 8 내지 도 10의 모두는 장착 단계에서의 CM(50)의 내부의 단면을 나타내고 있다. 도 8은 웨이퍼(Wa)를 유지하는 제1 엔드 이펙터(42d)가 제1 처리 스테이지(50A) 상에 배치되고, 웨이퍼(Wb)를 유지하는 제2 엔드 이펙터(42e)가 제2 처리 스테이지(50B) 상에 배치되는 것을 도시하는 도면이다. 리프트 핀(50c)은 제1 처리 스테이지(50A)로부터 수직으로 연장되고, 리프트 핀(50c)은 제2 처리 스테이지(50B)로부터 수직으로 연장된다. 제1 처리 스테이지(50A) 및 제2 처리 스테이지(50B)에는, 예를 들면 3 개의 리프트 핀들(50c)이 각각 설치되어 있다.
다음에, 제2 로봇(42)을 하강시킴으로써, 제1 엔드 이펙터(42d) 및 제2 엔드 이펙터(42e)가 하강하게 된다. 도 9는 제1 엔드 이펙터(42d) 및 제2 엔드 이펙터(42e)를 하강시키고, 웨이퍼들(Wa, Wb)을 리프트 핀들(50c)에 유지시키는 것을 설명하는 도면이다. 리프트 핀들(50c)에 의해 웨이퍼들(Wa, Wb)이 유지되면, 제1 엔드 이펙터(42d)는 웨이퍼(Wa)로부터 분리되고, 제2 엔드 이펙터(42e)는 웨이퍼(Wb)로부터 분리된다.
다음에, 제1 엔드 이펙터(42d) 및 제2 엔드 이펙터(42e)가 CM(50)으로부터 인출되고, 리프트 핀들(50c)이 하강한다. 다음에, 도 10에 도시된 바와 같이, 웨이퍼(Wa)는 제1 처리 스테이지(50A)의 포켓 내에 배치되고, 웨이퍼(Wb)는 제2 처리 스테이지(50B)의 포켓 내에 배치된다. 이와 같이, 2개의 웨이퍼들이 장착 단계에서 동시에 처리 스테이지 상에 배치되기 때문에, 장착 단계가 신속하게 종료될 수 있다. 또한, 전술한 바와 같이, 웨이퍼들이 그들의 각각의 위치들로부터 쉬프트시킴으로써 제1 LLS(32) 및 제2 LLS(34) 상에 배치되기 때문에, 웨이퍼(Wa)의 중심을 제1 처리 스테이지의 중심과 일치시킬 수 있으며, 웨이퍼(Wb)의 중심을 제2 처리 스테이지(50B)의 중심과 일치시킬 수 있다.
다음에, 공정은 단계 6으로 진행된다. 단계 6에서, 예를 들어, CM(50) 내의 두 웨이퍼들에 대해 성막 처리가 적용된다. 웨이퍼들의 중심이 장착 단계에서 처리 스테이지의 중심과 일치되도록 이루어졌기 때문에 웨이퍼의 에지와 처리 스테이지의 측벽 사이의 거리는 균일하다. 따라서, 웨이퍼들에 대한 성막의 균일성을 향상시킬 수 있다.
다음으로, 공정은 단계 7로 진행한다. 단계 7에서, 제2 로봇(42) 또는 제2 로봇(44)은 CM(50) 내의 두 개의 웨이퍼들을 로드 록 장치(31)로 이동시킨다. 나아가, 제1 로봇(14)은 상기 로드 록 장치(31)의 두 웨이퍼들을 로드 포트(12)의 FOUP로 복귀시킨다.
단계 1 내지 단계 7에서 기술된 일련의 단계들은 CM들(50, 52, 54 및 56) 상에서 순차적으로 실행된다. 도 11은 각각의 디바이스의 동작의 타이밍 차트이다. 제2 로봇(42 또는 44)의 파형이 하이(high)일 때, 제2 로봇(42 또는 44)은 CM들(50, 52, 54 및 56) 중 어느 하나에 웨이퍼를 로딩하는 반면, 제2 로봇(42 또는 44)의 파형이 로우(low)일 때 제2 로봇(42 또는 44)은 CM들(50, 52, 54 및 56) 중 어느 하나로부터 웨이퍼를 언로딩한다. CM들(50, 52, 54 또는 56)의 파형이 하이 일 때, 웨이퍼에 대한 처리가 CM에서 수행된다.
시간 t1 내지 t2의 기간 동안, 제2 로봇(42)은 2 개의 웨이퍼들을 CM(50)으로 동시에 반송한다. CM(50)에서의 웨이퍼 처리는 반송이 종료되는 시간 t2에서 시작한다. 시간 t3 내지 t4의 기간 동안, 제2 로봇(42)은 2 개의 웨이퍼를 CM(52)에 동시에 반송하고, 시간 t5 내지 t6 기간 동안, 제2 로봇(42)은 2 개의 웨이퍼를 동시에 CM(54)로 반송하며, 그리고 시간 t7 내지 t8 기간 동안, 제2 로봇(42)은 2 개의 웨이퍼들을 동시에 CM(56)으로 반송한다.
쉬프트 장착 단계에서는, 제1 처리 스테이지(52A)로 반송해야 할 웨이퍼는 웨이퍼의 중심이 제1 LLS(32)의 중심으로부터 (0.1, 0) 만큼 쉬프트되도록 제1 LLS(32) 상에 배치되며, 제2 처리 스테이지(52B)로 반송해야 할 웨이퍼는 웨이퍼의 중심이 제2 LLS(34)의 중심으로부터 (0, -0.1)만큼 쉬프트되도록 제2 LLS(34) 상에 배치된다. 따라서, 시간 t3 내지 t4의 기간 동안, 웨이퍼들을 센터링(centering)하지 않고 CM(52)에 2 개의 웨이퍼들을 단지 반송하기만 함으로써, 제2 로봇(42)은 각 웨이퍼의 중심을 처리 스테이지의 중심과 일치시킬 수 있다.
쉬프트 장착 단계에서는, 제1 처리 스테이지(54A)로 반송해야 할 웨이퍼는 웨이퍼의 중심이 제1 LLS(32)의 중심으로부터 (0.3, 0.3) 만큼 쉬프트되도록 제1 LLS(32) 상에 배치되며, 제2 처리 스테이지(54B)로 반송해야 할 웨이퍼는 웨이퍼의 중심이 제2 LLS(34)의 중심으로부터 (0.2, 0.2)만큼 쉬프트되도록 제2 LLS(34) 상에 배치된다. 따라서, 시간 t5 내지 t6의 기간 동안, 웨이퍼들을 센터링하지 않고 CM(54)에 2개의 웨이퍼들을 단지 반송하기만 함으로써, 제2 로봇(42)은 각 웨이퍼의 중심을 처리 스테이지의 중심과 일치시킬 수 있다.
쉬프트 장착 단계에서는, 제1 처리 스테이지(56A)로 반송해야 할 웨이퍼는 웨이퍼의 중심이 제1 LLS(32)의 중심으로부터 (-0.3, -0.5) 만큼 쉬프트되도록 제1 LLS(32) 상에 배치되며, 제2 처리 스테이지(56B)로 반송해야 할 웨이퍼는 웨이퍼의 중심이 제2 LLS(34)의 중심으로부터 (-0.1, -0.3)만큼 쉬프트되도록 제2 LLS(34) 상에 배치된다. 따라서, 시간 t7 내지 t8의 기간 동안, 웨이퍼들을 센터링하지 않고 CM(56)에 2개의 웨이퍼들을 단지 반송하기만 함으로써, 제2 로봇(42)은 각 웨이퍼의 중심을 처리 스테이지의 중심과 일치시킬 수 있다.
t8에 이르는 공정은 CM(50)에 의해 웨이퍼 상에 처리를 하는 동안에 완료된다. CM들(52, 54, 56)은 웨이퍼가 반송되는 즉시 처리를 시작한다. 제2 로봇(42 및 44)을 함께 사용하여 웨이퍼를 계속 반송하는 것이 바람직하다. 이와 같이, 하나의 CM에서 처리를 수행하면서 웨이퍼를 다른 CM에 로딩 또는 언로딩함으로써 처리량을 증가시킬 수 있다.
시간 t9에서 CM(50) 내에서의 웨이퍼 처리가 종료하면, 제2 로봇(42)은 CM(50) 내의 2 개의 웨이퍼들을 로드 록 장치(31)로 시간 t9 내지 t10의 기간 동안 이동시킨다. 그 후, 시간 t11 내지 t12의 기간 동안, 쉬프트 장착 단계를 거침으로써, 로드 록 장치(30) 상에 배치된 2개의 웨이퍼들은 제2 로봇에 의해 CM(50)에 동시에 반송된다. 시간 t9 내지 t12는, CM(50)에서의 처리가 정지되는 동안의 기간이며, 이 기간을 짧게 하는 것은 처리량의 향상에 기여한다. 본 발명의 제1 실시 예에서는, 상술한 바와 같이, 제2 로봇이 로드 록 장치(30)의 2 개의 웨이퍼들을 동시에 CM에 반송하고, 제2 로봇은 웨이퍼들의 센터링을 수행하지 않는다. 제2 로봇의 동작이 간단하기 때문에, 시간 t9 내지 t12까지 요구된 시간을 단축할 수 있다.
시간 t13에서, CM(52) 내의 웨이퍼들에 대한 공정이 종료된다. 시간 t13 내지 t14의 기간 동안, 제2 로봇은 CM(52)의 2 매의 웨이퍼들을 로드 록 장치(31)로 반송한다. 그 후, 시간 t15 내지 t16의 기간 동안, 쉬프트 장착 단계를 거침으로써, 로드 록 장치(30) 상에 배치된 2 개의 웨이퍼들은 제2 로봇에 의해 동시에 CM(52)으로 반송된다. 제2 로봇은 단지 로드 록 장치(30)의 2개의 웨이퍼들을 CM(52)으로 동시에 반송시키며, 제2 로봇은 웨이퍼들의 센터링을 행하지 않는다. 따라서, 제2 로봇의 동작이 간단해지며, 그리하여 시간 t13 내지 t16까지의 요구되는 시간을 단축할 수 있다. 이후, 웨이퍼들은 동일한 방식으로 CM 상으로/CM으로부터 로딩 또는 언로딩된다.
여기서, 시간 t9 내지 t12의 기간 동안, 제2 로봇(42)이 CM(50)으로부터 2 개의 웨이퍼들을 언로딩하고 있는 동안, 제2 로봇(44)은 2개의 미처리 웨이퍼들을 CM(50)으로 반송함으로써, t9 내지 t12의 기간을 더 단축 할 수 있다.
이 일련의 처리들에 있어서, 컨트롤러(70)는 웨이퍼의 중심이 제1 LLS의 중심으로부터 제1 위치 쉬프트 량만큼 쉬프트된 방식으로 웨이퍼가 제1 LLS 상에 배치되도록 그리고 상기 웨이퍼의 중심이 제2 LLS의 중심으로부터 제2 위치 쉬프트 량만큼 쉬프트된 방식으로 상기 웨이퍼가 제2 LLS 상에 배치되도록 제1 로봇(14)을 제어하는 것이 중요하다. 이러한 일련의 웨이퍼 반송 시퀀스들은 컴퓨터 판독 가능 기록 매체(70C)에 프로그램을 기록함으로써 실행되며, 이것은 컴퓨터(70B)가 프로그램을 판독하고 실행하게 한다. 프로그램은 컴퓨터가 제1 위치 쉬프트 량 및 제2 위치 쉬프트 량을 확인하는 확인 단계, 웨이퍼의 중심을 검출하는 웨이퍼 중심 검출 단계, 쉬프트 장착 단계 및 장착 단계를 실행하게 하는 것이다.
기록 매체(70C)의 예는 RAM, ROM, 플래시 메모리, EPROM, EEPROM 또는 자기 디스크, 플렉시블 디스크, 광 디스크, 콤팩트 디스크, 미니 디스크 또는 DVD와 같은 비휘발성 또는 휘발성 반도체 메모리를 포함한다. 기록 매체(70C)는 비 일시적인(non-transient) 기록 매체인 것이 바람직하다.
제1 실시 예에 따른 웨이퍼 처리 장치의 중요한 특징은, LLS 상에 탑재되는 웨이퍼의 위치가 처리 스테이지가 설계로부터 쉬프트되는 위치 쉬프트에 대응하는 량만큼 쉬프트되고, 그리하여 제2 로봇을 이용하여 정렬을 수행하지 않고서, 처리 스테이지의 중심을 웨이퍼의 중심과 일치시킬 수 있다는 것이다. 이 특징이 손실되지 않는 한, 전술한 구성 및 처리 시퀀스들에 대해 다양한 수정이 이루어질 수 있다. 예를 들어, 제1 로봇(14) 및 제2 로봇(42, 44)의 구성 및 동작 방식을 변경할 수 있다. 제2 로봇(42, 44)은 복수의 웨이퍼를 동시에 반송할 수 있는 한 특별히 한정되지 않는다. 예를 들어, 하나의 제2 로봇은 3개의 웨이퍼들을 동시에 반송할 수 있다. 이 경우 하나의 CM에 3개의 처리 스테이지들이 제공된다. 본 발명의 제1 실시 예에서는 8개의 반응기들이 제공되지만, 반응기들의 수는 필요에 따라 변경될 수 있다. 얼라이너들의 수는 1 또는 3 이상일 수 있다. CM들(50, 52, 54 및 56)은 DCM들로 제한되지 않는다. 예를 들어, CM들(50, 52, 54 및 56)은 QCM들일 수 있다. 이 경우 하나의 CM에 대해 4개의 위치 쉬프트 량을 확인할 필요가 있다.
제2 로봇들(42 및 44)은 로드 록 장치(30) 또는 로드 록 장치 (31)와 하나의 CM 사이에서 왕복 운동을 수행한다. 이러한 왕복 운동 이외에, 하나의 CM에서 다른 CM으로 웨이퍼를 반송하는 동작이 또한 추가될 수 있다. 이 경우, 하나의 CM에서 2개의 웨이퍼를 다른 CM으로 이동할 때, 센터링은 하나씩 수행될 필요가 있다.
CM들(50, 52, 54, 56)에 의해 수행되는 처리는 특별히 제한되지 않는다. CM들(50, 52, 54, 56)은 플라즈마 CVD, 열 CVD, 플라즈마 ALD, 열적 ALD, 에칭 또는 UV 경화를 수행할 수 있다. CM들(50, 52, 54 및 56)은 식각기들일 수 있다. 식각기로서 CM을 사용하는 경우, 처리 스테이지의 직경을 웨이퍼의 직경보다 작게 하여 처리 스테이지를 웨이퍼로 덮을 수 있다. 이는 플라즈마가 처리 스테이지에 직접 충돌하는 것을 방지할 수 있게 한다. 이 경우 노치는 노치 또는 오리엔테이션 플랫이 제공될 것으로 예상되는 처리 스테이지의 일부에 제공되어 노치 또는 오리엔테이션 플랫에 의해 처리 스테이지가 노출되지 않도록 한다. 중앙 검출 단계에서는, 웨이퍼의 노치 또는 오리엔테이션 플랫이 검출되고, 쉬프트 장착 단계에서 노치 또는 오리엔테이션 플랫이 예정된 방향을 향하도록 웨이퍼가 제1 LLS 및 제2 LLS 상에 배치된다. 이는 처리 스테이지의 노치의 위치가 노치 또는 오리엔테이션 플랫의 위치와 일치하게 하는 것을 가능하게 한다.
이러한 변형들은 이하의 실시 예들에 따른 웨이퍼 처리 장치, 기록 매체 또는 웨이퍼 반송 방법에 적용 가능하다. 이하의 실시 예들에 따른 웨이퍼 처리 장치, 기록 매체 또는 웨이퍼 반송 방법은 제1 실시 예와 공통점이 많으므로, 제1 실시 예와의 차이점을 중심으로 설명한다.
<제2 실시예>
도 12는 제2 실시 예에 따른 웨이퍼 처리 장치를 도시하는 도면이다. 이 웨이퍼 처리 장치는 얼라이너(18)를 제거한 것 이외에는 도 1의 웨이퍼 처리 장치에 상당한다. 하나의 얼라이너를 사용하여 처리하는 경우보다 두 개의 얼라이너를 함께 사용하여 처리가 더 빨리 수행된다는 것은 말할 필요도 없다. 그러나, CM들(50, 52, 54, 56)에서의 성막과 같은 처리가 장시간을 요하는 경우에는, 로드 록 장치에 웨이퍼를 배치하거나 로드 록 장치로부터 웨이퍼를 언로딩하는 제1 로봇의 동작이 장 시간을 요할 때 조차도 전체 처리량에 영향을 미치지 않는다. 또한, 얼라이너에 의한 처리 속도를 향상시킬 필요도 없다. 이 경우 하나의 얼라이너만을 사용하여 구성을 단순화할 수 있다.
<제3 실시예>
도 13은 제3 실시 예에 따른 웨이퍼 처리 장치를 도시하는 도면이다. 로드 록 장치(30)에는 센서(80)가 부착되어 있다. EFEM(10)과 제1 LLS(32) 사이의 게이트 밸브를 따라 2개의 센서들(80)이 설치되고, EFEM(10)과 제2 LLS(34) 사이의 게이트 밸브를 따라 두개의 센서들(80)이 제공된다. 센서들(80)는 제1 로봇(14)이 웨이퍼를 EFEM(10)으로부터 로드 록 장치(30) 상으로 로딩하는 경로에 제공된다.
도 14는 센서 (80) 등등을 도시하는 도면이다. 반도체 레이저 요소(81)가 센서(80)의 하부에 위치한다. 반도체 레이저 요소(81)는 양의 z 축 방향으로 레이저 광을 방출하고, 센서(80)는 레이저 광을 수광한다. 제1 엔드 이펙터(14a)가 웨이퍼(W5)를 로드 록 장치(30)에 반송하기 위해 양의 y 방향으로 이동되면, 웨이퍼(W5)는 반도체 레이저 요소(81)로부터 방출된 레이저 광을 차단한다. 상기 레이저 광이 웨이퍼에 의해 차단된 사실은 센서(80)에 입력되는 광의 부재에 의해 검출된다. 웨이퍼(W5)의 중심 위치는 레이저 광이 웨이퍼에 의해 차단되는 타이밍으로부터 인식될 수 있다. 컨트롤러(70)는 센서(80)의 정보로부터 웨이퍼의 중심을 산출하는 중앙 연산부로서 기능한다.
이와 같이 하여, EFEM(10)으로부터 로드 록 장치에 로드된 웨이퍼의 중심을 계산할 수 있다. 도 15는 제3 실시 예에 따른 웨이퍼 처리 장치의 하드웨어 구성도이다. 센서(80) 및 반도체 레이저 요소(81)는 컨트롤러(70)에 의해 제어된다. 컨트롤러(70)는 하나의 웨이퍼의 경로에 위치된 2개의 센서들(80)의 정보를 검출한다. 컨트롤러(70)는 센서(80)에 입력된 광이 예정된 값 아래로 떨어지는 타이밍으로부터 웨이퍼의 중심을 계산한다. 웨이퍼의 중심을 계산한 후의 공정은 제1 실시 예에서 설명한 바와 같다. 컨트롤러(70)는 센서(80)를 사용하여 얻어진 웨이퍼의 중심 위치의 정보를 이용하여 제1 로봇(14)으로 하여금 웨이퍼를 반송하게 한다.
제1 및 제2 실시 예에서, 컨트롤러(70)는 얼라이너에 의해 검출된 웨이퍼의 중심을 이용하여 제1 로봇(14)이 웨이퍼를 이송하게 한다. 그러나, 제3 실시 예에서, 얼라이너 대신에 센서(80)를 사용하여 웨이퍼의 중심이 검출된다. 센서(80)를 사용하여 웨이퍼의 중심을 계산하면 얼라이너를 사용하여 웨이퍼의 중심을 계산하는 것과 비교하여 처리 속도를 높일 수 있다.
얼라이너가 없는 경우 웨이퍼의 노치 또는 오리엔테이션 플랫을 검출할 수 없다. 그러나, CM을 성막 장치로서 사용하는 경우, 노치 또는 오리엔테이션 플랫의 위치가 처리 스테이지의 예정된 위치로부터 쉬프트될 경우라도 처리 품질에 거의 영향을 미치지 않는다. 따라서, CM을 성막 장치로서 사용하는 경우, 얼라이너를 생략하고 센서(80)를 사용함으로써 처리량의 향상을 기대할 수 있다.
<제4 실시예>
도 16은 제4 실시 예에 따른 제1 처리 스테이지(50A)의 평면도이다. 제1 처리 스테이지(50A)는 플레이트 섹션(50b)과, 플레이트 섹션(50b)에 접속되어 플레이트 섹션(50b) 위의 공간을 둘러싸는 측벽 섹션(50a)를 구비하고 있다. 따라서, 웨이퍼를 수용하기 위한 오목 섹션이 제1 처리 스테이지(50A)에 형성된다. 이 오목 섹션의 내부벽에는 볼록 섹션(50p)이 형성되어 있다. 볼록 섹션(50p)은 평면에서 보아 제1 처리 스테이지(50A)의 중심으로 돌출되어 있다. 모든 처리 스테이지들은 바람직하게는 제1 처리 스테이지(50A)와 동일한 형상을 갖는다.
컨트롤러(70)는 제2 로봇들(42, 44)을 제어하고, 제1 처리 스테이지(50A) 상에 웨이퍼를 배치할 때 웨이퍼의 노치를 볼록 섹션(50p)에 대향시킨다. 보다 구체적으로, 컨트롤러(70)는 먼저 중심 검출 단계에서 웨이퍼의 노치 위치를 검출한다. 웨이퍼의 노치 위치는 얼라이너에 의해 검출될 수 있다. 그 후, 장착 단계에서, 컨트롤러(70)는 중심 검출 단계에서 검출된 웨이퍼의 노치를 볼록 섹션(50p)에 대향시킨다. 도 16은 웨이퍼(Wa)의 노치(nt)가 볼록 섹션(50p)를 향하고 있음을 나타낸다.
제1 처리 스테이지(50A)가 가열되어 웨이퍼를 가열 처리할 때, 웨이퍼는 균일하게 가열되는 것이 바람직하다. 그러나, 링 형상 측벽 섹션(50a)으로부터 노치 (nt)까지의 거리는 측벽 섹션(50a)으로부터 웨이퍼의 비 노치(non-notch) 부분까지의 거리보다 크다. 이러한 이유로, 노치 부분에서의 온도는 비 노치 부분에서의 온도보다 낮을 수 있다.
따라서, 본 발명의 제4 실시 예에서는, 볼록 섹션(50p)이 제1 처리 스테이지(50A)에 제공되고, 볼록 섹션(50p)이 노치(nt)와 마주보게 된다. 이것에 의해, 볼록 섹션(50p)으로부터 노치(nt)까지의 거리를, 측벽 섹션(50a)으로부터 웨이퍼의 비 노치 부분까지의 거리와 실질적으로 일치시킬 수 있다. 상기 쉬프트 장착 단계 및 장착 단계에서는, 웨이퍼의 중심을 처리 스테이지의 중심과 일치시킴으로써, 웨이퍼의 온도를 실질적으로 균일하게 할 수 있고, 또한 웨이퍼의 온도의 균일성은 상기 볼록 섹션(50p)을 노치(nt)에 대향시킴으로써 더욱 증가될 수 있다. 웨이퍼들이 모든 처리 스테이지들 상에 장착되는 경우, 볼록 섹션을 노치와 마주 보게 하는 것이 바람직하다.
도 17은 변형 예에 따른 제1 처리 스테이지(50A)의 평면도이다. 볼록 섹션(50q)은 링 형상의 측벽 섹션(50a)보다 제1 처리 스테이지(50A)의 중심으로 돌출하는 부분이다. 볼록 섹션(50q)은 편평한 내부벽을 제공한다. 오리엔테이션 플랫 (OFL)을 갖는 웨이퍼(Wa)는 제1 처리 스테이지(50A) 상에 배치된다. 컨트롤러(70)는 제1 처리 스테이지(50A) 상에 웨이퍼(Wa)를 배치할 때, 제2 로봇(42, 44)을 제어하여 웨이퍼의 오리엔테이션 플랫(OFL)이 볼록 섹션(50q)를 향하게 한다. 보다 구체적으로, 컨트롤러(70)는 먼저 중심 검출 단계에서 웨이퍼의 오리엔테이션 플랫 위치를 검출한다. 얼라이너에 의해 웨이퍼의 오리엔테이션 플랫 위치가 검출될 수있다. 그 후, 장착 단계에서는, 중심 검출 단계에서 검출된 웨이퍼의 오리엔테이션 플랫을 볼록 섹션(50q)에 대향시킨다. 도 17은 웨이퍼(Wa)의 오리엔테이션 플랫 (OFL)이 볼록 섹션(50q)과 대면하는 것을 도시한다.
본 실시 예들에서 기술된 웨이퍼 처리 장치, 기록 매체 및 웨이퍼 반송 방법의 특징을 조합하여 본 발명의 효과를 높일 수 있다.
명백하게, 본 발명의 많은 수정 및 변형이 상기 교시에 비추어 가능하다. 따라서, 첨부된 청구항들의 범위 내에서, 본 발명은 구체적으로 설명된 것과 다르게 실시될 수 있다는 것을 이해해야 한다.

Claims (16)

  1. 웨이퍼를 반송하는 제1 로봇;
    제1 로드 록 스테이지 및 제2 로드 록 스테이지를 포함하며, 상기 제1 로봇의 이동 범위 내에 제공된 로드 록 장치;
    제1 처리 스테이지 및 제2 처리 스테이지를 포함하는 챔버 모듈;
    상기 제1 로드 록 스테이지와 상기 제2 로드 록 스테이지 사이, 그리고 상기 제1 처리 스테이지와 상기 제2 처리 스테이지 사이에서 두 개의 웨이퍼들을 동시에 반송하는 제2 로봇; 및
    상기 웨이퍼의 중심이 상기 제1 처리 스테이지의 상기 로드 록 장치에 대응하는 위치 쉬프트인 제1 위치 쉬프트 량 만큼 상기 제1 로드 록 스테이지의 중심으로부터 쉬프트되도록 상기 제1 로드 록 스테이지 상에 상기 웨이퍼를 배치시키도록, 그리고 상기 웨이퍼의 중심이 상기 제2 처리 스테이지의 상기 로드 록 장치에 대응하는 위치 쉬프트인 제2 위치 쉬프트 량 만큼 상기 제2 로드 록 스테이지의 중심으로부터 쉬프트되도록 상기 제2 로드 록 스테이지 상에 다른 웨이퍼를 배치시키도록 상기 제1 로봇을 제어하는 컨트롤러;
    를 포함하는 웨이퍼 처리 장치.
  2. 청구항 1에 있어서,
    상기 웨이퍼의 중심을 검출하는 얼라이너를 더 포함하며,
    상기 컨트롤러는 상기 제1 로봇으로 하여금 상기 얼라이너에 의해 검출된 상기 웨이퍼의 중심을 사용하여 상기 웨이퍼를 반송하게 하는 것을 특징으로 하는 웨이퍼 처리 장치.
  3. 청구항 2에 있어서,
    상기 얼라이너를 복수 개 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  4. 청구항 2 또는 3에 있어서,
    상기 챔버 모듈은 식각기인 것을 특징으로 하는 웨이퍼 처리 장치.
  5. 청구항 1에 있어서,
    상기 로드 록 장치 상으로 로딩되는 웨이퍼를 검출하기 위해 상기 로드 록 장치에 부착된 센서; 및
    상기 센서 상의 정보로부터 상기 웨이퍼의 중심을 계산하는 중심 계산 섹션;을 더 포함하며,
    상기 컨트롤러는 상기 제1 로봇으로 하여금 상기 중심 계산 섹션에 의해 계산된 상기 웨이퍼의 중심을 사용하여 상기 웨이퍼를 반송하게 하는 것을 특징으로 하는 웨이퍼 처리 장치.
  6. 청구항 5에 있어서,
    상기 챔버 모듈은 성막 장치인 것을 특징으로 하는 웨이퍼 처리 장치.
  7. 청구항 1 또는 3에 있어서,
    상기 챔버 모듈은 DCM 또는 QCM인 것을 특징으로 하는 웨이퍼 처리 장치.
  8. 청구항 1 내지 3 중의 어느 한 항에 있어서,
    상기 제2 로봇은 각각 하나의 웨이퍼를 유지하는 제1 엔드 이펙터 및 제2 엔드 이펙터를 포함하며, 그리고
    상기 제1 엔드 이펙터는 상기 제2 엔드 이펙터의 높이와 동일한 높이를 갖는 것을 특징으로 하는 웨이퍼 처리 장치.
  9. 청구항 1 내지 3 중의 어느 한 항에 있어서,
    1mm 내지 2mm 만큼 상기 웨이퍼의 직경보다 큰 직경을 갖는 오목 섹션이 상기 제1 처리 스테이지 및 상기 제2 처리 스테이지에 형성된 것을 특징으로 하는 웨이퍼 처리 장치.
  10. 청구항 1 내지 3 중의 어느 한 항에 있어서,
    301mm 내지 302mm의 직경을 갖는 오목 섹션이 상기 제1 처리 스테이지 및 상기 제2 처리 스테이지에 형성된 것을 특징으로 하는 웨이퍼 처리 장치.
  11. 청구항 2 또는 3에 있어서,
    웨이퍼를 수용하는 오목 섹션이 상기 제1 처리 스테이지 및 제2 처리 스테이지 내에 형성되고, 볼록 섹션이 상기 오목 섹션의 내부벽에 형성되며, 그리고
    상기 컨트롤러는, 상기 제1 처리 스테이지 및 상기 제2 처리 스테이지 상에 상기 웨이퍼를 배치할 때, 상기 웨이퍼의 노치 또는 오리엔테이션 플랫으로 하여금 상기 볼록 섹션과 대면하도록 상기 제2 로봇을 제어하는 것을 특징으로 하는 웨이퍼 처리 장치.
  12. 프로그램을 기록하는 컴퓨터 판독 가능한 기록 매체로서,
    상기 프로그램은 컴퓨터로 하여금,
    제1 로드 록 스테이지 및 제2 로드 록 스테이지를 포함하는 로드 록 장치에 대하여 제1 처리 스테이지의 위치 쉬프트인 제1 위치 쉬프트 량, 및 상기 제1 처리 스테이지에 인접하여 제공된 제2 처리 스테이지의 상기 로드 록 장치에 대하여 위치 쉬프트인 제2 위치 쉬프트 량을 확인하는 확인 단계;
    상기 웨이퍼의 중심을 검출하는 웨이퍼 중심 검출 단계;
    상기 웨이퍼의 중심이 상기 제1 위치 쉬프트 량 만큼 상기 제1 로드 록 스테이지의 중심으로부터 쉬프트되도록 상기 제1 로드 록 스테이지 상에 웨이퍼를 배치하고, 그리고 상기 웨이퍼의 중심이 상기 제2 위치 쉬프트 량 만큼 상기 제2 로드 록 스테이지의 중심으로부터 쉬프트되도록 상기 제2 로드 록 스테이지 상에 다른 웨이퍼를 배치하는 쉬프트 장착 단계; 및
    상기 제2 처리 스테이지 상의 상기 제2 로드 록 스테이지 상에 상기 웨이퍼를 배치함과 동시에 상기 제1 처리 스테이지 상의 상기 제1 로드 록 스테이지 상에 상기 웨이퍼를 배치하는 장착 단계;를 실행하도록 하는,
    프로그램을 기록하는 컴퓨터 판독 가능한 기록 매체.
  13. 웨이퍼 반송 방법으로서,
    제1 로드 록 스테이지 및 제2 로드 록 스테이지를 포함하는 로드 록 장치에 대하여 제1 처리 스테이지의 위치 쉬프트인 제1 위치 쉬프트 량, 및 상기 제1 처리 스테이지에 인접하여 제공된 제2 처리 스테이지의 상기 로드 록 장치에 대하여 위치 쉬프트인 제2 위치 쉬프트 량을 확인하는 확인 단계;
    상기 웨이퍼의 중심을 검출하는 중심 검출 단계;
    상기 웨이퍼의 중심이 상기 제1 위치 쉬프트 량 만큼 상기 제1 로드 록 스테이지의 중심으로부터 쉬프트되도록 상기 제1 로드 록 스테이지 상에 웨이퍼를 배치하고, 그리고 상기 웨이퍼의 중심이 상기 제2 위치 쉬프트 량 만큼 상기 제2 로드 록 스테이지의 중심으로부터 쉬프트되도록 상기 제2 로드 록 스테이지 상에 다른 웨이퍼를 배치하는 쉬프트 장착 단계; 및
    상기 제2 처리 스테이지 상의 상기 제2 로드 록 스테이지 상에 상기 웨이퍼를 배치함과 동시에 상기 제1 처리 스테이지 상의 상기 제1 로드 록 스테이지 상에 상기 웨이퍼를 배치하는 장착 단계;를 포함하는 웨이퍼 반송 방법.
  14. 청구항 13에 있어서,
    각각 상기 제1 처리 스테이지 및 상기 제2 처리 스테이지를 포함하는 복수 개의 챔버 모듈들이 제공되며, 처리가 상기 챔버 모듈들 중의 하나에서 수행될 때 웨이퍼가 상기 챔버 모듈들 중의 다른 곳으로 또는 다른 곳으로부터 로딩되거나 언로딩되는 것을 특징으로 하는 웨이퍼 반송 방법.
  15. 청구항 13 또는 14에 있어서,
    상기 장착 단계에서, 상기 제1 처리 스테이지 상에 배치된 상기 웨이퍼의 중심이 상기 제1 처리 스테이지의 중심과 일치하고, 상기 제2 처리 스테이지 상에 배치된 상기 웨이퍼의 중심이 상기 제2 처리 스테이지의 중심과 일치하는 것을 특징으로 하는 웨이퍼 반송 방법.
  16. 청구항 13에 있어서,
    상기 중심 검출 단계에서, 상기 웨이퍼의 노치 위치 또는 오리엔테이션 플랫 위치가 검출되며, 그리고
    상기 장착 단계에서, 상기 중심 검출 단계에서 검출된 상기 웨이퍼의 상기 노치 또는 오리엔테이션 플랫이 상기 제1 처리 스테이지의 내부 벽 및 상기 제2 처리 스테이지의 내부 벽에 형성된 볼록 섹션들과 대면하게 되는 것을 특징으로 하는 웨이퍼 반송 방법.

KR1020180030547A 2017-03-17 2018-03-15 웨이퍼 처리 장치, 기록 매체 및 웨이퍼 운반 방법 KR102377417B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/461,950 2017-03-17
US15/461,950 US10290523B2 (en) 2017-03-17 2017-03-17 Wafer processing apparatus, recording medium and wafer conveying method

Publications (2)

Publication Number Publication Date
KR20180106952A true KR20180106952A (ko) 2018-10-01
KR102377417B1 KR102377417B1 (ko) 2022-03-22

Family

ID=63519590

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180030547A KR102377417B1 (ko) 2017-03-17 2018-03-15 웨이퍼 처리 장치, 기록 매체 및 웨이퍼 운반 방법

Country Status (3)

Country Link
US (1) US10290523B2 (ko)
KR (1) KR102377417B1 (ko)
TW (1) TWI733972B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020106418A1 (en) * 2018-11-19 2020-05-28 Mattson Technology, Inc. Systems and methods for workpiece processing
KR20200110122A (ko) * 2019-03-14 2020-09-23 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10403539B2 (en) * 2017-08-04 2019-09-03 Kawasaki Jukogyo Kabushiki Kaisha Robot diagnosing method
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102512865B1 (ko) * 2018-11-14 2023-03-23 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 반송 방법
US11139190B2 (en) 2019-04-23 2021-10-05 Applied Materials, Inc. Equipment front end modules including multiple aligners, assemblies, and methods
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
TWI739313B (zh) * 2020-02-19 2021-09-11 總督科技股份有限公司 晶圓載盤之卸載裝置及其卸載方法
CN113380680A (zh) * 2020-03-10 2021-09-10 上海临港凯世通半导体有限公司 硅片输运装置
US11759954B2 (en) * 2020-03-17 2023-09-19 Applied Materials, Inc. Calibration of an electronics processing system
US20210407837A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Robot apparatus and systems, and methods for transporting substrates in electronic device manufacturing
US20220005726A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Robot apparatus, systems, and methods for transporting substrates in electronic device manufacturing
CN115274515A (zh) * 2021-04-30 2022-11-01 中微半导体设备(上海)股份有限公司 基片处理系统及其工作方法
CN116364614A (zh) * 2021-12-27 2023-06-30 南昌中微半导体设备有限公司 一种晶圆传输装置、气相沉积系统及使用方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130000333A (ko) * 2011-06-22 2013-01-02 에이에스엠 저펜 가부시기가이샤 듀얼 웨이퍼 트랜스포트에서 웨이퍼 포지셔닝 방법, 듀얼 웨이퍼―처리 유니트 및 듀얼 웨이퍼-처리 장치
WO2013088547A1 (ja) * 2011-12-15 2013-06-20 タツモ株式会社 ウエハ搬送装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6577923B1 (en) * 1999-12-23 2003-06-10 Applied Materials, Inc. Apparatus and method for robotic alignment of substrates
US6430468B1 (en) * 2000-11-17 2002-08-06 Applied Materials, Inc. Method and apparatus for accurate placement of semiconductor wafers onto respective platforms within a single reaction chamber
TWI312319B (en) * 2003-08-28 2009-07-21 Toppan Forms Co Ltd Audio message transfer sheet and manufacturing method thereof, audio information output sheet and audio information component
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
TWI684229B (zh) * 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130000333A (ko) * 2011-06-22 2013-01-02 에이에스엠 저펜 가부시기가이샤 듀얼 웨이퍼 트랜스포트에서 웨이퍼 포지셔닝 방법, 듀얼 웨이퍼―처리 유니트 및 듀얼 웨이퍼-처리 장치
WO2013088547A1 (ja) * 2011-12-15 2013-06-20 タツモ株式会社 ウエハ搬送装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020106418A1 (en) * 2018-11-19 2020-05-28 Mattson Technology, Inc. Systems and methods for workpiece processing
KR20200110122A (ko) * 2019-03-14 2020-09-23 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Also Published As

Publication number Publication date
TWI733972B (zh) 2021-07-21
US10290523B2 (en) 2019-05-14
KR102377417B1 (ko) 2022-03-22
US20180269088A1 (en) 2018-09-20
TW201834955A (zh) 2018-10-01

Similar Documents

Publication Publication Date Title
KR102377417B1 (ko) 웨이퍼 처리 장치, 기록 매체 및 웨이퍼 운반 방법
US11069548B2 (en) Substrate processing method and substrate processing system
US9831110B2 (en) Vision-based wafer notch position measurement
KR102587203B1 (ko) 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US9541920B2 (en) Method for positioning a transfer unit, method for calculating positional deviation amount of an object to be processed, and method for correcting teaching data of the transfer unit
US7129147B2 (en) Delivery position aligning method for use in a transfer system and a processing system employing the method
US8696298B2 (en) Semiconductor manufacturing process modules
JP2001210698A (ja) 最適化技術を使用して基板のオフセットを決定する方法および装置
JP5592863B2 (ja) 真空処理装置および被処理体の搬送方法
KR20160115794A (ko) 기판 반송 방법 및 기판 처리 장치
JP2007123556A (ja) 真空処理方法または真空処理装置
TWI752910B (zh) 同步自動晶圓定心方法及設備
KR101901509B1 (ko) 기판 반송 방법 및 기판 처리 시스템
JP2022546251A (ja) 処理システムのアライナステーションの較正
JP2010093169A (ja) 基板搬送方法、制御プログラム及び記憶媒体
JP2009016509A (ja) 基板処理装置及び基板処理方法並びに記憶媒体
WO2017169495A1 (ja) 基板搬送方法及び基板処理システム
US20160307784A1 (en) Substrate processing system
JP2005262367A (ja) 搬送ロボットの搬送ズレ確認方法及び処理装置
KR102652598B1 (ko) 기판 반송 장치, 기판 반송 방법, 및 기판 처리 시스템
KR102462619B1 (ko) 기판 처리 장치, 기판 처리 장치의 운전 방법 및 기억 매체
KR101884632B1 (ko) 기판식각장치의 기판 식각 제어방법
US20050228542A1 (en) Auto-calibration method and device for wafer handler robots
JP2005079442A (ja) 基板搬送装置、基板の搬送方法及びプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant