KR20180054918A - 기판들을 프로세싱하기 위한 rf 펄스 반사 감소 - Google Patents

기판들을 프로세싱하기 위한 rf 펄스 반사 감소 Download PDF

Info

Publication number
KR20180054918A
KR20180054918A KR1020187013490A KR20187013490A KR20180054918A KR 20180054918 A KR20180054918 A KR 20180054918A KR 1020187013490 A KR1020187013490 A KR 1020187013490A KR 20187013490 A KR20187013490 A KR 20187013490A KR 20180054918 A KR20180054918 A KR 20180054918A
Authority
KR
South Korea
Prior art keywords
power
pulsed
waveforms
reflected power
reflected
Prior art date
Application number
KR1020187013490A
Other languages
English (en)
Inventor
카츠마사 카와사키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180054918A publication Critical patent/KR20180054918A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

프로세스 챔버들에서의 RF 펄스 반사 감소를 위한 방법들 및 시스템들이 본원에서 제공된다. 일부 실시예들에서, 방법은, (a) 제1 시간 기간 동안에 복수의 RF 생성기들로부터 복수의 펄스 RF 전력 파형들을 제공하는 단계, (b) 복수의 펄스 RF 전력 파형들 각각에 대해, 초기 반사 전력 프로파일을 결정하는 단계, (c) 복수의 펄스 RF 전력 파형들 각각에 대해, 반사 전력의 가장 높은 레벨을 결정하고, 그리고 반사 전력의 가장 높은 레벨을 감소시키기 위해, 정합 네트워크 또는 RF 생성기 중 적어도 하나를 제어하는 단계, (d) 복수의 펄스 RF 전력 파형들 각각에 대해, 조정된 반사 전력 프로파일을 결정하는 단계, 및 (e) 복수의 펄스 RF 전력 파형들에 대한 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있게 될 때까지 (c) 단계 및 (d) 단계를 반복하는 단계를 포함한다.

Description

기판들을 프로세싱하기 위한 RF 펄스 반사 감소
[0001] 본 개시내용의 실시예들은 일반적으로, 기판을 프로세싱하기 위해 사용되는 RF 전력 전달 방법들에 관한 것이다.
[0002] 다수의 반도체 디바이스들의 제작의 스테이지들 동안에 사용되는 종래의 무선 주파수(RF) 플라즈마 프로세싱에서, 연속 또는 펄스 파 모드들로 생성될 수 있는 RF 에너지가 RF 에너지 소스를 통해 기판 프로세스 챔버에 제공될 수 있다. 프로세스 챔버에서 형성되는 플라즈마와 RF 에너지 소스의 임피던스 사이의 부정합들로 인해, RF 에너지가 RF 에너지 소스로 되돌려 반사되어, Rf 에너지의 비효율적인 사용 및 에너지 낭비, 프로세스 챔버 또는 RF 에너지 소스에 대한 가능한 손상, 및 기판 프로세싱에 대한 가능한 불일치/비-반복가능성 문제들을 초래한다. 그에 따라, RF 에너지는 종종, RF 에너지 소스의 임피던스에 플라즈마의 임피던스를 더 근접하게 정합함으로써 반사되는 RF 에너지를 최소화하도록 동작하는 고정된 또는 튜닝가능한 정합 네트워크를 통해 프로세스 챔버 내의 플라즈마에 커플링된다. 정합 네트워크는, 플라즈마에 커플링되는 에너지의 양을 최대화하기 위해 RF 소스의 출력이 플라즈마에 효율적으로 커플링되는 것을 보장한다(예컨대, RF 전력 전달의 튜닝이라고 지칭됨). 따라서, 정합 네트워크는 총 임피던스(즉, 플라즈마 임피던스 + 챔버 임피던스 + 정합 네트워크 임피던스)가 RF 전력 전달의 출력 임피던스와 동일한 것을 보장한다. 일부 실시예들에서, RF 에너지 소스는 또한, 임피던스 정합을 보조하기 위해, RF 에너지 소스에 의해 제공되는 RF 에너지의 주파수를 주파수 튜닝하거나 또는 조정하는 것이 가능할 수 있다.
[0003] 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들을 사용하는 프로세스 챔버들에서, 동기화된 RF 펄싱이 전형적으로 사용된다. 다수의 개별적인 RF 전력 신호들은 변화되는 듀티 사이클로 또는 서로 이상으로 독립적으로 펄싱될 수 있다. 동기화는 트랜지스터-트랜지스터 로직(TTL) 신호들의 사용을 통해 달성될 수 있다. 하나의 마스터 생성기는 동기화를 위한 다른 슬레이브 생성기들로의 TTL 신호를 생성한다. 각각의 RF 생성기(마스터들 및 슬레이브들)는 독립적인 듀티 사이클들 및/또는 펄스 지연들로 펄스 RF 전력을 제공할 수 있다.
[0004] 그러나, 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들(예컨대, 각각의 RF 전력 신호가 하이/로우 전력 세팅들을 가짐)을 사용하는 듀얼 레벨 펄싱에서, 하나의 펄스 듀티 사이클에서의 임피던스 변화는 임피던스 튜닝을 어렵게 만든다. 즉, 정합 네트워크 및/또는 RF 생성기들은 반사 전력에 대해 적절하게 튜닝되는 것이 가능하지 않은데, 이는 반사 전력이 각각의 듀티 사이클 내에서 다수회 변화되기 때문이다.
[0005] 따라서, 본 발명자들은 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들을 사용하는 프로세스 챔버들에서의 RF 펄스 반사 감소를 위한 개선된 방법들 및 장치를 제공하였다.
[0006] 프로세스 챔버들에서 RF 펄스 반사 감소를 위해 플라즈마 강화 기판 프로세싱 시스템을 동작시키는 방법들이 본원에서 제공된다. 일부 실시예들에서, 프로세스 챔버들에서 RF 펄스 반사 감소를 위해 플라즈마 강화 기판 프로세싱 시스템을 동작시키는 방법은, (a) 제1 시간 기간 동안에 복수의 RF 생성기들로부터 프로세스 챔버로 복수의 펄스 RF 전력 파형들을 제공하는 단계, (b) 복수의 펄스 RF 전력 파형들 각각에 대해, 초기 반사 전력 프로파일을 결정하는 단계, (c) 복수의 펄스 RF 전력 파형들 각각에 대해, 제1 시간 기간 동안에 반사 전력의 가장 높은 레벨을 결정하고, 그리고 반사 전력의 가장 높은 레벨을 감소시키기 위해, 펄스 RF 전력 파형을 생성하였던 RF 생성기에 커플링된 정합 네트워크, 또는 펄스 RF 전력 파형을 생성하였던 RF 생성기 중 적어도 하나를 제어하는 단계, (d) 복수의 펄스 RF 전력 파형들 각각에 대해, 조정된 반사 전력 프로파일을 결정하는 단계, 및 (e) 복수의 펄스 RF 전력 파형들에 대한 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있게 될 때까지 (c) 단계 및 (d) 단계를 반복하는 단계를 포함한다.
[0007] 일부 실시예들에서, 실행되는 경우에, 프로세스 챔버들에서의 RF 펄스 반사 감소의 방법이 수행되게 하는 명령들이 저장된 비-일시적인 컴퓨터 판독가능 매체가 제공된다. 수행되는 방법은, (a) 제1 시간 기간 동안에 복수의 RF 생성기들로부터 프로세스 챔버로 복수의 펄스 RF 전력 파형들을 제공하는 단계, (b) 복수의 펄스 RF 전력 파형들 각각에 대해, 초기 반사 전력 프로파일을 결정하는 단계, (c) 복수의 펄스 RF 전력 파형들 각각에 대하, 제1 시간 기간 동안에 반사 전력의 가장 높은 레벨을 결정하고, 그리고 반사 전력의 가장 높은 레벨을 감소시키기 위해, 펄스 RF 전력 파형을 생성하였던 RF 생성기에 커플링된 정합 네트워크, 또는 펄스 RF 전력 파형을 생성하였던 RF 생성기 중 적어도 하나를 제어하는 단계, (d) 복수의 펄스 RF 전력 파형들 각각에 대해, 조정된 반사 전력 프로파일을 결정하는 단계, 및 (e) 복수의 펄스 RF 전력 파형들에 대한 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있게 될 때까지 (c) 단계 및 (d) 단계를 반복하는 단계를 포함할 수 있다.
[0008] 일부실시예들에서, 기판 프로세싱 시스템은, 제1 시간 기간 동안에 프로세스 챔버에 복수의 펄스 RF 전력 파형들을 제공하도록 구성된 복수의 RF 생성기들, 복수의 펄스 RF 전력 파형들에 대해 반사 전력을 측정하도록 구성된 복수의 센서들, 및 복수의 RF 생성기들 중 하나에 각각 커플링된 복수의 정합 네트워크들을 포함할 수 있으며, 복수의 정합 네트워크들 각각은, (a) 복수의 센서들 중 하나로부터의 측정들에 기초하여, 복수의 펄스 RF 전력 파형들 중 하나에 대해, 반사 전력 프로파일을 결정하고; (b) 제1 시간 기간 동안의 반사 전력 프로파일의 반사 전력의 가장 높은 레벨을 결정하고; (c) 반사 전력의 가장 높은 레벨을 감소시키고; (d) 복수의 센서들 중 하나로부터의 측정들의 제2 세트에 기초하여, 복수의 펄스 RF 전력 파형들 각각에 대해, 조정된 반사 전력 프로파일을 결정하며; 그리고 (e) 복수의 펄스 RF 전력 파형들 각각에 대한 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있게 될 때까지 (b) 및 (d)를 반복하도록 구성된다.
[0009] 본 개시내용의 다른 및 추가적인 실시예들은 아래에서 설명된다.
[0010] 앞서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 실시예들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 본 개시내용의 일부 실시예들에 따른 플라즈마 반응기를 도시한다.
[0012] 도 2a 내지 도 2c는 본 개시내용의 일부 실시예들에 따른 무선 주파수 신호들의 펄싱된 파형들을 도시한다.
[0013] 도 3a 내지 도 3d는 본 개시내용의 일부 실시예들에 따른, 펄싱된 파형들 사이의 위상 변화를 도시한다.
[0014] 도 4a 및 도 4b는 본 개시내용의 일부 실시예들에 따른, 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들을 도시한다.
[0015] 도 5a 및 도 5b는 본 개시내용의 일부 실시예들에 따른, 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들을 사용하는 프로세스 챔버들에서의 RF 펄스 반사 감소를 위한 튜닝 방법을 도시한다.
[0016] 도 6은 본 개시내용의 일부 실시예들에 따른, 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들을 사용하는 프로세스 챔버들에서의 RF 펄스 반사 감소를 위한 방법의 흐름도를 도시한다.
[0017] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 도시된 것이 아니고, 명료성을 위해 간략화될 수 있다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
[0018] 본 개시내용의 실시예들은 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들을 사용하는 프로세스 챔버들에서의 RF 펄스 반사 감소를 위한 개선된 방법들 및 장치를 제공한다. 구체적으로, 가장 높은 및 가장 낮은 반사 전력 판독들 사이의 수용가능한/원하는 범위를 정의하는 튜닝 임계 범위가 결정된다. 본 개시내용의 실시예들은 하나의 듀티 사이클에서의 가장 높은 반사 전력 포인트에 집중하고, 그 가장 높은 반사 전력 포인트를 튜닝한다. 가장 높은 반사 전력 포인트는 정합 네트워크 및/또는 RF 생성기들을 사용하여 튜닝된다. 가장 높은 반사 전력이 업데이트되고, 이어서, 결정된 튜닝 임계 범위에 대하여 총 반사 전력이 체크된다. 모든 반사 전력들이 임계 범위에 있는 경우에, 튜닝이 중단될 것이다. 그렇지 않으면, 가장 높은 반사 전력 포인트와 가장 낮은 반사 전력 포인트 사이의 차이가 특정한 임계 레벨(즉, 튜닝 임계 범위 내의 레벨)에 도달할 때까지, 튜닝 프로세스가 반복될 것이다. 본 개시내용의 실시예들은 유리하게, 일관적인 전력 조절 및 개선된 생산성, 및 더 양호한 챔버 대 챔버 매칭을 제공한다.
[0019] 도 1은 본원에서 개시되는 본 발명의 방법들을 수행하기 위해 활용될 수 있는 플라즈마 반응기를 도시한다. 본 발명의 방법들은 (예컨대, 도 1에서 예시된 바와 같은) 용량성 커플링 플라즈마 반응기, 또는 임의의 다른 적합한 플라즈마 반응기, 이를테면 유도성 커플링 플라즈마 반응기에서 수행될 수 있다. 그러나, 본 발명자들은, 이를테면 고 바이어스 전력(예컨대, 약 2000 W 또는 그 초과) 및 저 소스 전력(예컨대, 약 500 W 또는 그 미만)이 사용되는 용량성 커플링 플라즈마 반응기들에서 본 발명의 방법들이 특히 유익할 수 있다는 것을 관찰하였는데, 이는, 예컨대 유도성 커플링 플라즈마 프로세싱 챔버들에서보다, 바람직하지 않은 차징 효과(charging effect)들이 훨씬 더 심각할 수 있기 때문이다. 일부 실시예들에서, 본 발명자들은, 본 발명의 방법들이, DC 바이어스(VDC), VRF, 또는 플라즈마 시스 전압 중 적어도 하나가 약 1000 V에 있거나 또는 약 1000 V를 초과하는 구성들에 특정한 이익을 제공한다는 것을 발견하였다.
[0020] 도 1의 반응기는, 원통형 측벽(102), 플로어(103), 및 천장(104)에 의해 밀폐된 반응기 챔버(100)를 포함한다. 천장(104)은, 가스 분배 플레이트(108)를 통해 형성된 오리피스들(109)을 갖는 가스 분배 플레이트(108) 위에 놓인 가스 매니폴드(106)를 포함하는 가스 분배 샤워헤드일 수 있다. 가스 매니폴드(106)는 가스 공급 유입구(111)를 갖는 매니폴드 인클로저(110)에 의해 밀폐된다. 가스 분배 샤워헤드(즉, 천장(104))은 절연 링(112)에 의해 원통형 측벽(102)으로부터 전기적으로 절연된다. 터보분자 펌프(turbomolecular pump)와 같은 진공 펌프(114)가 챔버(100)를 진공배기시킨다. 가스 패널(120)은 가스 공급 유입구(111)로의 상이한 프로세스 가스들의 개별적인 유량들을 제어한다. 챔버의 플로어(103)를 통해 지지되는 워크피스 지지 페데스탈(136)은 절연 상단 표면 및 내부 전극(웨이퍼 지지부 전극(138))을 가질 수 있다. 예컨대, 내부 전극은 지지 페데스탈(136)의 상단 표면 상에 기판(137)을 척킹하기 위해 사용될 수 있다. 플라즈마 소스 전력이 생성기(140)로부터 임피던스 정합 네트워크(142)를 통해 천장(104)(또한, 가스 분배 샤워헤드라고 본원에서 지칭됨)에 인가된다. 천장 또는 가스 분배 샤워헤드는, 예컨대 알루미늄과 같은 전도성 재료로 형성되고, 그에 따라, 천장 전극으로서 역할을 한다. 생성기(140)는 VHF 스펙트럼의 상부 내에서, 이를테면 100 MHz 내지 200 MHz의 범위 내에서 VHF 전력을 생성할 수 있다. 생성기(140)는 원하는 펄스 레이트 및 듀티 사이클로 생성된 VHF 전력을 펄싱하는 능력을 갖는다. 이러한 목적을 위해, VHF 소스 생성기(140)는, RF 생성기(140)에 의해 생성되는 각각의 펄스의 위상뿐만 아니라 펄스 레이트 및/또는 듀티 사이클을 정의하는 제어 신호 또는 신호들을 수신하기 위한 펄스 제어 입력(140a)을 갖는다.
[0021] 플라즈마 바이어스 전력은 RF 바이어스 생성기(144)로부터 RF 임피던스 정합 네트워크(146)를 통해, 그리고 RF 바이어스 생성기(148)로부터 RF 임피던스 정합 네트워크(149)를 통해 웨이퍼 지지부 전극(138)에 인가된다. RF 바이어스 생성기들(144, 148)은, HF 스펙트럼의 하부 내에서, 또는 MF 또는 LF 스펙트럼 내에서, 이를테면 13.56 MHz 또는 대략 1 내지 2 MHz의 범위 내에서 HF 또는 LF 전력을 생성할 수 있다. RF 바이어스 생성기들(144, 148)은 원하는 펄스 레이트 및 듀티 사이클로 생성되는 RF 바이어스 전력을 펄싱하는 능력을 갖는다. 이러한 목적을 위해, RF 바이어스 생성기들(144, 148)은, RF 생성기들(144, 148)에 의해 생성되는 각각의 펄스의 위상뿐만 아니라 펄스 레이트 및/또는 듀티 사이클을 정의하는 제어 신호 또는 신호들을 수신하기 위한 펄스 제어 입력들(144a, 148a)을 갖는다. RF 바이어스 생성기들(144, 148)은 독립적으로 펄싱, 페이징, 및/또는 듀티 사이클 제어될 수 있다. 추가로, RF 바이어스 생성기들(144, 148)은 동기적으로 또는 비동기적으로 펄싱될 수 있다.
[0022] 선택적으로, 플라즈마 소스 전력은 제2 VHF 생성기로부터 VHF 임피던스 정합(미도시)을 통해 웨이퍼 지지부 전극(138)에 인가될 수 있다. 제2 VHF 생성기는 VHF 스펙트럼의 하부 내에서, 이를테면 50 MHz 내지 100 MHz의 범위 내에서 VHF 전력을 생성할 수 있다. 제2 VHF 생성기는 원하는 펄스 레이트 및 듀티 사이클로 생성된 VHF 전력을 펄싱하는 능력을 갖는다. 이러한 목적을 위해, 제2 VHF 소스 생성기는, 제2 VHF 생성기에 의해 생성되는 각각의 펄스의 위상뿐만 아니라 펄스 레이트 및/또는 듀티 사이클을 정의하는 제어 신호 또는 신호들을 수신하기 위한 펄스 제어 입력을 갖는다. 예컨대, 일부 실시예들에서, RF 바이어스 생성기들(144, 148) 중 하나의 RF 바이어스 생성기, 및 그 하나의 RF 바이어스 생성기의 컴포넌트들(예컨대, 정합, 펄스 제어 입력들 등)은 제2 VHF 생성기 및 그 제2 VHF 생성기의 컴포넌트들로 대체될 수 있다. 대안적으로, 제2 VHF 생성기 및 그 제2 VHF 생성기의 컴포넌트들은 제1 RF 생성기(140) 및 바이어스 생성기들(144, 148) 및 이들의 각각의 컴포넌트들에 부가하여 포함될 수 있다.
[0023] 일부 실시예들에서, 정합 네트워크(142, 146, 및 149)는 하나 또는 그 초과의 캐패시터들 및/또는 인덕터에 의해 형성될 수 있다. 캐패시터의 값은 정합 네트워크들(142, 146, 및 149) 각각의 정합을 조정하기 위해 전자적으로 또는 기계적으로 튜닝될 수 있다. 더 낮은 전력 시스템들에서, 하나 또는 그 초과의 캐패시터들은 기계적으로 튜닝되는 것이 아니라 전자적으로 튜닝될 수 있다. 일부 실시예들에서, 정합 네트워크들(142, 146, 및 149)은 튜닝가능한 인덕터를 가질 수 있다. 일부 실시예들에서, 정합 네트워크들(142, 146, 및 149)에서 사용되는 캐패시터들 중 하나 또는 그 초과는 하나 또는 그 초과의 고정된 캐패시터들 또는 직렬 캐패시터들일 수 있다. 다른 실시예들에서, 정합 네트워크들(142, 146, 및 149)에서 사용되는 캐패시터들 중 하나 또는 그 초과는 정합 네트워크들(142, 146, 및 149)의 정합을 조정하기 위해 전자적으로 또는 기계적으로 튜닝될 수 있는 가변 캐패시터일 수 있다. 일부 실시예들에서, 정합 네트워크들(142, 146, 및 149) 중 하나 또는 그 초과는 접지에 대한 용량성 션트를 가질 수 있다. 위에서 설명된 정합 네트워크들은 예시적인 것일 뿐이고, 정합 네트워크를 튜닝하기 위한 하나 또는 그 초과의 조정가능한 엘리먼트들을 갖는 정합 네트워크들의 다른 다양한 구성들이 본원에서 제공되는 교시들에 따라 활용 및 튜닝될 수 있다.
[0024] 펄스 제어기(160)는, 생성기(140)(예컨대, VHF 소스 전력 생성기) 및 RF 바이어스 전력 생성기들(144, 148)의 펄스들 사이의 원하는 위상 선행 또는 지연 관계 및/또는 듀티 사이클 관계를 생성하기 위해, 생성기들(140, 144, 148)의 펄스 제어 입력들(140a, 144a, 148a) 각각에 펄스 제어 신호들을 인가하도록 프로그래밍 가능하다. 도 1에서 별개의 컴포넌트로서 도시되어 있지만, 일부 실시예들에서, 펄스 제어기(160)는 각각의 RF 생성기 내에 내부 배치될 수 있다. 동기화 신호들이 마스터 생성기(예컨대, 생성기(140))에서 생성될 것이고, 다른 슬레이브 생성기들(예컨대, 생성기들(144 및/또는 148))에 전송될 것이다.
[0025] 일부 실시예들에서, RF 생성기들(140, 144, 및 148), 정합 네트워크들(142, 146, 및 149), 및/또는 펄스 제어기(160)는 중앙 프로세싱 유닛(CPU), 복수의 지원 회로들, 및 메모리를 포함한다. RF 생성기들(140, 144, 및 148), 정합 네트워크들(142, 146, 및 149), 및 펄스 제어기(160)의 본 예시적인 실시예들이 CPU, 지원 회로들, 및 메모리에 대하여 논의되지만, RF 생성기들(140, 144, 및 148), 정합 네트워크들(142, 146, 및 149), 및 펄스 제어기(160)가 ASIC(application specific interface circuit), FPGA(field-programmable gate array), SOC(system-on-a-chip) 등을 포함하는 다양한 방식들로 구현될 수 있다는 것을 당업자는 인식할 것이다. 본 기술분야에서 알려져 있는 바와 같은 대응하는 입력/출력 인터페이스들을 갖는 펄스 제어기(160)의 다양한 실시예들이 또한, 다른 프로세스 툴 제어기들 내에 통합될 수 있다.
[0026] 지원 회로들은 CPU의 기능을 지원하기 위한 다른 회로들뿐만 아니라 디스플레이 디바이스를 포함할 수 있다. 그러한 회로들은 클록 회로들, 캐시, 전력 공급부들, 네트워크 카드들, 비디오 회로들 등을 포함할 수 있다.
[0027] 메모리는 판독 전용 메모리, 랜덤 액세스 메모리, 제거가능한 메모리, 디스크 드라이브들, 광학 드라이브들, 및/또는 다른 형태들의 디지털 저장소를 포함할 수 있다. 메모리는 운영 시스템 및 서브-팹(sub-fab) 제어 모듈을 저장하도록 구성된다. 운영 시스템은, 본원에서 논의되는 방법들(예컨대, 아래에서 논의되는 방법(600))을 수행하기 위하여, 하나 또는 그 초과의 생성기들(140, 144, 및 148) 또는 정합 네트워크들(142, 146, 및 149)을 제어하기 위해, 다양한 프로세스들, 애플리케이션들, 및 모듈들의 실행을 가능하게 하는 것을 포함하여, RF 생성기들(140, 144, 및 148), 정합 네트워크들(142, 146, 및 149), 및 펄스 제어기(160)의 일반적인 동작을 제어하도록 실행한다.
[0028] 추가로, DC 생성기(162)가 웨이퍼 지지부 전극(138) 및 천장(104) 중 어느 하나(또는 둘 모두)에 커플링될 수 있다. 일부 실시예들에서, DC 생성기(162)는 연속적인 및/또는 가변적인 DC를 공급할 수 있다. 일부 실시예들에서, DC 생성기(162)는 펄싱된 DC 전력을 제공할 수 있다. DC 생성기의 펄스 반복 레이트, 위상, 및 듀티 사이클은 펄스 제어기(160)에 의해 제어된다. DC 격리 캐패시터(164, 166)가 DC 생성기(162)로부터 각각의 RF 생성기를 격리시키기 위해 제공될 수 있다. DC 생성기에 의해 생성되는 DC 신호는, 플라즈마 반응기에서 형성된 플라즈마를 사용한 기판의 개선된 에칭 레이트 제어 또는 기판(137) 상의 감소된 차지-업(charge-up)과 같은 이익들을 제공하기 위해, 생성기들(140, 144, 및 148)에 의해 생성되는 RF 신호들과 동기화될 수 있다.
[0029] 도 2a는 생성기들(140, 144, 148) 각각의 펄싱된 RF 출력을 반영할 수 있는 시간 도메인 파형도를 도시하고, 그 시간 도메인 파형도는 각각의 생성기(140, 144, 148)에 대해 개별적으로 펄스 제어기(160)에 의해 제어되는 다음의 파라미터들에 의해 특성화되는 펄싱된 RF 출력의 펄스 포락선을 나타낸다: 펄스 지속기간(tP), 펄스 “온(on)” 시간(tON), 펄스 “오프(off)” 시간(tOFF), 펄스 주파수(1/tP), 및 펄스 듀티 사이클((tON/tP)·100 퍼센트). 펄스 지속기간(tP)는 tON과 tOFF의 합이다.
[0030] 도 2b 및 도 2c는, 2개의 RF 펄싱된 신호들이 동일한 위상 및 듀티 사이클을 갖고 그에 따라 2개의 RF 펄싱된 신호들 사이에 제로의 위상 차이를 갖도록 하는 방식으로 함께 동기화된 2개의 RF 펄싱된 신호들의 동시 발생 시간 도메인 파형들을 도시한다. 도 2b 및 도 2c에서 도시된 예시적인 실시예는 제1 펄싱된 RF 신호(예컨대, 펄싱된 소스 신호)와 제2 펄싱된 RF 신호(예컨대, 펄싱된 바이어스 신호) 사이의 동기화의 하나의 예시적인 형태이다. 이러한 예시적인 실시예에서, 각각의 펄싱된 신호의 위상 및 듀티 사이클 둘 모두는 동일하다.
[0031] 본 개시내용의 일부 실시예들에서, 생성기들(140, 144, 및 148)에 의해 제공되는 펄싱된 신호들은 위상이 변화된다. 도 3a 내지 도 3d는 펄스 제어기(160)에 의해 위상 차이가 어떻게 변화될 수 있는지를 예시하고, 0°, 90°, 180°, 및 270°의 위상 차이들 각각에서의 소스 및 바이어스 전력 파형들의 중첩을 도시하며, 위상 차이는 제2 펄스 출력이 제1 펄스 출력보다 얼마나 많이 지연되는지에 의해 정의된다. 도 3a는 도 2b의 제로 위상 차이의 예에 대응한다. 도 3b는 바이어스 전력 펄스 출력이 90°만큼 소스 전력 펄스 출력보다 지연되는 경우를 도시한다. 도 3c는 바이어스 전력 펄스 출력이 180 도만큼 소스 전력 펄스 출력보다 지연되는 경우를 도시한다. 도 3d는 바이어스 전력 펄스 출력이 270°만큼 소스 전력 펄스 출력보다 지연되는 경우를 도시한다. 도 3a 내지 도 3b가 변화되는 위상을 갖는 2개의 펄싱된 RF 신호들만을 도시하고 있지만, 본 개시내용과 일치하는 실시예들은 또한, 변화되는 위상들을 갖는 3개 또는 그 초과의 펄싱된 RF 신호들을 포함할 수 있다.
[0032] 일부 실시예들에서, RF 포락선들의 위상 선행 또는 지연을 제어함으로써 플라즈마를 펄싱하면서 에칭 레이트들이 향상될 수 있다. 소스 및 바이어스가 듀티 사이클이 변화되면서 또는 이상으로 독립적으로 펄싱되는 경우에, VHF(very high frequency) 및 Lf(low frequency)의 상이한 플라즈마 역학이 전체 펄스에 걸쳐 더 양호한 플라즈마 필(plasma fill)을 가능하게 한다. 일부 실시예들에서, 약 13.56 MHz의 바이어스 주파수 및 약 2 MHz의 다른 바이어스 주파수와 함께 약 162 MHz 소스 주파수의 VHF의 조합이 사용된다. 일부 실시예들에서, 약 60 MHz의 바이어스 주파수 및 약 2 MHz의 다른 바이어스 주파수와 함께 약 162 MHz 소스 주파수의 VHF의 조합이 사용된다. 일부 실시예들에서, 약 2 MHz 및/또는 약 13.56 MHz의 바이어스 주파수들과 함께 약 60 MHz의 소스 주파수가 사용된다.
[0033] 도 4a는 본 개시내용의 일부 실시예들에 따른, 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들을 도시한다. 도 4a에서, 3개의 개별적인 RF 전력 파형들, 즉 제1 RF 전력 파형(402), 제2 RF 전력 파형(404), 및 제3 RF 전력 파형(406)이 도시된다. 본 개시내용의 실시예들에 따르면, 3개의 개별적인 RF 전력 파형들(402, 404, 및 406) 각각은 독립적으로 그리고 서로 이상으로 또는 다양한 듀티 사이클로 다수의 전력 레벨들로 펄싱될 수 있다. RF 전력 파형들(402, 404, 및 406)은 소스 및 바이어스 RF 생성기들(140, 144, 및 148)에 의해 각각 제공될 수 있다. 3개의 개별적인 RF 전력 파형들(402, 404, 및 406)은 서로 동기적으로 펄싱될 수 있다. 일부 실시예들에서, 3개의 개별적인 RF 전력 파형들(402, 404, 및 406)은 비동기적으로 펄싱될 수 있다.
[0034] 일부 실시예들에서, 제1 RF 전력 파형의 주파수는 약 2 MHz 내지 약 162 MHz일 수 있다. 일부 실시예들에서, 제1 펄스 지속기간의 제1 전력 레벨은 약 200 와트 내지 약 5.0 KW(예컨대, 3.6 KW)일 수 있고, 제2 전력 레벨의 값은 제1 전력 레벨의 약 0 % 내지 100 %일 수 있다. 다른 실시예들에서, 제2 전력 레벨은 제1 전력 레벨보다 더 클 수 있다.
[0035] 도 4a에서, 제1 RF 전력 파형(402)은 시간(t0)에서 도입될 수 있고, 제1 전력 레벨의 제1 전력 펄스(410) 및 제2 전력 레벨의 제2 전력 펄스(412)를 포함할 수 있고, 그 제1 전력 펄스(410) 및 제2 전력 펄스(412)는 2개의 대응하는 RF 전력 기간들(tHIGH1 및 tLOW1) 동안에 인가된다. 도 4a에서 예시된 바와 같이, 제1 RF 전력 펄스(410)는 제2 RF 전력 펄스(412)에 선행할 수 있다. 원하는 경우에, 부가적인 RF 전력 펄스들이 그 순서로 또는 상이한 순서로 제공될 수 있다. 도 4a에서 도시된 바와 같이, 제1 RF 전력 펄스(410)는 하이 전력 레벨로 제공될 수 있고, 제2 RF 전력 펄스(412)는 제1 RF 전력 펄스(410)의 제1 전력 레벨보다 더 낮은 로우 전력 레벨로 제공될 수 있다. 부가적인 단계들(즉, 부가적인 RF 전력 펄스들) 및 전력 레벨들이 적절하게 사용될 수 있다. 일부 실시예들에서, 각각의 RF 전력 펄스(410 및 412)가 인가되는 시간 기간들(tHIGH1 및 tLOW1) 각각은 서로 상이하다. 다른 실시예들에서, 각각의 RF 전력 펄스(410 및 412)가 인가되는 시간 기간들(tHIGH1 및 tLOW1)은 서로 동등할 수 있다. 일부 실시예들에서, 제1 RF 파형(402)은 약 2 MHz 내지 약 162 MHz의 주파수로 제공될 수 있다. 다른 실시예들에서, 위에서 설명된 바와 같은 다른 주파수들이 사용될 수 있다.
[0036] 제2 RF 전력 파형(404)이 또한, 시간(t0)에서 또는 지연 기간(미도시) 후에 도입될 수 있다. 제2 RF 전력 파형(404)은 제1 전력 레벨의 제1 전력 펄스(420) 및 제2 전력 레벨의 제2 전력 펄스(422)를 포함할 수 있고, 그 제1 전력 펄스(420) 및 제2 전력 펄스(422)는 2개의 대응하는 RF 전력 기간들(tHIGH2 및 tLOW2) 동안에 인가된다. 도 4a에서 예시된 바와 같이, 제1 RF 전력 펄스(420)는 제2 RF 전력 펄스(422)에 선행할 수 있다. 원하는 경우에, 부가적인 RF 전력 펄스들이 그 순서로 또는 상이한 순서로 제공될 수 있다. 도 4a에서 도시된 바와 같이, 제1 RF 전력 펄스(420)는 하이 전력 레벨로 제공될 수 있고, 제2 RF 전력 펄스(422)는 제로 전력 레벨, 또는 제1 RF 전력 펄스(420)의 제1 전력 레벨보다 더 낮은 로우 전력 레벨로 제공될 수 있다. 부가적인 단계들(즉, 부가적인 RF 전력 펄스들) 및 전력 레벨들이 적절하게 사용될 수 있다. 일부 실시예들에서, 각각의 RF 전력 펄스(420 및 422)가 인가되는 시간 기간들(tHIGH2 및 tLOW2) 각각은 서로 상이하다. 다른 실시예들에서, 각각의 RF 전력 펄스(420 및 422)가 인가되는 시간 기간들(tHIGH2 및 tLOW2)은 서로 동등할 수 있다. 일부 실시예들에서, 제2 RF 파형(404)은 약 2 MHz 내지 약 162 MHz의 주파수로 제공될 수 있다. 다른 실시예들에서, 위에서 설명된 바와 같은 다른 주파수들이 사용될 수 있다.
[0037] 제3 RF 파형(406)은 지연(434) 후에 도입될 수 있다. 일부 실시예들에서, 제1 지연 기간은 10 μs 내지 1 ms일 수 있다. 일부 실시예들에서, 지연은 1 ms보다 더 클 수 있다. 제1 및 제2 RF 파형들(402, 404)과 유사하게, 제3 RF 전력 파형(406)은 제1 전력 레벨의 제1 전력 펄스(430) 및 제2 전력 레벨의 제2 전력 펄스(432)를 포함할 수 있고, 그 제1 전력 펄스(430) 및 제2 전력 펄스(432)는 2개의 대응하는 RF 전력 기간들(tHIGH3 및 tLOW3) 동안에 인가된다. 도 4a에서 예시된 바와 같이, 제1 RF 전력 펄스(430)는 제2 RF 전력 펄스(432)에 선행할 수 있다. 원하는 경우에, 부가적인 RF 전력 펄스들이 그 순서로 또는 상이한 순서로 제공될 수 있다. 도 4a에서 도시된 바와 같이, 제1 RF 전력 펄스(430)는 하이 전력 레벨로 제공될 수 있고, 제2 RF 전력 펄스(432)는 제로 전력 레벨, 또는 제1 RF 전력 펄스(430)의 제1 전력 레벨보다 더 낮은 로우 전력 레벨로 제공될 수 있다. 부가적인 단계들(즉, 부가적인 RF 전력 펄스들) 및 전력 레벨들이 적절하게 사용될 수 있다. 일부 실시예들에서, 각각의 RF 전력 펄스(430 및 432)가 인가되는 시간 기간들(tHIGH2 및 tLOW2) 각각은 서로 상이하다. 다른 실시예들에서, 각각의 RF 전력 펄스(430 및 432)가 인가되는 시간 기간들(tHIGH3 및 tLOW3)은 서로 동등할 수 있다. 일부 실시예들에서, 제2 RF 파형(406)은 약 2 MHz 내지 약 162 MHz의 주파수로 제공될 수 있다. 다른 실시예들에서, 위에서 설명된 바와 같은 다른 주파수들이 사용될 수 있다.
[0038] 도 4a는 추가로, 3개의 RF 전력 파형들(402, 404, 및 406)의 듀티 사이클들이 동기화된 것을 도시한다. 즉, 3개의 RF 전력 파형들(402, 404, 및 406) 각각은 동등한 시간 기간들 tp1(440), tp2(442), tp3(444), 및 tp4(446)에 걸쳐 동일한 전력 패턴들을 갖는다.
[0039] 도 4b는 도 4a에서 도시된 단일 시간 기간 tp1(440)(즉, 듀티 사이클) 동안의 3개의 RF 전력 파형들(402, 404, 및 406)의 추가적인 세부사항들을 도시한다. 구체적으로, 도 4b는 8개의 상이한 기간들/단계들(450)을 도시하고, 그 8개의 상이한 기간들/단계들(450)에서, 각각의 단계에서의 3개의 RF 전력 파형들(402, 404, 및 406)에 의해 생성된 반사 전력, 그리고 그에 따라, 각각의 단계에서 생성된 임피던스는 서로 상이하다.
[0040] 도 5a는 8개의 기간들/단계들(450) 각각 동안의, 502에서의 제1 RF 전력 파형들(402), 504에서의 제2 RF 전력 파형들(404), 및 506에서의 제3 RF 전력 파형들(406) 각각의 초기 반사 전력 프로파일(500)을 도시한다. 일부 실시예들에서, 복수의 펄스 RF 전력 파형들(402, 404, 및 406) 각각에 대한 반사 전력 프로파일들(502, 504, 및 506)은 임의의 주어진 시간에서 프로세스 챔버에 제공된 모든 펄스 RF 전력 파형들(402, 404, 및 406)에 의해 영향을 받는다. 일부 실시예들에서, 반사 전력은, RF 생성기들(140, 144, 및 148)에 통신가능하게 커플링된 하나 또는 그 초과의 센서들을 통한 측정을 통해, 또는 RF 생성기들(140, 144, 및 148) 중 하나 또는 그 초과에 의한 반사 전력의 검출에 의해 결정될 수 있다.
[0041] 초기 반사 전력들(500)은, 일련의 단계들을 통해, 튜닝 임계 범위(510)를 만족시키는 반사 전력들의 최종 감소된 세트(530)(즉, 튜닝 타겟 반사 값들)로 감소된다. 튜닝 임계 범위(510)는 RF 전력 파형에 대한 가장 높은 반사 전력과 RF 전력 파형에 대한 가장 낮은 반사 전력 사이의 가장 큰 수용가능한 차이를 정의한다. 일부 실시예들에서, 튜닝 임계 범위(510)는 미리 정의된 값이다. 다른 실시예들에서, 튜닝 임계 범위(510)는 계산된 또는 평균 값이다. 도 5a에서 도시된 바와 같은 일부 실시예들에서, 모든 3개의 반사 전력 프로파일들(502, 504, 및 506)에 대해 동일한 튜닝 임계 범위(510)가 사용된다. 도 5a에서 도시된 바와 같이, 튜닝 임계 범위(510)가 동일할 수 있지만, 각각의 RF 전력 프로파일에 대해 범위가 사용되는 레벨들은 상이할 수 있다. 일부 실시예들에서, 상이한 튜닝 임계 범위들(510)이 3개의 반사 전력 프로파일들(502, 504, 및 506)에 인가될 수 있다. 전형적으로, 튜닝 임계 범위(510)는 제로가 될 수 없는데(즉, 반사 전력을 제로로 감소시키기 위한 시도), 이는 튜닝 파라미터들이 2개 내지 3개의 자유도들을 갖기 때문이다. 즉, 일부 실시예들에서, 반사 전력은 제로가 아닌데, 이는, 정합 네트워크들(142, 146, 및 149) 각각이 2-리액턴스 또는 3-리액턴스 구성으로 이루어질 수 있고, 모든 8개의 반사 전력/임피던스 기간들/단계들(420)을 보상하도록 조정되는 것이 가능하지 않기 때문이다.
[0042] 도 5b는 본 개시내용의 일부 실시예들에 따른, 다수의 전력 레벨들로 펄싱되는 다수의 개별적인 RF 전력 신호들을 사용하는 프로세스 챔버들에서의 RF 펄스 반사 감소를 위한 튜닝 방법을 도시한다. 도 5b는 본 개시내용의 일부 실시예들에 따른, 다수의 개별적인 RF 전력을 사용하는 프로세스 챔버들에서의 RF 펄스 반사 감소를 위한 방법(600)의 흐름도를 도시하는 도 6에 대하여 논의된다. 방법(600)은, 예컨대, 도 1의 위에서 논의된 플라즈마 반응기에서 수행될 수 있다. 방법(600)은, 602에서, 제1 시간 기간 동안에 복수의 RF 생성기들로부터 프로세스 챔버로 복수의 펄스 RF 전력 파형들을 제공하는 것에 의해 시작된다. 일부 실시예들에서, 3개 또는 그 초과의 펄스 RF 전력 파형들이 3개의 개별적인 RF 생성기들에 의해 프로세스 챔버에 제공된다. 일부 실시예들에서, 복수의 파형들 중 제1 펄스 RF 전력 파형은, 예컨대, 생성기(140)에 의해 제공되는 순방향 전력과 같은 RF 소스 신호이다. 제1 펄스 RF 전력 파형은 약 60 MHz 내지 약 162 MHz의 VHF 주파수로 제공될 수 있다. 일부 실시예들에서, 제1 펄스 RF 전력 파형의 VHF 주파수는 약 162 MHz이다. 일부 실시예들에서, 제1 펄스 RF 전력 파형의 VHF 주파수는 약 60 MHz이다. 일부 실시예들에서, 제1 펄스 RF 전력 파형의 제1 전력 레벨은 약 200 와트 내지 약 5.0 KW(예컨대, 3.6 KW)일 수 있다. 일부 실시예들에서, 복수의 파형들 중 제2 펄스 RF 전력 파형은, 예컨대, 생성기(144 또는 148)에 의해 제공되는 순방향 전력과 같은 RF 바이어스 신호이다. 일부 실시예들에서, 복수의 펄스 RF 전력 파형들 중 제2 펄스 RF 전력 파형은, 예컨대, 생성기(144 또는 148)에 의해 제공되는 바이어스 전력과 같은 바이어스 RF 전력 신호이다. 제2 펄스 RF 전력 파형은 약 2 MHz 내지 약 162 MHz의 주파수로 제공될 수 있다. 일부 실시예들에서, 제2 펄스 RF 전력 파형의 주파수는 약 60 MHz이다. 일부 실시예들에서, 제2 RF 소스 신호의 제1 펄스 지속기간의 제1 전력 레벨은 약 200 와트 내지 약 5.0 KW(예컨대, 3.6 KW)일 수 있다. 일부 실시예들에서, 제2 펄스 RF 전력 파형은 제1 펄스 RF 전력 파형과 동기화될 수 있다. 유사하게, 복수의 파형들 중 제3 펄스 RF 전력 파형이 또한, 예컨대, 생성기(144 또는 148)에 의해 제공되는 순방향 전력과 같은 RF 바이어스 신호일 수 있다.
[0043] 604에서, 복수의 펄스 RF 전력 파형들 각각에 대한 초기 반사 전력 프로파일(500)(예컨대, 도 5a에서의 502, 504, 및 506)이 결정된다. 일부 실시예들에서, 초기 반사 전력 프로파일은, RF 생성기들(140, 144, 및 148)에 통신가능하게 커플링된 하나 또는 그 초과의 센서들을 통한 측정을 통해, 또는 RF 생성기들(140, 144, 및 148) 중 하나 또는 그 초과에 의한 반사 전력의 검출에 의해 획득될 수 있다. 일부 실시예들에서, 초기 반사 전력 프로파일은 사용되고 있는 순방향 전력에 기초하여 추정 또는 계산을 통해 획득될 수 있다. 일부 실시예들에서, 각각의 초기 반사 전력 프로파일은 제1 시간 기간 동안의 반사 전력의 복수의 상이한 레벨들을 포함한다. 복수의 펄스 RF 전력 파형들 각각에 대한 반사 전력 프로파일들은 임의의 주어진 시간에서 프로세스 챔버에 제공된 모든 펄스 RF 전력 파형들에 의해 영향을 받을 수 있다. 예컨대, 도 5b는 펄스 RF 전력 파형들(402)에 대한 초기 반사 전력 프로파일(500)을 도시한다.
[0044] 606에서, 복수의 펄스 RF 전력 파형들 각각에 대해 프로세스가 실행된다. 구체적으로, 608에서, 복수의 펄스 RF 전력 파형들 각각에 대해, 제1 시간 기간 동안의 반사 전력(예컨대, 도 5b에서의 반사 전력(552))의 가장 높은 레벨이 결정된다. 610에서, 반사 전력(552)의 가장 높은 레벨을 감소시키기 위해, 펄스 RF 전력 파형을 생성하였던 RF 생성기에 커플링된 정합 네트워크, 또는 펄스 RF 전력 파형을 생성하였던 RF 생성기 중 적어도 하나가 제어된다. 일부 실시예들에서, 정합 네트워크는 가변 캐패시터를 포함하고, 가변 캐패시터는 반사 전력(552)의 가장 높은 레벨을 감소시키기 위해 전자적으로 또는 기계적으로 튜닝된다. 다른 실시예들에서, 펄스 RF 전력 파형을 생성하였던 RF 생성기는 반사 전력(552)의 가장 높은 레벨을 감소시키기 위해 펄스 RF 전력 파형의 주파수를 조정하도록 제어된다.
[0045] 612에서, 복수의 펄스 RF 전력 파형들 각각에 대한 조정된 반사 전력 프로파일(예컨대, 펄스 RF 전력 파형(402)에 대한 조정된 반사 전력 프로파일(520))이 결정된다. 614에서, 이어서, 복수의 펄스 RF 전력 파형들 각각에 대한 조정된 반사 전력 프로파일은 임계 튜닝 범위(510)에 대하여 비교된다. 일부 실시예들에서, 임계 튜닝 범위(510)는 RF 전력 파형에 대한 가장 높은 반사 전력과 RF 전력 파형에 대한 가장 낮은 반사 전력 사이의 범위이다. 614에서, 복수의 펄스 RF 전력 파형들 각각에 대한 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있지 않은 것으로 결정되는 경우에, 방법(600)은 606으로 리턴하고, 그리고 복수의 펄스 RF 전력 파형들 각각에 대한 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있게 될 때까지 그 포인트로부터 반복된다. 예컨대, 도 5b에서 도시된 예에서, 조정된 반사 전력 프로파일이 임계 튜닝 범위(510) 내에 있지 않기 때문에, 608에서, 조정된 반사 전력 프로파일(520)의 새로운 가장 높은 반사 전력(562)이 결정된다. 610에서, 반사 전력(462)의 가장 높은 레벨을 감소시키기 위해, 펄스 RF 전력 파형을 생성하였던 RF 생성기에 커플링된 정합 네트워크, 또는 펄스 RF 전력 파형을 생성하였던 RF 생성기 중 적어도 하나가 제어된다. 612에서, 복수의 펄스 RF 전력 파형들 각각에 대한 새로운 조정된 반사 전력 프로파일(예컨대, 펄스 RF 전력 파형(402)에 대한 조정된 반사 전력 프로파일(530))이 결정된다. 614에서, 이어서, 복수의 펄스 RF 전력 파형들 각각에 대한 새로운 조정된 반사 전력 프로파일은 임계 튜닝 범위(510)에 대하여 비교된다. 도 5b에서 도시된 예에서, 가장 높은 반사 전력(572)과 가장 낮은 반사 전력 프로파일 사이의 차이는 임계 튜닝 범위(510) 내에 있다.
[0046] 614에서, 복수의 펄스 RF 전력 파형들 각각에 대한 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있는 것으로 결정되는 경우에, 방법(600)은 616으로 진행되고, 중단된다.
[0047] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있다.

Claims (15)

  1. 방법으로서,
    (a) 제1 시간 기간 동안에 복수의 RF 생성기들로부터 프로세스 챔버로 복수의 펄스 RF 전력 파형들을 제공하는 단계;
    (b) 상기 복수의 펄스 RF 전력 파형들 각각에 대해, 초기 반사 전력 프로파일을 결정하는 단계;
    (c) 상기 복수의 펄스 RF 전력 파형들 각각에 대해,
    상기 제1 시간 기간 동안의 반사 전력의 가장 높은 레벨을 결정하고, 그리고
    반사 전력의 가장 높은 레벨을 감소시키기 위해, 상기 펄스 RF 전력 파형을 생성하였던 RF 생성기에 커플링된 정합 네트워크, 또는 상기 펄스 RF 전력 파형을 생성하였던 RF 생성기 중 적어도 하나를 제어하는 단계;
    (d) 상기 복수의 펄스 RF 전력 파형들 각각에 대해, 조정된 반사 전력 프로파일을 결정하는 단계;
    (e) 상기 복수의 펄스 RF 전력 파형들 각각에 대한 상기 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있게 될 때까지 상기 (c) 단계 및 상기 (d) 단계를 반복하는 단계
    를 포함하는,
    방법.
  2. 제1 항에 있어서,
    각각의 초기 반사 전력 프로파일은 상기 제1 시간 기간 동안의 반사 전력의 복수의 상이한 레벨들을 포함하는,
    방법.
  3. 제1 항에 있어서,
    상기 복수의 펄스 RF 전력 파형들 각각에 대한 반사 전력 프로파일들은 임의의 주어진 시간에서 상기 프로세스 챔버에 제공되는 모든 펄스 RF 전력 파형들에 의해 영향을 받는,
    방법.
  4. 제1 항에 있어서,
    상기 복수의 펄스 RF 전력 파형들 중 제1 펄스 RF 전력 파형은 RF 소스 신호인,
    방법.
  5. 제4 항에 있어서,
    상기 복수의 펄스 RF 전력 파형들 중 제2 펄스 RF 전력 파형은 RF 바이어스 신호인,
    방법.
  6. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 초기 반사 전력 프로파일 및 상기 조정된 반사 전력 프로파일은 측정된 반사 전력 값들인,
    방법.
  7. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 복수의 펄스 RF 전력 파형들 각각의 주파수는 서로 상이한,
    방법.
  8. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 복수의 펄스 RF 전력 파형들 각각은 동기화된,
    방법.
  9. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 펄스 RF 전력 파형을 생성하였던 RF 생성기에 커플링된 정합 네트워크는 상기 반사 전력의 가장 높은 레벨을 감소시키기 위해 제어되는,
    방법.
  10. 제9 항에 있어서,
    상기 정합 네트워크는 가변 캐패시터를 포함하고, 상기 가변 캐패시터는 상기 반사 전력의 가장 높은 레벨을 감소시키기 위해 전자적으로 또는 기계적으로 튜닝되는,
    방법.
  11. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 펄스 RF 전력 파형을 생성하였던 RF 생성기는 상기 반사 전력의 가장 높은 레벨을 감소시키도록 상기 펄스 RF 전력 파형의 주파수를 조정하기 위해 제어되는,
    방법.
  12. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 임계 튜닝 범위는 RF 전력 파형에 대한 가장 높은 반사 전력과 RF 전력 파형에 대한 가장 낮은 반사 전력 사이의 범위인,
    방법.
  13. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 임계 튜닝 범위는 미리 정의된 값인,
    방법.
  14. 제1 항 내지 제5 항 중 어느 한 항에 있어서,
    상기 복수의 RF 전력 파형들 각각에 인가되는 임계 튜닝 범위는 동일한 범위인,
    방법.
  15. 기판 프로세싱 시스템으로서,
    제1 시간 기간 동안에 프로세스 챔버에 복수의 펄스 RF 전력 파형들을 제공하도록 구성된 복수의 RF 생성기들;
    상기 복수의 펄스 RF 전력 파형들에 대한 반사 전력을 측정하도록 구성된 복수의 센서들; 및
    상기 복수의 RF 생성기들 중 하나에 각각 커플링된 복수의 정합 네트워크들
    을 포함하며,
    상기 복수의 정합 네트워크들 각각은,
    (a) 상기 복수의 센서들 중 하나로부터의 측정들에 기초하여, 상기 복수의 펄스 RF 전력 파형들 중 하나에 대해, 반사 전력 프로파일을 결정하고;
    (b) 상기 제1 시간 기간 동안의 상기 반사 전력 프로파일의 반사 전력의 가장 높은 레벨을 결정하고;
    (c) 상기 반사 전력의 가장 높은 레벨을 감소시키고;
    (d) 상기 복수의 센서들 중 하나로부터의 측정들의 제2 세트에 기초하여, 상기 복수의 펄스 RF 전력 파형들 각각에 대해, 조정된 반사 전력 프로파일을 결정하며; 그리고
    (e) 상기 복수의 펄스 RF 전력 파형들 각각에 대한 조정된 반사 전력 프로파일이 임계 튜닝 범위 내에 있게 될 때까지 상기 (c) 및 상기 (d)를 반복하도록
    구성되는,
    기판 프로세싱 시스템.
KR1020187013490A 2015-10-13 2016-07-19 기판들을 프로세싱하기 위한 rf 펄스 반사 감소 KR20180054918A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562241008P 2015-10-13 2015-10-13
US62/241,008 2015-10-13
US15/212,879 2016-07-18
US15/212,879 US9754767B2 (en) 2015-10-13 2016-07-18 RF pulse reflection reduction for processing substrates
PCT/US2016/042952 WO2017065855A1 (en) 2015-10-13 2016-07-19 Rf pulse reflection reduction for processing substrates

Publications (1)

Publication Number Publication Date
KR20180054918A true KR20180054918A (ko) 2018-05-24

Family

ID=58499845

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187013490A KR20180054918A (ko) 2015-10-13 2016-07-19 기판들을 프로세싱하기 위한 rf 펄스 반사 감소

Country Status (6)

Country Link
US (1) US9754767B2 (ko)
JP (1) JP6837053B2 (ko)
KR (1) KR20180054918A (ko)
CN (1) CN108028166B (ko)
TW (1) TWI695411B (ko)
WO (1) WO2017065855A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200071024A (ko) * 2018-12-07 2020-06-18 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 펄스 rf 플라즈마의 임피던스를 매칭하기 위한 방법 및 장치

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6449674B2 (ja) * 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10424467B2 (en) 2017-03-13 2019-09-24 Applied Materials, Inc. Smart RF pulsing tuning using variable frequency generators
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
TWI804836B (zh) 2017-11-17 2023-06-11 新加坡商Aes 全球公司 用於電漿處理之方法和系統以及相關的非暫時性電腦可讀取媒體
WO2019112849A1 (en) * 2017-12-07 2019-06-13 Lam Research Corporation Rf pulsing within pulsing for semiconductor rf plasma processing
US10269540B1 (en) * 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US10553400B2 (en) 2018-03-30 2020-02-04 Applied Materials, Inc. Methods and apparatus for frequency generator and match network communication
US11209478B2 (en) * 2018-04-03 2021-12-28 Applied Materials, Inc. Pulse system verification
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10854427B2 (en) 2018-08-30 2020-12-01 Applied Materials, Inc. Radio frequency (RF) pulsing impedance tuning with multiplier mode
CN111293022B (zh) * 2018-12-07 2023-01-24 中微半导体设备(上海)股份有限公司 脉冲射频等离子体的阻抗匹配方法和装置
KR20200086808A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 균일성 제어 방법 및 플라즈마 프로세싱 시스템
TWI747149B (zh) * 2019-01-31 2021-11-21 美商鷹港科技股份有限公司 精密電漿控制系統及其方法
KR20200126177A (ko) * 2019-04-29 2020-11-06 삼성전자주식회사 Rf 파워 모니터링 장치, 및 그 장치를 포함하는 pe 시스템
US20220189738A1 (en) * 2019-05-10 2022-06-16 Lam Research Corporation Method and System for Automated Frequency Tuning of Radiofrequency (RF) Signal Generator for Multi-Level RF Power Pulsing
CN111916327B (zh) * 2019-05-10 2023-04-28 中微半导体设备(上海)股份有限公司 多频率多阶段的等离子体射频输出的方法及其装置
US11177115B2 (en) * 2019-06-03 2021-11-16 Applied Materials, Inc. Dual-level pulse tuning
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
CN110299279B (zh) 2019-08-22 2019-11-12 中微半导体设备(上海)股份有限公司 一种射频电源系统、等离子体处理器及其调频匹配方法
US20220285130A1 (en) * 2019-08-22 2022-09-08 Lam Research Corporation Multi-state rf pulsing to control mask shape and breaking selectivity versus process margin trade-off
KR20220082068A (ko) 2019-11-08 2022-06-16 도쿄엘렉트론가부시키가이샤 에칭 방법
JP7313293B2 (ja) * 2020-01-27 2023-07-24 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
KR20230092831A (ko) * 2020-10-26 2023-06-26 램 리써치 코포레이션 Rf 펄싱 스킴들 및 센서 데이터 수집의 동기화
WO2022192016A1 (en) * 2021-03-10 2022-09-15 Lam Research Corporation Control of mask cd
JP7434669B2 (ja) 2021-06-21 2024-02-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
JP4718093B2 (ja) * 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
WO2002097855A1 (en) * 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
JP2003179045A (ja) * 2001-12-13 2003-06-27 Tokyo Electron Ltd プラズマ処理装置及びその制御方法
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US6942813B2 (en) 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US7141514B2 (en) 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
US7214628B2 (en) 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US20110009999A1 (en) * 2009-07-13 2011-01-13 Applied Materials, Inc. Plasma reactor with rf generator and automatic impedance match with minimum reflected power-seeking control
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP5959099B2 (ja) 2011-07-29 2016-08-02 日東電工株式会社 積層体の製造方法
US8974684B2 (en) 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US20130284369A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9155182B2 (en) * 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US20140367043A1 (en) * 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9394753B2 (en) 2013-08-15 2016-07-19 Schlumberger Technology Corporation System and methodology for locating a deflector
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
JP6312405B2 (ja) * 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
KR20150087702A (ko) 2014-01-22 2015-07-30 삼성전자주식회사 플라즈마 발생 장치
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200071024A (ko) * 2018-12-07 2020-06-18 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 펄스 rf 플라즈마의 임피던스를 매칭하기 위한 방법 및 장치

Also Published As

Publication number Publication date
JP2018536251A (ja) 2018-12-06
TW201724158A (zh) 2017-07-01
CN108028166A (zh) 2018-05-11
CN108028166B (zh) 2020-02-18
WO2017065855A1 (en) 2017-04-20
JP6837053B2 (ja) 2021-03-03
TWI695411B (zh) 2020-06-01
US20170103873A1 (en) 2017-04-13
US9754767B2 (en) 2017-09-05

Similar Documents

Publication Publication Date Title
US9754767B2 (en) RF pulse reflection reduction for processing substrates
US10790126B2 (en) Smart RF pulsing tuning using variable frequency generators
US10468233B2 (en) RF power delivery regulation for processing substrates
US9788405B2 (en) RF power delivery with approximated saw tooth wave pulsing
US9614524B1 (en) Automatic impedance tuning with RF dual level pulsing
US10854427B2 (en) Radio frequency (RF) pulsing impedance tuning with multiplier mode

Legal Events

Date Code Title Description
E902 Notification of reason for refusal