KR20180005847A - Apparatus treating substrate - Google Patents

Apparatus treating substrate Download PDF

Info

Publication number
KR20180005847A
KR20180005847A KR1020160086024A KR20160086024A KR20180005847A KR 20180005847 A KR20180005847 A KR 20180005847A KR 1020160086024 A KR1020160086024 A KR 1020160086024A KR 20160086024 A KR20160086024 A KR 20160086024A KR 20180005847 A KR20180005847 A KR 20180005847A
Authority
KR
South Korea
Prior art keywords
substrate
block
chamber
adjustment
exhaust
Prior art date
Application number
KR1020160086024A
Other languages
Korean (ko)
Other versions
KR101885567B1 (en
Inventor
김남규
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020160086024A priority Critical patent/KR101885567B1/en
Publication of KR20180005847A publication Critical patent/KR20180005847A/en
Application granted granted Critical
Publication of KR101885567B1 publication Critical patent/KR101885567B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Abstract

The present invention provides an apparatus for treating a substrate. The apparatus for treating a substrate comprises: a substrate treating unit having a treatment space for treating a substrate therein; and an exhaust assembly to exhaust the treatment space. The exhaust assembly comprises: an exhaust duct having a connection hole; a decompression member to decompress the exhaust duct; a connection duct to connect the treatment space and the connection hole; and a control member to control an exhaust amount of the treatment space. The control member comprises: a control block to control an exhaust pressure provided in the connection duct; and a block moving member to move the control block in the exhaust duct. An exhaust amount of the treatment space can be controlled without an inflow of external air, and the exhaust amount can be precisely controlled.

Description

기판 처리 장치{Apparatus treating substrate}[0001] Apparatus treating substrate [0002]

본 발명은 기판을 처리하는 장치에 관한 것이다.The present invention relates to an apparatus for processing a substrate.

반도체 소자를 제조하기 위해서는 세정, 증착, 사진, 식각, 그리고 이온주입 등과 같은 다양한 공정이 수행된다. 이러한 공정들 중 내부에 처리 공간을 가지는 챔버에서 진행된다.Various processes such as cleaning, deposition, photolithography, etching, and ion implantation are performed to manufacture semiconductor devices. And proceeds in a chamber having a processing space therein among these processes.

일반적으로, 챔버의 처리 공간은 일정한 공정 분위기를 유지해야 한다. 이로 인해 공정 분위기는 기설정된 압력이 유지되도록 배기 어셈블리에 의해 배기된다. 배기 어셈블리는 공정 분위기를 일정 압력으로 유지시킬 뿐만 아니라, 기판 처리 시 발생된 공정 부산물을 배기한다. 예컨대, 기판을 케미칼로 처리하는 과정이나, 기판을 베이크 처리하는 과정에는 퓸(Fume)과 같은 공정 부산물이 발생되며, 이는 배기 어셈블리에 의해 배기된다.Generally, the processing space of the chamber must maintain a constant process atmosphere. This causes the process atmosphere to be evacuated by the exhaust assembly to maintain a predetermined pressure. The exhaust assembly not only keeps the process atmosphere at a constant pressure, but also exhausts process by-products generated during substrate processing. For example, during processing of the substrate with a chemical or baking of the substrate, processing by-products such as fumes are generated, which are exhausted by the exhaust assembly.

도 1은 일반적인 배기 어셈블리를 보여주는 단면도이다. 도 1을 참조하면, 배기 어셈블리는 배기 덕트(2), 감압 부재(4), 연결 덕트(6), 그리고 조절핀(8)를 포함한다. 배기 덕트(2)는 감압 부재(4)에 의해 감압된다. 연결 덕트(6)는 챔버와 배기 덕트(2)를 연결한다. 처리 공간에서 발생된 공정 부산물은 연결 덕트(6) 및 배기 덕트(2)를 순차적으로 거쳐 배기된다. 조절핀(8)은 처리 공간에 전달되는 배기압을 조절한다. 배기 어셈블리는 연결 덕트(6)에 외기를 유입하여 그 배기압을 조절한다. 예컨대, 외기의 유입량이 늘어날수록 처리 공간을 배기하는 배기량이 적어지고, 외기의 유입량이 줄어들수록 처리 공간을 배기하는 배기량이 늘어난다. 1 is a sectional view showing a general exhaust assembly; Referring to Fig. 1, the exhaust assembly includes an exhaust duct 2, a pressure-reducing member 4, a connecting duct 6, and an adjusting pin 8. The exhaust duct (2) is decompressed by the decompression member (4). The connecting duct (6) connects the chamber and the exhaust duct (2). The process by-products generated in the process space are exhausted sequentially through the connecting duct (6) and the exhaust duct (2). The regulating pin 8 regulates the exhaust pressure delivered to the process space. The exhaust assembly introduces ambient air into the connecting duct (6) to regulate its exhaust pressure. For example, as the inflow amount of the outside air increases, the amount of exhaust to exhaust the processing space decreases, and as the inflow amount of the outside air decreases, the exhaust amount to exhaust the processing space increases.

그러나 외기의 유입량은 주변 환경에 따라 상이해지며, 이를 정밀하게 조절하는 것은 불가능하다. However, the amount of inflow of outside air differs depending on the surrounding environment, and it is impossible to precisely control it.

또한 상기 배기 어셈블리를 통해서는 처리 공간에 배기압의 전달을 차단하는 것이 어렵다. 이로 인해 챔버가 대기 상태일 경우에도 처리 공간에는 배기압이 전달된다. Further, it is difficult to block the transmission of the exhaust pressure to the processing space through the exhaust assembly. As a result, even when the chamber is in the standby state, the exhaust pressure is delivered to the processing space.

또한 처리 공간에서 발생된 다량의 공정 부산물은 연결 덕트(6)와 조절핀(8) 간에 틈에 부착되며, 이는 주기적인 유지 보수를 요구한다. Also, a large amount of process by-products generated in the process space is attached to the gap between the connecting duct 6 and the control pin 8, which requires periodic maintenance.

한국 공개 특허 2009-0058774Korean Published Patent 2009-0058774

본 발명은 처리 공간을 배기하는 배기량을 정밀하게 조절할 수 있는 장치를 제공하고자 한다. An object of the present invention is to provide an apparatus capable of precisely controlling the exhaust amount for exhausting the processing space.

또한 본 발명은 처리 공간에 전달되는 배기압을 차단할 수 있는 장치를 제공하고자 한다. The present invention also provides an apparatus capable of shutting off the exhaust pressure transmitted to the processing space.

또한 본 발명은 처리 공간에서 발생된 공정 부산물이 덕트 내에 부착되는 것을 방지할 수 있는 장치를 제공하고자 한다.Another object of the present invention is to provide an apparatus for preventing process by-products generated in a processing space from being adhered to a duct.

본 발명의 실시예는 기판을 처리하는 장치를 제공한다. 기판 처리 장치는 내부에 기판을 처리하는 처리 공간을 가지는 기판 처리 유닛 및 상기 처리 공간을 배기하는 배기 어셈블리를 포함하되, 상기 배기 어셈블리는 연결홀을 가지는 배기 덕트, 상기 배기 덕트를 감압하는 감압 부재, 상기 처리 공간 및 상기 연결홀을 연결하는 연결 덕트, 그리고 상기 처리 공간의 배기량을 조절하는 조절 부재를 포함하되, 상기 조절 부재는 상기 연결 덕트 내에 제공되는 배기 압력을 조절하는 조절 블럭 및 상기 배기 덕트 내에서 상기 조절 블럭을 이동시키는 블럭 이동 부재를 포함한다. An embodiment of the present invention provides an apparatus for processing a substrate. The substrate processing apparatus includes a substrate processing unit having a processing space for processing a substrate therein, and an exhaust assembly for exhausting the processing space, wherein the exhaust assembly includes an exhaust duct having a connection hole, a pressure-reducing member for depressurizing the exhaust duct, A connecting duct connecting the process space and the connection hole, and an adjusting member for adjusting an amount of exhaust of the process space, wherein the adjusting member includes an adjusting block for adjusting the exhaust pressure provided in the connecting duct, And a block shifting member for shifting the adjustment block.

상기 블럭 이동 부재는 상기 조절 블럭을 차단 위치, 개방 위치, 그리고 공정 위치로 이동시키되, 상기 차단 위치는 상기 조절 블럭이 상기 연결홀을 차단하는 위치이고, 상기 개방 위치는 상기 조절 블럭이 상기 연결홀로부터 기설정 거리 이상으로 이격되는 위치이며,상기 공정 위치는 상기 조절 블럭이 상기 연결홀로부터 상기 기설정 거리 이내로 이격되는 위치일 수 있다. 상기 조절 블럭은 상기 연결홀에 마주보도록 블럭면을 가지고, 상기 블럭면은 상기 연결홀에 비해 큰 면적으로 제공될 수 있다. 상기 배기 덕트에는 상기 연결홀과 마주보는 조절홀이 더 형성되고, 상기 블럭 이동 부재는 상기 조절홀에 삽입되며, 상기 조절 블럭에 고정 결합되는 조절핀을 포함할 수 있다. 상기 조절핀은 상기 연결홀이 향하는 방향과 평행한 일방향으로 이동 가능하고, 상기 조절 부재는 상기 조절핀의 위치를 고정시키는 고정 부재를 더 포함할 수 있다. 상기 기판 처리 유닛은 상기 처리 공간에서 기판을 지지하는 지지 플레이트 및 상기 지지 플레이트에 위치되며, 상기 지지 플레이트에 지지된 기판을 가열하는 히터를 포함할 수 있다. Wherein the block moving member moves the control block to a cutoff position, an open position, and a process position, wherein the cutoff position is a position where the adjustment block blocks the connection hole, And the process position may be a position where the control block is spaced from the connection hole by a distance within the preset distance. The adjustment block may have a block surface facing the connection hole, and the block surface may be provided in a larger area than the connection hole. The exhaust duct may further include an adjusting hole facing the connection hole, and the block moving member may include an adjusting pin inserted in the adjusting hole and fixedly coupled to the adjusting block. The adjustment pin may be movable in one direction parallel to the direction of the connection hole, and the adjustment member may further include a fixing member for fixing the position of the adjustment pin. The substrate processing unit may include a support plate that supports the substrate in the processing space, and a heater that is disposed on the support plate and heats the substrate supported by the support plate.

또한 기판 처리 장치는 내부에 기판을 처리하는 처리 공간을 가지며, 복수 개가 서로 적층되게 위치되는 챔버들 및 각각의 상기 처리 공간을 배기하는 배기 어셈블리를 포함하되, 상기 배기 어셈블리는 복수의 연결홀들을 가지는 배기 덕트,상기 배기 덕트를 감압하는 감압 부재, 복수 개로 제공되며, 상기 처리 공간 및 상기 연결홀을 일대일 연결하는 연결 덕트들, 그리고 각각의 상기 처리 공간의 배기량을 조절하는 조절 부재를 포함하되, 상기 조절 부재는 상기 연결 덕트들 각각에 제공되는 배기 압력을 조절하는 조절 블럭 및 상기 배기 덕트 내에서 상기 조절 블럭을 이동시키는 블럭 이동 부재를 포함한다. The substrate processing apparatus further includes a chamber having a processing space for processing the substrate therein and a plurality of chambers stacked on each other, and an exhaust assembly for exhausting the respective processing spaces, wherein the exhaust assembly has a plurality of connection holes The exhaust duct according to claim 1, wherein the exhaust duct is provided with a plurality of pressure reducing members for reducing the exhaust duct. The exhaust duct includes connection ducts for connecting the process space and the connection holes one to one, and an adjustment member for adjusting the exhaust amount of each of the process spaces. The regulating member includes a regulating block for regulating the exhaust pressure provided to each of the connecting ducts and a block moving member for moving the regulating block in the exhaust duct.

상기 조절 블럭은 상기 연결홀에 마주보도록 블럭면을 가지고, 상기 블럭면은 상기 연결홀에 비해 큰 면적으로 제공될 수 있다. 상기 배기 덕트에는 상기 연결홀과 마주보는 조절홀이 더 형성되고, 상기 블럭 이동 부재는 상기 조절홀에 삽입되며, 상기 조절 블럭에 고정 결합되는 조절핀을 포함할 수 있다. 상기 조절핀은 상기 연결홀이 향하는 방향과 평행한 일방향으로 이동 가능하고, 상기 조절 부재는 상기 조절핀의 위치를 고정시키는 고정 부재를 더 포함할 수 있다. The adjustment block may have a block surface facing the connection hole, and the block surface may be provided in a larger area than the connection hole. The exhaust duct may further include an adjusting hole facing the connection hole, and the block moving member may include an adjusting pin inserted in the adjusting hole and fixedly coupled to the adjusting block. The adjustment pin may be movable in one direction parallel to the direction of the connection hole, and the adjustment member may further include a fixing member for fixing the position of the adjustment pin.

본 발명의 실시예에 의하면, 외기의 유입없이 배기량을 조절한다. 이로 인해 배기량을 정밀하게 조절 가능하다.According to the embodiment of the present invention, the exhaust amount is adjusted without influx of outside air. Thus, the exhaust amount can be precisely controlled.

또한 본 발명은 처리 공간과 연통되는 연결홀을 조절 블럭에 의해 차단 가능하다. 이로 인해 처리 공간에 전달되는 배기압을 차단할 수 있다.Further, in the present invention, the connection hole communicating with the processing space can be blocked by the adjustment block. As a result, the exhaust pressure delivered to the processing space can be blocked.

또한 본 발명의 실시예에는 조절 블럭이 연결 덕트 내에 삽입되지 않는다. 이로 인해 공정 부산물이 연결 덕트 내에 부착되는 것을 방지할 수 있다.Also in the embodiment of the present invention, the control block is not inserted into the connecting duct. This can prevent process by-products from sticking into the connecting duct.

도 1은 일반적인 배기 어셈블리를 보여주는 단면도이다.
도 2는 기판 처리 설비를 상부에서 바라본 도면이다.
도 3은 도 2의 설비를 A-A 방향에서 바라본 도면이다.
도 4는 도 2의 설비를 B-B 방향에서 바라본 도면이다.
도 5는 도 2의 설비를 C-C 방향에서 바라본 도면이다.
도 6은 도 2의 가열 유닛을 보여주는 단면도이다.
도 7은 도 6의 배기 어셈블리를 개략적으로 보여주는 단면도이다.
도 8은 도 7의 배기 덕트 및 연결 덕트를 보다 확대해 보여주는 사시도이다.
도 9는 차단 위치로 이동된 조절 블럭을 보여주는 단면도이다.
도 10은 개방 위치로 이동된 조절 블럭을 보여주는 단면도이다.
도 11은 공정 위치로 이동된 조절 블럭을 보여주는 단면도이다.
1 is a sectional view showing a general exhaust assembly;
Figure 2 is a top view of the substrate processing facility.
Fig. 3 is a view of the equipment of Fig. 2 viewed from the direction AA.
Fig. 4 is a view of the equipment of Fig. 2 viewed from the BB direction. Fig.
Fig. 5 is a view of the equipment of Fig. 2 viewed from the CC direction.
6 is a cross-sectional view showing the heating unit of Fig.
7 is a cross-sectional view schematically showing the exhaust assembly of FIG.
8 is a perspective view showing the exhaust duct and the connection duct of FIG. 7 in an enlarged manner.
FIG. 9 is a cross-sectional view showing the adjustment block moved to the blocking position. FIG.
10 is a cross-sectional view showing the adjustment block moved to the open position;
Figure 11 is a cross-sectional view showing the control block moved to the process position.

이하, 본 발명의 실시 예를 첨부된 도면을 참조하여 더욱 상세히 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다. Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. Thus, the shape of the elements in the figures has been exaggerated to emphasize a clearer description.

본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용될 수 있다. 특히 본 실시예의 설비는 노광장치에 연결되어 기판에 대해 도포 공정 및 현상 공정을 수행하는 데 사용될 수 있다. 아래에서는 기판으로 웨이퍼가 사용된 경우를 예로 들어 설명한다.The facilities of this embodiment can be used to perform a photolithography process on a substrate such as a semiconductor wafer or a flat panel display panel. In particular, the apparatus of this embodiment can be used to perform a coating process and a developing process on a substrate, which is connected to an exposure apparatus. Hereinafter, a case where a wafer is used as a substrate will be described as an example.

도 2 내지 도 11은 본 발명의 일 실시예에 따른 기판 처리 설비를 개략적으로 보여주는 도면이다. 도 2는 기판 처리 설비를 상부에서 바라본 도면이고, 도 3은 도 2의 설비를 A-A 방향에서 바라본 도면이고, 도 4는 도 2의 설비를 B-B 방향에서 바라본 도면이고, 도 5는 도 2의 설비를 C-C 방향에서 바라본 도면이다. 2 to 11 are schematic views of a substrate processing apparatus according to an embodiment of the present invention. FIG. 2 is a view of the substrate processing apparatus viewed from above, FIG. 3 is a view of the apparatus of FIG. 2 viewed from the AA direction, FIG. 4 is a view of the apparatus of FIG. 2 viewed from the BB direction, In the CC direction.

도 2 내지 도 5를 참조하면, 기판 처리 설비(1)는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다. 2 to 5, the substrate processing apparatus 1 includes a load port 100, an index module 200, a first buffer module 300, a coating and developing module 400, a second buffer module 500 An exposure pre- and post-processing module 600, and an interface module 700. The load port 100, the index module 200, the first buffer module 300, the application and development module 400, the second buffer module 500, the pre-exposure processing module 600, and the interface module 700, Are sequentially arranged in one direction in a single direction.

이하, 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다. Hereinafter, the load port 100, the index module 200, the first buffer module 300, the coating and developing module 400, the second buffer module 500, the pre-exposure processing module 600, 700 are referred to as a first direction 12 and a direction perpendicular to the first direction 12 as viewed from above is referred to as a second direction 14 and a direction in which the first direction 12 and the second And a direction perpendicular to the direction 14 is referred to as a third direction 16.

기판(W)은 카세트(20) 내에 수납된 상태로 이동된다. 이때 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다. The substrate W is moved in a state accommodated in the cassette 20. At this time, the cassette 20 has a structure that can be sealed from the outside. For example, as the cassette 20, a front open unified pod (FOUP) having a door at the front can be used.

이하에서는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)에 대해 상세히 설명한다.Hereinafter, the load port 100, the index module 200, the first buffer module 300, the application and development module 400, the second buffer module 500, the pre-exposure processing module 600, 700 will be described in detail.

로드 포트(100)는 기판들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(200)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 2에서는 4개의 재치대(120)가 제공되었다. The load port 100 has a mounting table 120 on which the cassette 20 accommodating the substrates W is placed. A plurality of mounts 120 are provided, and the mounts 200 are arranged in a line along the second direction 14. [ In Fig. 2, four placement tables 120 are provided.

인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 제 1 버퍼 모듈(300) 간에 기판(W)을 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 가진다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 제 1 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 제 1 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 기판(W)을 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 가진다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 200 transfers the substrate W between the cassette 20 placed on the table 120 of the load port 100 and the first buffer module 300. The index module 200 has a frame 210, an index robot 220, and a guide rail 230. The frame 210 is provided generally in the shape of an inner rectangular parallelepiped and is disposed between the load port 100 and the first buffer module 300. The frame 210 of the index module 200 may be provided at a lower height than the frame 310 of the first buffer module 300 described later. The index robot 220 and the guide rail 230 are disposed within the frame 210. The index robot 220 is moved in the first direction 12, the second direction 14 and the third direction 16 so that the hand 221 that directly handles the substrate W can be moved and rotated in the first direction 12, the second direction 14, . The index robot 220 has a hand 221, an arm 222, a support 223, and a pedestal 224. The hand 221 is fixed to the arm 222. The arm 222 is provided with a stretchable structure and a rotatable structure. The support base 223 is disposed along the third direction 16 in the longitudinal direction. The arm 222 is coupled to the support 223 to be movable along the support 223. The support 223 is fixedly coupled to the pedestal 224. The guide rails 230 are provided so that their longitudinal direction is arranged along the second direction 14. The pedestal 224 is coupled to the guide rail 230 so as to be linearly movable along the guide rail 230. Further, although not shown, the frame 210 is further provided with a door opener for opening and closing the door of the cassette 20.

제 1 버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 가진다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 위치된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. The first buffer module 300 has a frame 310, a first buffer 320, a second buffer 330, a cooling chamber 350, and a first buffer robot 360. The frame 310 is provided in the shape of an inner rectangular parallelepiped and is disposed between the index module 200 and the application and development module 400. The first buffer 320, the second buffer 330, the cooling chamber 350, and the first buffer robot 360 are located within the frame 310. The cooling chamber 350, the second buffer 330, and the first buffer 320 are sequentially disposed in the third direction 16 from below. The second buffer 330 and the cooling chamber 350 are located at a height corresponding to the coating module 401 of the coating and developing module 400 described later and the coating and developing module 400 at a height corresponding to the developing module 402. [ The first buffer robot 360 is spaced apart from the second buffer 330, the cooling chamber 350 and the first buffer 320 by a predetermined distance in the second direction 14.

제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 기판들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 기판(W)이 놓인다. 하우징(331)은 인덱스 로봇(220), 제 1 버퍼 로봇(360), 그리고 후술하는 현상 모듈(402)의 현상부 로봇(482)이 하우징(331) 내 지지대(332)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향, 제 1 버퍼 로봇(360)이 제공된 방향, 그리고 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 후술하는 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다. The first buffer 320 and the second buffer 330 temporarily store a plurality of substrates W, respectively. The second buffer 330 has a housing 331 and a plurality of supports 332. The supports 332 are disposed within the housing 331 and are provided spaced apart from each other in the third direction 16. One substrate W is placed on each support 332. The housing 331 is constructed so that the index robot 220, the first buffer robot 360 and the developing robot 482 of the developing module 402 described later mount the substrate W on the support 332 in the housing 331 (Not shown) in the direction in which the index robot 220 is provided, in the direction in which the first buffer robot 360 is provided, and in the direction in which the developing robot 482 is provided, so that the developing robot 482 can carry it in or out. The first buffer 320 has a structure substantially similar to that of the second buffer 330. The housing 321 of the first buffer 320 has an opening in a direction in which the first buffer robot 360 is provided and in a direction in which the application unit robot 432 located in the application module 401 described later is provided. The number of supports 322 provided in the first buffer 320 and the number of supports 332 provided in the second buffer 330 may be the same or different. According to one example, the number of supports 332 provided in the second buffer 330 may be greater than the number of supports 322 provided in the first buffer 320.

제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 기판(W)을 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 가진다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 단순히 핸드(361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The first buffer robot 360 transfers the substrate W between the first buffer 320 and the second buffer 330. The first buffer robot 360 has a hand 361, an arm 362, and a support base 363. The hand 361 is fixed to the arm 362. The arm 362 is provided in a stretchable configuration so that the hand 361 is movable along the second direction 14. The arm 362 is coupled to the support 363 so as to be linearly movable along the support 363 in the third direction 16. The support base 363 has a length extending from a position corresponding to the second buffer 330 to a position corresponding to the first buffer 320. The support member 363 may be provided longer in the upward or downward direction. The first buffer robot 360 may be provided so that the hand 361 is simply driven in two directions along the second direction 14 and the third direction 16.

냉각 챔버(350)는 각각 기판(W)을 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 가진다. 냉각 플레이트(352)는 기판(W)이 놓이는 상면 및 기판(W)을 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 기판(W)을 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 후술하는 현상 모듈(402)에 제공된 현상부 로봇(482)이 냉각 플레이트(352)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다. The cooling chamber 350 cools the substrate W, respectively. The cooling chamber 350 has a housing 351 and a cooling plate 352. The cooling plate 352 has an upper surface on which the substrate W is placed and a cooling means 353 for cooling the substrate W. [ As the cooling means 353, various methods such as cooling with cooling water and cooling using a thermoelectric element can be used. In addition, the cooling chamber 350 may be provided with a lift pin assembly (not shown) for positioning the substrate W on the cooling plate 352. The housing 351 is provided with an index robot 220 so that the developing robot 482 provided in the index robot 220 and a developing module 402 to be described later can carry the substrate W into or out of the cooling plate 352 (Not shown) in the direction provided and the direction in which the developing robot 482 is provided. Further, the cooling chamber 350 may be provided with doors (not shown) for opening and closing the above-described opening.

도포 및 현상 모듈(400)은 노광 공정 전에 기판(W) 상에 포토 레지스트를 도포하는 공정 및 노광 공정 후에 기판(W)을 현상하는 공정을 수행한다. 도포 및 현상 모듈(400)은 대체로 직육면체의 형상을 가진다. 도포 및 현상 모듈(400)은 도포 모듈(401)과 현상 모듈(402)을 가진다. 도포 모듈(401)과 현상 모듈(402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 도포 모듈(401)은 현상 모듈(402)의 상부에 위치된다.The application and development module 400 performs a process of applying a photoresist on the substrate W before the exposure process and a process of developing the substrate W after the exposure process. The application and development module 400 has a generally rectangular parallelepiped shape. The coating and developing module 400 has a coating module 401 and a developing module 402. The application module 401 and the development module 402 are arranged so as to be partitioned into layers with respect to each other. According to one example, the application module 401 is located on top of the development module 402.

도포 모듈(401)은 기판(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 기판(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)를 가진다. 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 레지스트 도포 챔버(410)와 베이크 챔버(420)는 반송 챔버(430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 레지스트 도포 챔버(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 레지스트 도포 챔버(410)가 제공된 예가 도시되었다. 베이크 챔버(420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(420)는 더 많은 수로 제공될 수 있다.The application module 401 includes a process of applying a photosensitive liquid such as a photoresist to the substrate W and a heat treatment process such as heating and cooling for the substrate W before and after the resist application process. The application module 401 has a resist application chamber 410, a bake chamber 420, and a transfer chamber 430. The resist application chamber 410, the bake chamber 420, and the transfer chamber 430 are sequentially disposed along the second direction 14. [ The resist application chamber 410 and the bake chamber 420 are positioned apart from each other in the second direction 14 with the transfer chamber 430 interposed therebetween. A plurality of resist coating chambers 410 are provided, and a plurality of resist coating chambers 410 are provided in the first direction 12 and the third direction 16, respectively. In the figure, six resist coating chambers 410 are provided. A plurality of bake chambers 420 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six bake chambers 420 are provided. Alternatively, however, the bake chamber 420 may be provided in a greater number.

반송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 반송 챔버(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 챔버들(420), 레지스트 도포 챔버들(400), 제 1 버퍼 모듈(300)의 제 1 버퍼(320), 그리고 후술하는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(520) 간에 기판(W)을 이송한다. 가이드 레일(433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 아암(435)은 신축 가능한 구조로 제공되어 핸드(434)가 수평 방향으로 이동 가능하도록 한다. 지지대(436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(435)은 지지대(436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(436)에 결합된다. 지지대(436)는 받침대(437)에 고정 결합되고, 받침대(437)는 가이드 레일(433)을 따라 이동 가능하도록 가이드 레일(433)에 결합된다.The transfer chamber 430 is positioned in parallel with the first buffer 320 of the first buffer module 300 in the first direction 12. In the transfer chamber 430, a dispenser robot 432 and a guide rail 433 are positioned. The transfer chamber 430 has a generally rectangular shape. The applicator robot 432 is connected to the bake chambers 420, the resist application chambers 400, the first buffer 320 of the first buffer module 300, and the first buffer module 500 of the second buffer module 500 And transfers the substrate W between the cooling chambers 520. The guide rails 433 are arranged so that their longitudinal directions are parallel to the first direction 12. The guide rails 433 guide the applying robot 432 to move linearly in the first direction 12. The applicator robot 432 has a hand 434, an arm 435, a support 436, and a pedestal 437. The hand 434 is fixed to the arm 435. The arm 435 is provided in a stretchable configuration so that the hand 434 is movable in the horizontal direction. The support 436 is provided so that its longitudinal direction is disposed along the third direction 16. The arm 435 is coupled to the support 436 so as to be linearly movable in the third direction 16 along the support 436. The support 436 is fixedly coupled to the pedestal 437 and the pedestal 437 is coupled to the guide rail 433 so as to be movable along the guide rail 433.

레지스트 도포 챔버들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 레지스트 도포 챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 레지스트 도포 챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. 레지스트 도포 챔버(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)을 가진다. 하우징(411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 기판(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 레지스트 도포 챔버(410)에는 포토 레지스트가 도포된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(414)이 더 제공될 수 있다. The resist coating chambers 410 all have the same structure. However, the types of the photoresist used in each of the resist coating chambers 410 may be different from each other. As an example, a chemical amplification resist may be used as the photoresist. The resist coating chamber 410 applies a photoresist on the substrate W. [ The resist coating chamber 410 has a housing 411, a support plate 412, and a nozzle 413. The housing 411 has a cup shape with an open top. The support plate 412 is located in the housing 411 and supports the substrate W. [ The support plate 412 is rotatably provided. The nozzle 413 supplies the photoresist onto the substrate W placed on the support plate 412. The nozzle 413 has a circular tube shape and can supply photoresist to the center of the substrate W. [ Alternatively, the nozzle 413 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 413 may be provided as a slit. In addition, the resist coating chamber 410 may further be provided with a nozzle 414 for supplying a cleaning liquid such as deionized water to clean the surface of the substrate W to which the photoresist is applied.

베이크 챔버(420)는 기판(W)을 열처리한다. 베이크 챔버(420)에서는 포토 레지스트를 도포하기 전에 기판(W)의 표면 성질을 변화시키도록 기판을 소정의 온도로 가열하고, 점착제와 같은 처리액막을 형성한다. 또한 포토 레지스트를 기판(W) 상에 도포한 후에 감압 분위기에서 포토 레지스트막을 열처리한다. The bake chamber 420 heat-treats the substrate W. In the bake chamber 420, the substrate is heated to a predetermined temperature so as to change the surface property of the substrate W before applying the photoresist, and a treated liquid film such as a pressure-sensitive adhesive is formed. After the photoresist is coated on the substrate W, the photoresist film is heat-treated in a reduced-pressure atmosphere.

베이크 챔버(420)는 냉각 플레이트(422) 및 가열 유닛(421)을 포함한다. 냉각 플레이트(422)는 가열 유닛(421)에 의해 가열 처리된 기판(W)을 냉각 처리한다. 냉각 플레이트(422)는 원형의 판 형상으로 제공된다. 냉각 플레이트(422)의 내부에는 냉각수 또는 열전 소자와 같은 냉각 수단이 제공된다. 예컨대, 냉각 플레이트(422)는 가열된 기판(W)을 상온으로 냉각시킬 수 있다. The bake chamber 420 includes a cooling plate 422 and a heating unit 421. The cooling plate 422 cools the substrate W heated by the heating unit 421. The cooling plate 422 is provided in the form of a circular plate. Inside the cooling plate 422, cooling means such as cooling water or a thermoelectric element are provided. For example, the cooling plate 422 can cool the heated substrate W to room temperature.

가열 유닛(421)은 공정 분위기에서 기판(W)을 가열 처리한다. 공정 분위기는 상압보다 낮은 감압 분위기일 수 있다. 가열 유닛(421)은 기판(W)을 가열 처리하는 기판 처리 장치(800)로 제공된다. 도 6은 도 2의 가열 유닛을 보여주는 단면도이다. 도 6을 참조하면, 기판 처리 장치(800)는 하우징(810), 지지 플레이트(820), 히터(830), 그리고 배기 어셈블리(900)를 포함한다. The heating unit 421 heats the substrate W in a process atmosphere. The process atmosphere may be a reduced-pressure atmosphere lower than normal pressure. The heating unit 421 is provided to the substrate processing apparatus 800 for heating the substrate W. [ 6 is a cross-sectional view showing the heating unit of Fig. Referring to FIG. 6, the substrate processing apparatus 800 includes a housing 810, a support plate 820, a heater 830, and an exhaust assembly 900.

하우징(810)은 냉각 플레이트(422)의 일측에 위치된다. 하우징(810)은 내부에 기판(W)의 가열 처리하는 처리 공간(812)을 제공한다. 처리 공간(812)은 외부와 차단된 공간으로 제공된다. 하우징(810)의 천장면에는 가스홀이 형성된다. 가스홀에는 분위기 가스가 공급될 수 있다. 분위기 가스는 비활성 가스일 수 있다. 하우징(810)의 바닥면에는 배기홀(814)이 형성된다. 배기홀(814)에는 배기 어셈블리(900)가 연결된다. The housing 810 is located at one side of the cooling plate 422. The housing 810 provides a processing space 812 for heating the substrate W therein. The processing space 812 is provided with an external and shielded space. A gas hole is formed in the ceiling of the housing 810. The atmosphere gas may be supplied to the gas holes. The atmospheric gas may be an inert gas. An exhaust hole 814 is formed in the bottom surface of the housing 810. An exhaust assembly 900 is connected to the exhaust hole 814.

지지 플레이트(820)는 처리 공간(812)에 위치된다. 지지 플레이트(820)는 원형의 판 형상으로 제공된다. 지지 플레이트(820)의 상면은 기판(W)이 안착되는 영역으로 제공된다. 지지 플레이트(820)의 상면에는 복수 개의 핀 홀들(미도시)이 형성된다. 각각의 핀 홀은 지지 플레이트(820)의 원주 방향을 따라 이격되게 위치된다. 핀 홀들은 서로 간에 동일 간격으로 이격되게 위치된다. 각각의 핀 홀에는 리프트핀(미도시)이 제공된다. 리프트핀(미도시)은 상하 방향으로 이동하도록 제공된다. 예컨대, 핀 홀들은 3 개로 제공될 수 있다. The support plate 820 is located in the processing space 812. The support plate 820 is provided in the form of a circular plate. The upper surface of the support plate 820 is provided in a region where the substrate W is seated. A plurality of pin holes (not shown) are formed on the upper surface of the support plate 820. Each of the pin holes is spaced apart along the circumferential direction of the support plate 820. The pinholes are spaced at equal intervals from each other. Each pin hole is provided with a lift pin (not shown). The lift pins (not shown) are provided to move up and down. For example, pinholes may be provided in three.

히터(830)는 지지 플레이트(820)에 놓인 기판(W)을 기설정 온도로 가열한다. 히터(830)는 복수 개로 제공되며, 지지 플레이트(820)에서 서로 상이한 영역에 위치된다. 각각의 히터(830)는 동일 평면 상에 위치된다. 각각의 히터(830)는 지지 플레이트(820)의 서로 상이한 영역을 가열한다. 각 히터(830)에 대응되는 지지 플레이트(820)의 영역들은 히팅존들로 제공된다. 예컨대 히팅존들은 15개 일 수 있다. 예컨대, 히터(830)는 열전 소자 또는 열선일 수 있다.The heater 830 heats the substrate W placed on the support plate 820 to a preset temperature. The plurality of heaters 830 are provided, and they are located in different areas in the support plate 820. [ Each heater 830 is located on the same plane. Each heater 830 heats different areas of the support plate 820. The areas of the support plate 820 corresponding to the respective heaters 830 are provided to the heating zones. For example, the heating zones may be fifteen. For example, the heater 830 may be a thermoelectric element or a hot wire.

배기 어셈블리(900)는 하우징(810) 내의 처리 공간(812)에 발생된 공정 부산물을 배기한다. 배기 어셈블리(900)는 하우징(810) 내의 공정 분위기를 일정 압력으로 유지시킨다. 배기 어셈블리(900)는 복수의 하우징들(810)에 각각 연결된다. 예컨대, 하우징(810)은 도포 모듈(401) 및 현상 모듈(402)에 각각 적층되게 위치되며, 배기 어셈블리(900)는 각 모듈(401,402)에 제공되는 하우징들(810)에 연결될 수 있다. 배기 어셈블리(900)는 외부의 기류 유입없이 처리 공간(812)의 배기량을 조절한다. 도 7은 도 6의 배기 어셈블리를 보여주는 개략적으로 단면도이고, 도 8은 도 7의 배기 덕트 및 연결 덕트를 보다 확대해 보여주는 사시도이다. 도 7 및 도 8을 참조하면, 배기 어셈블리(900)는 배기 덕트(910), 연결 덕트(920), 감압 부재(925), 조절 부재(930), 그리고 고정 부재(960)를 포함한다. 배기 덕트(910)에는 감압 부재(925)가 설치된다. 배기 덕트(910)의 내부에는 배기 통로(916)이 형성되며, 배기 통로(916)는 감압 부재(925)에 의해 배기된다. 배기 덕트(910)에는 복수 개의 가열 유닛(800)이 연결된다. 일 예에 의하면, 복수 개의 가열 유닛들(800)은 적층되게 위치되고, 가열 유닛들(800)의 일측에 위치된다. 배기 덕트(910)는 상하 방향을 향하는 길이 방향을 가질 수 있다. 각각의 가열 유닛(800)에는 일정한 배기압을 제공할 수 있다. 배기 덕트(910)에는 복수의 연결홀들(912) 및 복수의 조절홀들(914)이 형성된다. 각각의 연결홀(912)은 상하 방향으로 서로 이격되게 배열된다. 각각의 연결홀(912)은 상하 방향으로 서로 이격되게 배열된다. 각각의 조절홀(914)은 상하 방향으로 서로 이격되게 배열된다. 각각의 조절홀(914)은 상하 방향으로 서로 이격되게 배열된다. 연결홀(912) 및 조절홀(914)은 서로 동일 개수로 제공된다. 연결홀(912) 및 조절홀(914)은 서로 동일한 높이에서 서로 마주보도록 위치된다. The exhaust assembly 900 exhausts processing by-products generated in the processing space 812 in the housing 810. The exhaust assembly 900 maintains the process atmosphere in the housing 810 at a constant pressure. The exhaust assembly 900 is connected to a plurality of housings 810, respectively. For example, the housing 810 is stacked on the application module 401 and the development module 402, respectively, and the exhaust assembly 900 can be connected to the housings 810 provided in the respective modules 401 and 402. The exhaust assembly 900 regulates the displacement of the process space 812 without external airflow. FIG. 7 is a schematic cross-sectional view showing the exhaust assembly of FIG. 6, and FIG. 8 is a perspective view showing the exhaust duct and the connecting duct of FIG. 7 in an enlarged manner. 7 and 8, the exhaust assembly 900 includes an exhaust duct 910, a connecting duct 920, a pressure reducing member 925, a regulating member 930, and a fixing member 960. A pressure-reducing member 925 is provided in the exhaust duct 910. An exhaust passage 916 is formed in the exhaust duct 910 and the exhaust passage 916 is exhausted by a pressure-reducing member 925. A plurality of heating units 800 are connected to the exhaust duct 910. According to one example, a plurality of heating units 800 are stacked and positioned on one side of the heating units 800. The exhaust duct 910 may have a longitudinal direction in the vertical direction. Each heating unit 800 can be provided with a constant exhaust pressure. A plurality of connection holes 912 and a plurality of adjustment holes 914 are formed in the exhaust duct 910. Each of the connection holes 912 is arranged to be spaced apart from each other in the vertical direction. Each of the connection holes 912 is arranged to be spaced apart from each other in the vertical direction. The respective adjustment holes 914 are arranged to be spaced apart from each other in the vertical direction. The respective adjustment holes 914 are arranged to be spaced apart from each other in the vertical direction. The connection holes 912 and the adjustment holes 914 are provided in the same number with respect to each other. The connection hole 912 and the adjustment hole 914 are positioned so as to face each other at the same height.

연결 덕트(920)는 배기 덕트(910)와 가열 유닛(800)을 연결한다. 연결 덕트(920)는 복수 개로 제공되며, 각각은 연결홀(912)에 설치된다. 각각의 연결 덕트(920)는 각 가열 유닛(800)에 일대일 대응되게 연결한다. 이에 따라 각각의 처리 공간과 배기 덕트(910)는 연결 덕트(920)를 통해 서로 연통될 수 있다. The connecting duct 920 connects the exhaust duct 910 and the heating unit 800. A plurality of connecting ducts 920 are provided, each of which is installed in a connecting hole 912. Each connecting duct 920 is correspondingly connected to each heating unit 800 in a one-to-one correspondence. Accordingly, each processing space and the exhaust duct 910 can communicate with each other through the connecting duct 920.

조절 부재(930)는 감압 부재(925)로부터 처리 공간(812)에 전달되는 배기 압력을 조절한다. 즉, 처리 공간(812)의 배기량을 조절한다. 조절 부재(930)는 연결홀(912)을 차단 또는 개방하여 배기량을 조절한다. 조절 부재(930)는 복수 개로 제공되며, 각각은 연결홀(912)에 일대일 대응되게 위치된다. 조절 부재(930)는 조절 블럭(932) 및 블럭 이동 부재(940)를 포함한다. 조절 블럭(932)은 배기 덕트(910) 내에 위치된다. 조절 블럭(932)은 연결홀(912)과 동일 높이에서 마주보도록 위치된다. 조절 블럭(932)은 연결홀(912)과 마주하는 블럭면은 가진다. 블럭면은 연결홀(912)에 비해 큰 면적을 가진다. The regulating member 930 regulates the exhaust pressure delivered from the pressure-reducing member 925 to the process space 812. That is, the exhaust amount of the processing space 812 is adjusted. The adjusting member 930 blocks or opens the connecting hole 912 to adjust the amount of exhaust. The adjustment members 930 are provided in a plurality, and each is positioned in a one-to-one correspondence with the connection holes 912. The adjustment member 930 includes a control block 932 and a block-moving member 940. The control block 932 is located in the exhaust duct 910. The adjustment block 932 is positioned to face the connection hole 912 at the same height. The adjustment block 932 has a block surface facing the connection hole 912. [ The block surface has a larger area than the connection hole 912.

조절 블럭(932)은 블럭 이동 부재(940)에 의해 차단 위치, 개방 위치, 그리고 공정 위치로 이동 가능하다. 도 9와 같이 차단 위치는 조절 블럭(932)이 연결홀(912)을 차단하는 위치이다. 차단 위치에는 블럭면이 연결홀(912)을 차단한다. 즉 블럭면은 배기 덕트(910)의 내측면에 접촉되게 위치된다. 도 10과 같이 개방 위치는 블럭면이 연결홀(912)로부터 기설정 거리 이상으로 이격되는 위치이다. 즉 개방 위치에는 처리 공간(812)의 배기량이 최대치를 가진다. 도 11과 같이 공정 위치는 블럭면이 연결홀(912)로부터 기설정 거리 이내로 이격되는 위치이다. 공정 위치에는 조절 블럭(932)과 연결홀(912) 간에 간격에 따라 배기량이 조절된다. 즉, 조절 블럭(932)이 연결홀(912)에 가까워질수록 배기량은 줄어들고, 멀어질수록 배기량은 늘어난다. 처리 공간에서 기판을 처리하는 중에는 조절 블럭(932)이 공정 위치로 이동될 수 있다.The adjustment block 932 is movable by the block-moving member 940 to the blocking position, the open position, and the process position. As shown in FIG. 9, the cutoff position is a position where the adjustment block 932 blocks the connection hole 912. In the blocking position, the block surface blocks the connection hole 912. That is, the block face is positioned in contact with the inner surface of the exhaust duct 910. As shown in Fig. 10, the open position is a position where the block surface is spaced apart from the connection hole 912 by a predetermined distance or more. That is, the exhaust amount of the processing space 812 has the maximum value at the open position. As shown in FIG. 11, the process position is a position where the block surface is spaced from the connection hole 912 by a predetermined distance. In the process position, the displacement amount is adjusted according to the distance between the adjustment block 932 and the connection hole 912. That is, as the control block 932 approaches the connection hole 912, the amount of displacement decreases, and as the distance increases, the displacement increases. During processing of the substrate in the process space, the control block 932 can be moved to the process position.

블럭 이동 부재(940)는 조절핀(942) 및 헤드(944)를 포함한다. 조절핀(942)은 복수 개로 제공되며, 각각이 조절홀(914)에 삽입되게 위치된다. 조절핀(942)의 일단은 배기 덕트(910) 내에 위치되고, 타단은 배기 덕트(910)의 외부에 위치된다. 조절핀(942)은 조절홀(914)에 나사 결합된다. 조절핀(942)은 중심축을 기준으로 회전되어 조절홀(914)이 향하는 방향 또는 이의 반대 방향으로 이동 가능하다. 조절핀(942)의 일단은 조절 블럭(932)에 고정 결합된다. 이에 따라 조절 블럭(932)은 연결홀(912)에 가까워지거나 멀어지는 방향으로 이동 가능하다. 헤드(944)는 조절핀(942)의 끝단에 고정 결합된다. 헤드(944)는 조절핀(942)에 비해 큰 직경을 가지도록 제공된다. 헤드(944)는 배기 덕트(910)의 외측에 위치된다. 예컨대, 헤드(944)는 작업자가 조절핀(942)을 회전시킬 수 있는 손잡이일 수 있다.The block moving member 940 includes an adjusting pin 942 and a head 944. A plurality of adjustment pins 942 are provided, each of which is positioned to be inserted into the adjustment hole 914. One end of the regulating pin 942 is located in the exhaust duct 910 and the other end is located outside the exhaust duct 910. The adjustment pin 942 is screwed into the adjustment hole 914. The adjustment pin 942 is rotatable with respect to the central axis and is movable in the direction in which the adjustment hole 914 faces or in the opposite direction. One end of the regulating pin 942 is fixedly coupled to the regulating block 932. Accordingly, the adjustment block 932 is movable in a direction approaching or away from the connection hole 912. The head 944 is fixedly coupled to the end of the adjustment pin 942. [ The head 944 is provided to have a larger diameter than the adjustment pin 942. The head 944 is located outside the exhaust duct 910. For example, the head 944 may be a handle by which an operator can rotate the adjustment pin 942. [

고정 부재(960)는 조절핀(942)의 위치를 고정시킨다. 예컨대, 작업자는 가열 유닛(800)을 유지 보수 시 조절 블럭(932)을 차단 위치로 이동시키고, 조절핀(942)의 위치를 고정시킬 수 있다. 고정 부재(960)는 클램프 부재(960)로 제공될 수 있다. 클램프 부재(960)는 조절핀(942)의 외주면은 감싸며, 조절핀(942)의 회전을 중지시킨다. 이에 따라 공정 대기 중인 가열 유닛(800)에 대해서는 처리 공간(812)에 제공되는 배기압을 차단할 수 있다.The fixing member 960 fixes the position of the adjusting pin 942. For example, the operator can move the heating unit 800 to the shutoff position and to fix the position of the adjustment pin 942 during maintenance. The clamping member 960 may be provided with a clamping member 960. The clamp member 960 surrounds the outer circumferential surface of the adjustment pin 942 and stops the rotation of the adjustment pin 942. [ Accordingly, the exhaust pressure provided in the processing space 812 can be cut off for the heating unit 800 waiting for the process.

상술한 실시예에 의하면, 외부의 기류의 유입없이 각 처리 공간(812)의 배기량을 조절한다. 이로 인해 배기량을 정밀 조절 가능하다. 또한 처리 공간(812)의 배기량을 완전 차단할 수 있다.According to the above-described embodiment, the exhaust amount of each processing space 812 is adjusted without influx of external airflow. This makes it possible to precisely control the displacement. And the exhaust amount of the processing space 812 can be completely blocked.

또한 조절 블럭(932)은 연결홀(912)과 가까워지는 방향 또는 멀어지는 방향으로 이동되며, 연결홀(912)에 삽입되지 않는다. 이로 인해 연결 덕트(920)와 조절 블럭(932) 간에 틈의 부피가 조절되고, 이에 따른 배기량을 조절 가능하며, 연결 덕트(920) 및 연결홀(912)에 공정 부산물이 부착되는 것을 방지할 수 있다.Further, the adjustment block 932 is moved in a direction toward or away from the connection hole 912, and is not inserted into the connection hole 912. As a result, the volume of the gap between the connecting duct 920 and the control block 932 can be adjusted, the amount of exhaust can be controlled, and the process by-products can be prevented from adhering to the connecting duct 920 and the connecting hole 912 have.

다시 도 2 내지 도 5를 참조하면, 현상 모듈(402)은 기판(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 기판(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)를 가진다. 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 현상 챔버(460)와 베이크 챔버(470)는 반송 챔버(480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상 챔버(460)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 현상 챔버(460)가 제공된 예가 도시되었다. 베이크 챔버(470)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(470)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(470)는 더 많은 수로 제공될 수 있다.2 to 5, the developing module 402 includes a developing process for supplying a developing solution to obtain a pattern on the substrate W to remove a part of the photoresist, and a developing process for removing a portion of the photoresist on the substrate W And a heat treatment process such as heating and cooling performed on the substrate. The development module 402 has a development chamber 460, a bake chamber 470, and a transfer chamber 480. The development chamber 460, the bake chamber 470, and the transfer chamber 480 are sequentially disposed along the second direction 14. The development chamber 460 and the bake chamber 470 are positioned apart from each other in the second direction 14 with the transfer chamber 480 therebetween. A plurality of developing chambers 460 are provided, and a plurality of developing chambers 460 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six development chambers 460 are provided. A plurality of bake chambers 470 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six bake chambers 470 are provided. Alternatively, however, the bake chamber 470 can be provided in greater numbers.

반송 챔버(480)는 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(480) 내에는 현상부 로봇(482)과 가이드 레일(483)이 위치된다. 반송 챔버(480)는 대체로 직사각의 형상을 가진다. 현상부 로봇(482)은 베이크 챔버들(470), 현상 챔버들(460), 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 냉각 챔버(350), 그리고 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540) 간에 기판(W)을 이송한다. 가이드 레일(483)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(483)은 현상부 로봇(482)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 현상부 로봇(482)은 핸드(484), 아암(485), 지지대(486), 그리고 받침대(487)를 가진다. 핸드(484)는 아암(485)에 고정 설치된다. 아암(485)은 신축 가능한 구조로 제공되어 핸드(484)가 수평 방향으로 이동 가능하도록 한다. 지지대(486)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(485)은 지지대(486)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(486)에 결합된다. 지지대(486)는 받침대(487)에 고정 결합된다. 받침대(487)는 가이드 레일(483)을 따라 이동 가능하도록 가이드 레일(483)에 결합된다.The transfer chamber 480 is positioned in parallel with the second buffer 330 of the first buffer module 300 in the first direction 12. In the transfer chamber 480, the developing robot 482 and the guide rail 483 are positioned. The delivery chamber 480 has a generally rectangular shape. The development robot 482 is connected to the bake chambers 470 and the development chambers 460 and the second buffer 330 and the cooling chamber 350 of the first buffer module 300 and the second buffer module 500, And the second cooling chamber 540 of the second cooling chamber 540. The guide rail 483 is arranged such that its longitudinal direction is parallel to the first direction 12. The guide rail 483 guides the developing robot 482 to linearly move in the first direction 12. The developing sub-robot 482 has a hand 484, an arm 485, a supporting stand 486, and a pedestal 487. The hand 484 is fixed to the arm 485. The arm 485 is provided in a stretchable configuration to allow the hand 484 to move in a horizontal direction. The support 486 is provided so that its longitudinal direction is disposed along the third direction 16. The arm 485 is coupled to the support 486 such that it is linearly movable along the support 486 in the third direction 16. The support table 486 is fixedly coupled to the pedestal 487. The pedestal 487 is coupled to the guide rail 483 so as to be movable along the guide rail 483.

현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 기판(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다. The development chambers 460 all have the same structure. However, the types of developers used in the respective developing chambers 460 may be different from each other. The development chamber 460 removes a region of the photoresist on the substrate W where light is irradiated. At this time, the area of the protective film irradiated with the light is also removed. Depending on the type of selectively used photoresist, only the areas of the photoresist and protective film that are not irradiated with light can be removed.

현상 챔버(460)는 용기(461), 지지 플레이트(462), 그리고 노즐(463)을 가진다. 용기(461)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 용기(461) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 기판(W) 상으로 현상액을 공급한다. 노즐(463)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(463)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상 챔버(460)에는 추가적으로 현상액이 공급된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다. The development chamber 460 has a container 461, a support plate 462, and a nozzle 463. The container 461 has a cup shape with its top opened. The support plate 462 is located in the container 461 and supports the substrate W. The support plate 462 is rotatably provided. The nozzle 463 supplies the developer onto the substrate W placed on the support plate 462. The nozzle 463 has a circular tube shape and can supply developer to the center of the substrate W. [ Alternatively, the nozzle 463 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 463 may be provided with a slit. Further, the developing chamber 460 may further be provided with a nozzle 464 for supplying a cleaning liquid such as deionized water to clean the surface of the substrate W to which the developer is supplied.

베이크 챔버(470)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(470)은 현상 공정이 수행되기 전에 기판(W)을 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 기판(W)을 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 웨이퍼를 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(470)는 냉각 플레이트(471) 또는 가열 플레이트(472)를 가진다. 냉각 플레이트(471)에는 냉각수 또는 열전 소자와 같은 냉각 수단(473)이 제공된다. 또는 가열 플레이트(472)에는 열선 또는 열전 소자와 같은 가열 수단(474)이 제공된다. 냉각 플레이트(471)와 가열 플레이트(472)는 하나의 베이크 챔버(470) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(470)들 중 일부는 냉각 플레이트(471)만을 구비하고, 다른 일부는 가열 플레이트(472)만을 구비할 수 있다. 현상 모듈(402)의 베이크 챔버(470)는 도포 모듈(401)의 베이크 챔버(420)와 동일한 구성을 가지므로, 이에 대한 상세한 설명은 생략한다.The bake chamber 470 heat-treats the substrate W. For example, the bake chambers 470 may include a post-bake process for heating the substrate W before the development process is performed, a hard bake process for heating the substrate W after the development process is performed, And a cooling step for cooling the wafer. The bake chamber 470 has a cooling plate 471 or a heating plate 472. The cooling plate 471 is provided with a cooling means 473 such as a cooling water or a thermoelectric element. Or the heating plate 472 is provided with a heating means 474 such as a hot wire or a thermoelectric element. The cooling plate 471 and the heating plate 472 may be provided in one bake chamber 470, respectively. Optionally, some of the bake chambers 470 may have only a cooling plate 471, while the other may have only a heating plate 472. [ Since the bake chamber 470 of the developing module 402 has the same configuration as the bake chamber 420 of the application module 401, detailed description thereof will be omitted.

상술한 바와 같이 도포 및 현상 모듈(400)에서 도포 모듈(401)과 현상 모듈(402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 도포 모듈(401)과 현상 모듈(402)은 동일한 챔버 배치를 가질 수 있다. As described above, in the application and development module 400, the application module 401 and the development module 402 are provided to be separated from each other. In addition, the application module 401 and the development module 402 may have the same chamber arrangement as viewed from above.

제 2 버퍼 모듈(500)은 도포 및 현상 모듈(400)과 노광 전후 처리 모듈(600) 사이에 기판(W)이 운반되는 통로로서 제공된다. 또한, 제 2 버퍼 모듈(500)은 기판(W)에 대해 냉각 공정이나 에지 노광 공정 등과 같은 소정의 공정을 수행한다. 제 2 버퍼 모듈(500)은 프레임(510), 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)을 가진다. 프레임(510)은 직육면체의 형상을 가진다. 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)은 프레임(510) 내에 위치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550)는 도포 모듈(401)에 대응하는 높이에 배치된다. 제 2 냉각 챔버(540)는 현상 모듈(402)에 대응하는 높이에 배치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 제 2 냉각 챔버(540)는 순차적으로 제 3 방향(16)을 따라 일렬로 배치된다. 상부에서 바라볼 때 버퍼(520)은 도포 모듈(401)의 반송 챔버(430)와 제 1 방향(12)을 따라 배치된다. 에지 노광 챔버(550)는 버퍼(520) 또는 제 1 냉각 챔버(530)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다. The second buffer module 500 is provided as a path through which the substrate W is transferred between the coating and developing module 400 and the pre- and post-exposure processing module 600. The second buffer module 500 performs a predetermined process on the substrate W such as a cooling process or an edge exposure process. The second buffer module 500 includes a frame 510, a buffer 520, a first cooling chamber 530, a second cooling chamber 540, an edge exposure chamber 550, and a second buffer robot 560 I have. The frame 510 has a rectangular parallelepiped shape. The buffer 520, the first cooling chamber 530, the second cooling chamber 540, the edge exposure chamber 550, and the second buffer robot 560 are located within the frame 510. The buffer 520, the first cooling chamber 530, and the edge exposure chamber 550 are disposed at a height corresponding to the application module 401. The second cooling chamber 540 is disposed at a height corresponding to the development module 402. The buffer 520, the first cooling chamber 530, and the second cooling chamber 540 are sequentially arranged in a row along the third direction 16. The buffer 520 is disposed along the first direction 12 with the transfer chamber 430 of the application module 401. [ The edge exposure chamber 550 is spaced a certain distance in the second direction 14 from the buffer 520 or the first cooling chamber 530.

제 2 버퍼 로봇(560)은 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550) 간에 기판(W)을 운반한다. 제 2 버퍼 로봇(560)은 에지 노광 챔버(550)와 버퍼(520) 사이에 위치된다. 제 2 버퍼 로봇(560)은 제 1 버퍼 로봇(360)과 유사한 구조로 제공될 수 있다. 제 1 냉각 챔버(530)와 에지 노광 챔버(550)는 도포 모듈(401)에서 공정이 수행된 웨이퍼들(W)에 대해 후속 공정을 수행한다. 제 1 냉각 챔버(530)는 도포 모듈(401)에서 공정이 수행된 기판(W)을 냉각한다. 제 1 냉각 챔버(530)는 제 1 버퍼 모듈(300)의 냉각 챔버(350)과 유사한 구조를 가진다. 에지 노광 챔버(550)는 제 1 냉각 챔버(530)에서 냉각 공정이 수행된 웨이퍼들(W)에 대해 그 가장자리를 노광한다. 버퍼(520)는 에지 노광 챔버(550)에서 공정이 수행된 기판들(W)이 후술하는 전처리 모듈(601)로 운반되기 전에 기판(W)을 일시적으로 보관한다. 제 2 냉각 챔버(540)는 후술하는 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)이 현상 모듈(402)로 운반되기 전에 웨이퍼들(W)을 냉각한다. 제 2 버퍼 모듈(500)은 현상 모듈(402)와 대응되는 높이에 추가된 버퍼를 더 가질 수 있다. 이 경우, 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)은 추가된 버퍼에 일시적으로 보관된 후 현상 모듈(402)로 운반될 수 있다.The second buffer robot 560 carries the substrate W between the buffer 520, the first cooling chamber 530, and the edge exposure chamber 550. A second buffer robot 560 is positioned between the edge exposure chamber 550 and the buffer 520. The second buffer robot 560 may be provided in a structure similar to that of the first buffer robot 360. The first cooling chamber 530 and the edge exposure chamber 550 perform a subsequent process on the wafers W that have been processed in the application module 401. The first cooling chamber 530 cools the substrate W processed in the application module 401. The first cooling chamber 530 has a structure similar to the cooling chamber 350 of the first buffer module 300. The edge exposure chamber 550 exposes its edge to the wafers W that have undergone the cooling process in the first cooling chamber 530. The buffer 520 temporarily stores the substrate W before the substrates W processed in the edge exposure chamber 550 are transported to the preprocessing module 601 described later. The second cooling chamber 540 cools the wafers W before the wafers W processed in the post-processing module 602 described below are conveyed to the developing module 402. The second buffer module 500 may further have a buffer added to the height corresponding to the development module 402. In this case, the wafers W processed in the post-processing module 602 may be temporarily stored in the added buffer and then transferred to the developing module 402. [

노광 전후 처리 모듈(600)은, 노광 장치(900)가 액침 노광 공정을 수행하는 경우, 액침 노광시에 기판(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 처리할 수 있다. 또한, 노광 전후 처리 모듈(600)은 노광 이후에 기판(W)을 세정하는 공정을 수행할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행된 경우, 노광 전후 처리 모듈(600)은 노광 후 베이크 공정을 처리할 수 있다. The pre- and post-exposure processing module 600 may process a process of applying a protective film for protecting the photoresist film applied to the substrate W during liquid immersion exposure, when the exposure apparatus 900 performs the liquid immersion exposure process. In addition, the pre- and post-exposure processing module 600 may perform a process of cleaning the substrate W after exposure. In addition, when the coating process is performed using the chemically amplified resist, the pre- and post-exposure processing module 600 can process the post-exposure bake process.

노광 전후 처리 모듈(600)은 전처리 모듈(601)과 후처리 모듈(602)을 가진다. 전처리 모듈(601)은 노광 공정 수행 전에 기판(W)을 처리하는 공정을 수행하고, 후처리 모듈(602)은 노광 공정 이후에 기판(W)을 처리하는 공정을 수행한다. 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 전처리 모듈(601)은 후처리 모듈(602)의 상부에 위치된다. 전처리 모듈(601)은 도포 모듈(401)과 동일한 높이로 제공된다. 후처리 모듈(602)은 현상 모듈(402)과 동일한 높이로 제공된다. 전처리 모듈(601)은 보호막 도포 챔버(610), 베이크 챔버(620), 그리고 반송 챔버(630)를 가진다. 보호막 도포 챔버(610), 반송 챔버(630), 그리고 베이크 챔버(620)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 보호막 도포 챔버(610)와 베이크 챔버(620)는 반송 챔버(630)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(610)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 보호막 도포 챔버(610)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(620)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(620)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The pre-exposure post-processing module 600 has a pre-processing module 601 and a post-processing module 602. The pre-processing module 601 performs a process of processing the substrate W before the exposure process, and the post-process module 602 performs a process of processing the substrate W after the exposure process. The pre-processing module 601 and the post-processing module 602 are arranged so as to be partitioned into layers with respect to each other. According to one example, the preprocessing module 601 is located on top of the post-processing module 602. The preprocessing module 601 is provided at the same height as the application module 401. The post-processing module 602 is provided at the same height as the developing module 402. The pretreatment module 601 has a protective film application chamber 610, a bake chamber 620, and a transfer chamber 630. The protective film application chamber 610, the transfer chamber 630, and the bake chamber 620 are sequentially disposed along the second direction 14. The protective film application chamber 610 and the bake chamber 620 are positioned apart from each other in the second direction 14 with the transfer chamber 630 therebetween. A plurality of protective film application chambers 610 are provided and are arranged along the third direction 16 to form layers. Alternatively, a plurality of protective film application chambers 610 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of bake chambers 620 are provided and are disposed along the third direction 16 to form layers. Alternatively, a plurality of bake chambers 620 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(630)는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(630) 내에는 전처리 로봇(632)이 위치된다. 반송 챔버(630)는 대체로 정사각 또는 직사각의 형상을 가진다. 전처리 로봇(632)은 보호막 도포 챔버들(610), 베이크 챔버들(620), 제 2 버퍼 모듈(500)의 버퍼(520), 그리고 후술하는 인터페이스 모듈(700)의 제 1 버퍼(720) 간에 기판(W)을 이송한다. 전처리 로봇(632)은 핸드(633), 아암(634), 그리고 지지대(635)를 가진다. 핸드(633)는 아암(634)에 고정 설치된다. 아암(634)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 아암(634)은 지지대(635)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(635)에 결합된다. The transfer chamber 630 is positioned in parallel with the first cooling chamber 530 of the second buffer module 500 in the first direction 12. In the transfer chamber 630, a pre-processing robot 632 is located. The transfer chamber 630 has a generally square or rectangular shape. The preprocessing robot 632 is connected between the protective film application chambers 610, the bake chambers 620, the buffer 520 of the second buffer module 500 and the first buffer 720 of the interface module 700, The substrate W is transferred. The preprocessing robot 632 has a hand 633, an arm 634, and a support 635. The hand 633 is fixed to the arm 634. The arm 634 is provided with a retractable structure and a rotatable structure. The arm 634 is coupled to the support 635 so as to be linearly movable along the support 635 in the third direction 16.

보호막 도포 챔버(610)는 액침 노광 시에 레지스트 막을 보호하는 보호막을 기판(W) 상에 도포한다. 보호막 도포 챔버(610)는 하우징(611), 지지 플레이트(612), 그리고 노즐(613)을 가진다. 하우징(611)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(612)는 하우징(611) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(612)는 회전 가능하게 제공된다. 노즐(613)은 지지 플레이트(612)에 놓인 기판(W) 상으로 보호막 형성을 위한 보호액을 공급한다. 노즐(613)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 보호액을 공급할 수 있다. 선택적으로 노즐(613)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(613)의 토출구는 슬릿으로 제공될 수 있다. 이 경우, 지지 플레이트(612)는 고정된 상태로 제공될 수 있다. 보호액은 발포성 재료를 포함한다. 보호액은 포토 레지스터 및 물과의 친화력이 낮은 재료가 사용될 수 있다. 예컨대, 보호액은 불소계의 용제를 포함할 수 있다. 보호막 도포 챔버(610)는 지지 플레이트(612)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 보호액을 공급한다. The protective film applying chamber 610 applies a protective film for protecting the resist film on the substrate W during liquid immersion exposure. The protective film application chamber 610 has a housing 611, a support plate 612, and a nozzle 613. The housing 611 has a cup shape with its top opened. The support plate 612 is located in the housing 611 and supports the substrate W. [ The support plate 612 is rotatably provided. The nozzle 613 supplies a protective liquid for forming a protective film onto the substrate W placed on the supporting plate 612. The nozzle 613 has a circular tube shape and can supply the protective liquid to the center of the substrate W. [ Alternatively, the nozzle 613 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 613 may be provided with a slit. In this case, the support plate 612 may be provided in a fixed state. The protective liquid includes a foamable material. The protective liquid may be a photoresist and a material having a low affinity for water. For example, the protective liquid may contain a fluorine-based solvent. The protective film application chamber 610 supplies the protective liquid to the central region of the substrate W while rotating the substrate W placed on the support plate 612.

베이크 챔버(620)는 보호막이 도포된 기판(W)을 열처리한다. 베이크 챔버(620)는 냉각 플레이트(621) 또는 가열 플레이트(622)를 가진다. 냉각 플레이트(621)에는 냉각수 또는 열전 소자와 같은 냉각 수단(623)이 제공된다. 또는 가열 플레이트(622)에는 열선 또는 열전 소자와 같은 가열 수단(624)이 제공된다. 가열 플레이트(622)와 냉각 플레이트(621)는 하나의 베이크 챔버(620) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버들(620) 중 일부는 가열 플레이트(622) 만을 구비하고, 다른 일부는 냉각 플레이트(621) 만을 구비할 수 있다. The bake chamber 620 heat-treats the substrate W coated with the protective film. The bake chamber 620 has a cooling plate 621 or a heating plate 622. The cooling plate 621 is provided with a cooling means 623 such as a cooling water or a thermoelectric element. Or heating plate 622 is provided with a heating means 624, such as a hot wire or a thermoelectric element. The heating plate 622 and the cooling plate 621 may be provided in a single bake chamber 620, respectively. Optionally, some of the bake chambers 620 may have only the heating plate 622, while others may only have the cooling plate 621.

후처리 모듈(602)은 세정 챔버(660), 노광 후 베이크 챔버(670), 그리고 반송 챔버(680)를 가진다. 세정 챔버(660), 반송 챔버(680), 그리고 노광 후 베이크 챔버(670)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 세정 챔버(660)와 노광 후 베이크 챔버(670)는 반송 챔버(680)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(660)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 세정 챔버(660)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(670)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(670)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The post-processing module 602 has a cleaning chamber 660, a post-exposure bake chamber 670, and a delivery chamber 680. The cleaning chamber 660, the transfer chamber 680, and the post-exposure bake chamber 670 are sequentially disposed along the second direction 14. Accordingly, the cleaning chamber 660 and the post-exposure baking chamber 670 are positioned apart from each other in the second direction 14 with the transfer chamber 680 therebetween. A plurality of cleaning chambers 660 are provided and may be disposed along the third direction 16 to form layers. Alternatively, a plurality of cleaning chambers 660 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of post-exposure bake chambers 670 are provided and may be disposed along the third direction 16 to form layers. Alternatively, a plurality of post-exposure bake chambers 670 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(680)는 상부에서 바라볼 때 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(680)는 대체로 정사각 또는 직사각의 형상을 가진다. 반송 챔버(680) 내에는 후처리 로봇(682)이 위치된다. 후처리 로봇(682)은 세정 챔버들(660), 노광 후 베이크 챔버들(670), 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540), 그리고 후술하는 인터페이스 모듈(700)의 제 2 버퍼(730) 간에 기판(W)을 운반한다. 후처리 모듈(602)에 제공된 후처리 로봇(682)은 전처리 모듈(601)에 제공된 전처리 로봇(632)과 동일한 구조로 제공될 수 있다. The transfer chamber 680 is positioned in parallel with the second cooling chamber 540 of the second buffer module 500 in the first direction 12 as viewed from above. The transfer chamber 680 has a generally square or rectangular shape. A post processing robot 682 is located in the transfer chamber 680. The post-processing robot 682 is connected to the cleaning chambers 660, post-exposure bake chambers 670, the second cooling chamber 540 of the second buffer module 500, and the second And transfers the substrate W between the buffers 730. The postprocessing robot 682 provided in the postprocessing module 602 may be provided with the same structure as the preprocessing robot 632 provided in the preprocessing module 601. [

세정 챔버(660)는 노광 공정 이후에 기판(W)을 세정한다. 세정 챔버(660)는 하우징(661), 지지 플레이트(662), 그리고 노즐(663)을 가진다. 하우징(661)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(662)는 하우징(661) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(662)는 회전 가능하게 제공된다. 노즐(663)은 지지 플레이트(662)에 놓인 기판(W) 상으로 세정액을 공급한다. 세정액으로는 탈이온수와 같은 물이 사용될 수 있다. 세정 챔버(660)는 지지 플레이트(662)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 세정액을 공급한다. 선택적으로 기판(W)이 회전되는 동안 노즐(663)은 기판(W)의 중심 영역에서 가장자리 영역까지 직선 이동 또는 회전 이동할 수 있다. The cleaning chamber 660 cleans the substrate W after the exposure process. The cleaning chamber 660 has a housing 661, a support plate 662, and a nozzle 663. The housing 661 has a cup shape with an open top. The support plate 662 is located in the housing 661 and supports the substrate W. [ The support plate 662 is rotatably provided. The nozzle 663 supplies the cleaning liquid onto the substrate W placed on the support plate 662. As the cleaning liquid, water such as deionized water may be used. The cleaning chamber 660 supplies the cleaning liquid to the central region of the substrate W while rotating the substrate W placed on the support plate 662. Optionally, while the substrate W is rotating, the nozzle 663 may move linearly or rotationally from the central region of the substrate W to the edge region.

노광 후 베이크 챔버(670)는 원자외선을 이용하여 노광 공정이 수행된 기판(W)을 가열한다. 노광 후 베이크 공정은 기판(W)을 가열하여 노광에 의해 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화를 완성시킨다. 노광 후 베이크 챔버(670)는 가열 플레이트(672)를 가진다. 가열 플레이트(672)에는 열선 또는 열전 소자와 같은 가열 수단(674)이 제공된다. 노광 후 베이크 챔버(670)는 그 내부에 냉각 플레이트(671)를 더 구비할 수 있다. 냉각 플레이트(671)에는 냉각수 또는 열전 소자와 같은 냉각 수단(673)이 제공된다. 또한, 선택적으로 냉각 플레이트(671)만을 가진 베이크 챔버가 더 제공될 수 있다. The post-exposure bake chamber 670 heats the substrate W subjected to the exposure process using deep UV light. The post-exposure baking step heats the substrate W and amplifies the acid generated in the photoresist by exposure to complete the property change of the photoresist. The post-exposure bake chamber 670 has a heating plate 672. The heating plate 672 is provided with a heating means 674 such as a hot wire or a thermoelectric element. The post-exposure bake chamber 670 may further include a cooling plate 671 therein. The cooling plate 671 is provided with a cooling means 673 such as a cooling water or a thermoelectric element. Further, a bake chamber having only the cooling plate 671 may be further provided.

상술한 바와 같이 노광 전후 처리 모듈(600)에서 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 완전히 분리되도록 제공된다. 또한, 전처리 모듈(601)의 반송 챔버(630)와 후처리 모듈(602)의 반송 챔버(680)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 보호막 도포 챔버(610)와 세정 챔버(660)는 서로 동일한 크기로 제공되어 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 베이크 챔버(620)와 노광 후 베이크 챔버(670)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다.As described above, the pre-processing module 601 and the post-processing module 602 in the pre-exposure processing module 600 are provided to be completely separated from each other. The transfer chamber 630 of the preprocessing module 601 and the transfer chamber 680 of the postprocessing module 602 are provided in the same size and can be provided so as to completely overlap each other when viewed from above. Further, the protective film application chamber 610 and the cleaning chamber 660 may be provided to have the same size as each other and be provided so as to completely overlap with each other when viewed from above. Further, the bake chamber 620 and the post-exposure bake chamber 670 are provided in the same size, and can be provided so as to completely overlap each other when viewed from above.

인터페이스 모듈(700)은 노광 전후 처리 모듈(600), 및 노광 장치(900) 간에 기판(W)을 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 가진다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. 제 1 버퍼(720)는 전처리 모듈(601)과 대응되는 높이에 위치되고, 제 2 버퍼(730)는 후처리 모듈(602)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(720)는 전처리 모듈(601)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(730)는 후처리 모듈(602)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되게 위치된다. The interface module 700 transfers the substrate W between the exposure pre- and post-processing module 600 and the exposure apparatus 900. The interface module 700 has a frame 710, a first buffer 720, a second buffer 730, and an interface robot 740. The first buffer 720, the second buffer 730, and the interface robot 740 are located within the frame 710. The first buffer 720 and the second buffer 730 are spaced apart from each other by a predetermined distance and are stacked on each other. The first buffer 720 is disposed higher than the second buffer 730. The first buffer 720 is positioned at a height corresponding to the preprocessing module 601 and the second buffer 730 is positioned at a height corresponding to the postprocessing module 602. The first buffer 720 is arranged in a line along the first direction 12 with the transfer chamber 630 of the preprocessing module 601 while the second buffer 730 is arranged in the postprocessing module 602, Are arranged in a line along the first direction 12 with the transfer chamber 630 of the transfer chamber 630. [

인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 기판(W)을 운반한다. 인터페이스 로봇(740)은 제 2 버퍼 로봇(560)과 대체로 유사한 구조를 가진다.The interface robot 740 is spaced apart from the first buffer 720 and the second buffer 730 in the second direction 14. The interface robot 740 carries the substrate W between the first buffer 720, the second buffer 730 and the exposure apparatus 900. The interface robot 740 has a structure substantially similar to that of the second buffer robot 560.

제 1 버퍼(720)는 전처리 모듈(601)에서 공정이 수행된 기판들(W)이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 기판들(W)이 후처리 모듈(602)로 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 기판(W)이 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 기판(W)을 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 대체로 유사한 구조를 가진다. 다만, 제 2 버퍼(730)의 하우징(4531)에는 인터페이스 로봇(740)이 제공된 방향 및 후처리 로봇(682)이 제공된 방향에 개구(도시되지 않음)를 가진다. 인터페이스 모듈에는 웨이퍼에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.The first buffer 720 temporarily stores the substrates W processed in the preprocessing module 601 before they are transferred to the exposure apparatus 900. The second buffer 730 temporarily stores the processed substrates W in the exposure apparatus 900 before they are transferred to the post-processing module 602. The first buffer 720 has a housing 721 and a plurality of supports 722. The supports 722 are disposed within the housing 721 and are provided spaced apart from each other in the third direction 16. One substrate W is placed on each support 722. The housing 721 is movable in the direction in which the interface robot 740 is provided and in the direction in which the interface robot 740 and the preprocessing robot 632 transfer the substrate W to and from the support table 722, 632 are provided with openings (not shown) in the direction in which they are provided. The second buffer 730 has a structure substantially similar to that of the first buffer 720. However, the housing 4531 of the second buffer 730 has an opening (not shown) in the direction in which the interface robot 740 is provided and in a direction in which the postprocessing robot 682 is provided. The interface module may be provided with only buffers and robots as described above without providing a chamber to perform a predetermined process on the wafer.

다음에는 상술한 기판 처리 설비(1)를 이용하여 공정을 수행하는 일 예를 설명한다.Next, an example of performing the process using the above-described substrate processing apparatus 1 will be described.

웨이퍼들(W)이 수납된 카세트(20)는 로드 포트(100)의 재치대(120)에 놓인다. 도어 오프너에 의해 카세트(20)의 도어가 개방된다. 인덱스 로봇(220)은 카세트(20)로부터 기판(W)을 꺼내어 제 2 버퍼(330)로 운반한다. The cassette 20 in which the wafers W are accommodated is placed on the mount 120 of the load port 100. [ The door of the cassette 20 is opened by the door opener. The index robot 220 removes the substrate W from the cassette 20 and transfers it to the second buffer 330.

제 1 버퍼 로봇(360)은 제 2 버퍼(330)에 보관된 기판(W)을 제 1 버퍼(320)로 운반한다. 도포부 로봇(432)은 제 1 버퍼(320)로부터 기판(W)을 꺼내어 도포 모듈(401)의 베이크 챔버(420)로 운반한다. 베이크 챔버(420)는 프리 베이크 및 냉각 공정을 순차적으로 수행한다. 도포부 로봇(432)은 베이크 챔버(420)로부터 기판(W)을 꺼내어 레지스트 도포 챔버(410)로 운반한다. 레지스트 도포 챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. 이후 기판(W) 상에 포토 레지스트가 도포되면, 도포부 로봇(432)은 기판(W)을 레지스트 도포 챔버(410)로부터 베이크 챔버(420)로 운반한다. 베이크 챔버(420)는 기판(W)에 대해 소프트 베이크 공정을 수행한다. The first buffer robot 360 carries the substrate W stored in the second buffer 330 to the first buffer 320. The application robot 432 removes the substrate W from the first buffer 320 and transfers the wafer W to the bake chamber 420 of the application module 401. The bake chamber 420 sequentially performs a pre-bake and a cooling process. The application part robot 432 removes the substrate W from the bake chamber 420 and transfers it to the resist application chamber 410. The resist coating chamber 410 applies a photoresist on the substrate W. [ Then, when the photoresist is applied onto the substrate W, the application part robot 432 carries the substrate W from the resist application chamber 410 to the bake chamber 420. The bake chamber 420 performs a soft bake process on the substrate W.

도포부 로봇(432)은 베이크 챔버(420)에서 기판(W)을 꺼내어 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)로 운반한다. 제 1 냉각 챔버(530)에서 기판(W)에 대해 냉각 공정이 수행된다. 제 1 냉각 챔버(530)에서 공정이 수행된 기판(W)은 제 2 버퍼 로봇(560)에 의해 에지 노광 챔버(550)로 운반된다. 에지 노광 챔버(550)는 기판(W)의 가장자리 영역을 노광하는 공정을 수행한다. 에지 노광 챔버(550)에서 공정이 완료된 기판(W)은 제 2 버퍼 로봇(560)에 의해 버퍼(520)로 운반된다.The application robot 432 removes the substrate W from the bake chamber 420 and transfers the substrate W to the first cooling chamber 530 of the second buffer module 500. A cooling process is performed on the substrate W in the first cooling chamber 530. [ The substrate W processed in the first cooling chamber 530 is transported to the edge exposure chamber 550 by the second buffer robot 560. The edge exposure chamber 550 performs a process of exposing an edge region of the substrate W. [ The substrate W having been processed in the edge exposure chamber 550 is transferred to the buffer 520 by the second buffer robot 560.

전처리 로봇(632)은 버퍼(520)로부터 기판(W)을 꺼내어 전처리 모듈(601)의 보호막 도포 챔버(610)로 운반한다. 보호막 도포 챔버(610)는 기판(W) 상에 보호막을 도포한다. 이후 전처리 로봇(632)은 기판(W)을 보호막 도포 챔버(610)로부터 베이크 챔버(620)로 운반한다. 베이크 챔버(620)는 기판(W)에 대해 가열 및 냉각 등과 같은 열처리를 수행한다. The preprocessing robot 632 takes the substrate W from the buffer 520 and transfers it to the protective film application chamber 610 of the preprocessing module 601. The protective film applying chamber 610 applies a protective film on the substrate W. [ Thereafter, the pre-processing robot 632 carries the substrate W from the protective film application chamber 610 to the bake chamber 620. The bake chamber 620 performs a heat treatment on the substrate W such as heating and cooling.

전처리 로봇(632)은 베이크 챔버(620)에서 기판(W)을 꺼내어 인터페이스 모듈(700)의 제 1 버퍼(720)로 운반한다. 인터페이스 로봇(740)은 제 1 버퍼(720)로부터 처리 모듈(800)의 반전 유닛(840)으로 웨이퍼를 운반한다. 반전 유닛(840)은 웨이퍼의 제 1 면(패턴 면)이 아래 방향을 향하도록 웨이퍼를 반전시킨다. 반전된 웨이퍼는 스핀 척(810) 상에 로딩되고, 로딩된 웨이퍼는 핀 부재들(811a, 811b)에 의해 척킹된다.The preprocessing robot 632 takes the substrate W out of the bake chamber 620 and transfers it to the first buffer 720 of the interface module 700. The interface robot 740 carries the wafer from the first buffer 720 to the inversion unit 840 of the processing module 800. The inversion unit 840 inverts the wafer so that the first side (pattern side) of the wafer faces downward. The inverted wafer is loaded on the spin chuck 810, and the loaded wafer is chucked by the pin members 811a and 811b.

스핀 척(810)의 지지판(812) 형성된 분사 홀들(852)을 통해 웨이퍼의 제 1 면으로 질소 가스와 같은 불활성 가스가 분사되고, 이후 분사 홀들(852)을 통해 웨이퍼의 제 1 면으로 탈이온수와 같은 린스액이 분사된다. 린스액은 가스와 함께 분사 홀들(852)을 통해 웨이퍼의 제 1 면에 분사될 수도 있다. 웨이퍼의 제 1 면으로의 가스 및/또는 린스액의 분사시, 스핀 척(810)은 회전될 수 있으며, 이와 달리 회전되지 않을 수도 있다. 그리고, 린스액 분사 유닛(860)은 웨이퍼의 제 2 면에 린스액을 분사한다.An inert gas such as nitrogen gas is injected onto the first surface of the wafer through the injection holes 852 formed in the support plate 812 of the spin chuck 810 and then is injected into the first surface of the wafer through the injection holes 852 with deionized water The rinsing liquid is sprayed. The rinse liquid may be sprayed onto the first side of the wafer through the injection holes 852 with the gas. Upon injection of the gas and / or rinse liquid to the first side of the wafer, the spin chuck 810 may be rotated and otherwise not rotated. Then, the rinse liquid spray unit 860 sprays rinsing liquid onto the second surface of the wafer.

이후 웨이퍼는 인터페이스 로봇(740)에 의해 처리 모듈(800)로부터 제 1 버퍼(720)로 운반된 후, 제 1 버퍼(720)로부터 노광 장치(900)로 운반된다. 노광 장치(900)는 웨이퍼의 제 1 면에 대해 노광 공정, 예를 들어 액침 노광 공정을 수행한다. 노광 장치(900)에서 기판(W)에 대해 노광 공정이 완료되면, 인터페이스 로봇(740)은 노광 장치(900)에서 기판(W)을 제 2 버퍼(730)로 운반한다. The wafer is then transferred from the processing module 800 to the first buffer 720 by the interface robot 740 and then transferred from the first buffer 720 to the exposure apparatus 900. The exposure apparatus 900 performs an exposure process, for example, a liquid immersion exposure process, on the first surface of the wafer. When the exposure process for the substrate W is completed in the exposure apparatus 900, the interface robot 740 carries the substrate W from the exposure apparatus 900 to the second buffer 730.

후처리 로봇(682)은 제 2 버퍼(730)로부터 기판(W)을 꺼내어 후처리 모듈(602)의 세정 챔버(660)로 운반한다. 세정 챔버(660)는 기판(W)의 표면에 세정액을 공급하여 세정 공정을 수행한다. 세정액을 이용한 기판(W)의 세정이 완료되면 후처리 로봇(682)은 곧바로 세정 챔버(660)로부터 기판(W)을 꺼내어 노광 후 베이크 챔버(670)로 기판(W)을 운반한다. 노광 후 베이크 챔버(670)의 가열 플레이트(672)에서 기판(W)의 가열에 의해 기판(W) 상에 부착된 세정액이 제거되고, 이와 동시에 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화가 완성된다. 후처리 로봇(682)은 노광 후 베이크 챔버(670)로부터 기판(W)을 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)로 운반한다. 제 2 냉각 챔버(540)에서 기판(W)의 냉각이 수행된다.The postprocessing robot 682 takes the substrate W from the second buffer 730 and transfers it to the cleaning chamber 660 of the postprocessing module 602. The cleaning chamber 660 supplies a cleaning liquid to the surface of the substrate W to perform a cleaning process. After the cleaning of the substrate W using the cleaning liquid is completed, the post-processing robot 682 immediately removes the substrate W from the cleaning chamber 660 and transports the substrate W to the post-exposure bake chamber 670. The cleaning liquid adhered on the substrate W is removed by heating the substrate W in the heating plate 672 of the post-exposure bake chamber 670 while the acid generated in the photoresist is amplified, The property change of the resist is completed. The post-processing robot 682 carries the substrate W from the post-exposure baking chamber 670 to the second cooling chamber 540 of the second buffer module 500. Cooling of the substrate W in the second cooling chamber 540 is performed.

현상부 로봇(482)은 제 2 냉각 챔버(540)로부터 기판(W)을 꺼내어 현상 모듈(402)의 베이크 챔버(470)로 운반한다. 베이크 챔버(470)는 포스트 베이크 및 냉각 공정을 순차적으로 수행한다. 현상부 로봇(482)은 베이크 챔버(470)로부터 기판(W)을 꺼내어 현상 챔버(460)로 운반한다. 현상 챔버(460)는 기판(W) 상에 현상액을 공급하여 현상 공정을 수행한다. 이후 현상부 로봇(482)은 기판(W)을 현상 챔버(460)로부터 베이크 챔버(470)로 운반한다. 베이크 챔버(470)는 기판(W)에 대해 하드 베이크 공정을 수행한다. The developing robot 482 takes the substrate W from the second cooling chamber 540 and transfers it to the bake chamber 470 of the developing module 402. [ The bake chamber 470 sequentially performs post bake and cooling processes. The developing sub-robot 482 takes the substrate W from the bake chamber 470 and transfers it to the developing chamber 460. The development chamber 460 supplies a developer onto the substrate W to perform a development process. The developing robot 482 carries the substrate W from the developing chamber 460 to the bake chamber 470. [ The bake chamber 470 performs a hard bake process on the substrate W.

현상부 로봇(482)은 베이크 챔버(470)에서 기판(W)을 꺼내어 제 1 버퍼 모듈(300)의 냉각 챔버(350)로 운반한다. 냉각 챔버(350)는 기판(W)을 냉각하는 공정을 수행한다. 인덱스 로봇(360)은 냉각 챔버(350)부터 기판(W)을 카세트(20)로 운반한다. 이와 달리, 현상부 로봇(482)는 베이크 챔버(470)에서 기판(W)을 꺼내 제 1 버퍼 모듈(300)의 제 2 버퍼(330)으로 운반하고, 이후 인덱스 로봇(360)에 의해 카세트(20)로 운반될 수 있다.The development robot 482 takes the substrate W from the bake chamber 470 and transfers it to the cooling chamber 350 of the first buffer module 300. [ The cooling chamber 350 performs a process of cooling the substrate W. [ The index robot 360 carries the substrate W from the cooling chamber 350 to the cassette 20. The development robot 482 removes the substrate W from the bake chamber 470 and transports the substrate W to the second buffer 330 of the first buffer module 300, 20). ≪ / RTI >

본 실시예에는 배기 어셈블리(900)가 가열 유닛(800)의 처리 공간(812)을 배기하는 것으로 설명하였다. 그러나 배기 어셈블리(900)는 도포 챔버, 현상 챔버, 그리고 베이크 챔버 등 내부에 공정 분위기를 형성하기 공간을 가지는 챔버라면, 연결 가능하다.In this embodiment, the exhaust assembly 900 is described as exhausting the processing space 812 of the heating unit 800. [ However, the exhaust assembly 900 is connectable if it is a chamber having a space for forming a process atmosphere therein, such as an application chamber, a development chamber, and a bake chamber.

812: 처리 공간 900: 배기 어셈블리
910: 배기 덕트 912: 연결홀
920: 연결 덕트 925: 감압 부재
930: 조절 부재 932: 조절 블럭
940: 블럭 이동 부재 960: 고정 부재
812: Processing space 900: Exhaust assembly
910: exhaust duct 912: connection hole
920: connecting duct 925: pressure reducing member
930: adjusting member 932: adjusting block
940: a block moving member 960: a fixing member

Claims (10)

내부에 기판을 처리하는 처리 공간을 가지는 기판 처리 유닛과;
상기 처리 공간을 배기하는 배기 어셈블리를 포함하되,
상기 배기 어셈블리는
연결홀을 가지는 배기 덕트와;
상기 배기 덕트를 감압하는 감압 부재와;
상기 처리 공간 및 상기 연결홀을 연결하는 연결 덕트와;
상기 처리 공간의 배기량을 조절하는 조절 부재를 포함하되,
상기 조절 부재는,
상기 연결 덕트 내에 제공되는 배기 압력을 조절하는 조절 블럭과;
상기 배기 덕트 내에서 상기 조절 블럭을 이동시키는 블럭 이동 부재를 포함하는 기판 처리 장치.
A substrate processing unit having a processing space for processing a substrate therein;
And an exhaust assembly for exhausting the processing space,
The exhaust assembly
An exhaust duct having a connection hole;
A decompression member for decompressing the exhaust duct;
A connecting duct connecting the processing space and the connection hole;
And an adjusting member for adjusting the displacement of the processing space,
Wherein the adjustment member comprises:
An adjusting block for adjusting the exhaust pressure provided in the connecting duct;
And a block moving member for moving the adjusting block in the exhaust duct.
제1항에 있어서,
상기 블럭 이동 부재는 상기 조절 블럭을 차단 위치, 개방 위치, 그리고 공정 위치로 이동시키되,
상기 차단 위치는 상기 조절 블럭이 상기 연결홀을 차단하는 위치이고,
상기 개방 위치는 상기 조절 블럭이 상기 연결홀로부터 기설정 거리 이상으로 이격되는 위치이며,
상기 공정 위치는 상기 조절 블럭이 상기 연결홀로부터 상기 기설정 거리 이내로 이격되는 위치인 기판 처리 장치,
The method according to claim 1,
Wherein the block moving member moves the control block to a cutoff position, an open position, and a process position,
Wherein the blocking position is a position where the adjusting block blocks the connecting hole,
Wherein the open position is a position where the adjustment block is spaced from the connection hole by a predetermined distance or more,
Wherein the process position is a position at which the adjustment block is spaced from the connection hole within the predetermined distance,
제1항 또는 제2항에 있어서,
상기 조절 블럭은 상기 연결홀에 마주보도록 블럭면을 가지고,
상기 블럭면은 상기 연결홀에 비해 큰 면적으로 제공되는 기판 처리 장치.
3. The method according to claim 1 or 2,
Wherein the adjustment block has a block surface facing the connection hole,
Wherein the block surface is provided in a larger area than the connection hole.
제3항에 있어서,
상기 배기 덕트에는 상기 연결홀과 마주보는 조절홀이 더 형성되고,
상기 블럭 이동 부재는,
상기 조절홀에 삽입되며, 상기 조절 블럭에 고정 결합되는 조절핀을 포함하는 기판 처리 장치.
The method of claim 3,
The exhaust duct is further provided with an adjusting hole facing the connecting hole,
Wherein the block-
And an adjustment pin inserted in the adjustment hole and fixedly coupled to the adjustment block.
제4항에 있어서,
상기 조절핀은 상기 연결홀이 향하는 방향과 평행한 일방향으로 이동 가능하고,
상기 조절 부재는,
상기 조절핀의 위치를 고정시키는 고정 부재를 더 포함하는 기판 처리 장치.
5. The method of claim 4,
Wherein the adjustment pin is movable in one direction parallel to a direction in which the connection hole faces,
Wherein the adjustment member comprises:
And a fixing member for fixing the position of the adjusting pin.
제1항 또는 제2항에 있어서,
상기 기판 처리 유닛은,
상기 처리 공간에서 기판을 지지하는 지지 플레이트와;
상기 지지 플레이트에 위치되며, 상기 지지 플레이트에 지지된 기판을 가열하는 히터를 포함하는 기판 처리 장치.
3. The method according to claim 1 or 2,
The substrate processing unit includes:
A support plate for supporting the substrate in the processing space;
And a heater disposed on the support plate and heating the substrate supported by the support plate.
내부에 기판을 처리하는 처리 공간을 가지며, 복수 개가 서로 적층되게 위치되는 챔버들과;
각각의 상기 처리 공간을 배기하는 배기 어셈블리를 포함하되,
상기 배기 어셈블리는,
복수의 연결홀들을 가지는 배기 덕트와;
상기 배기 덕트를 감압하는 감압 부재와;
복수 개로 제공되며, 상기 처리 공간 및 상기 연결홀을 일대일 연결하는 연결 덕트들과;
각각의 상기 처리 공간의 배기량을 조절하는 조절 부재를 포함하되,
상기 조절 부재는,
상기 연결 덕트들 각각에 제공되는 배기 압력을 조절하는 조절 블럭과;
상기 배기 덕트 내에서 상기 조절 블럭을 이동시키는 블럭 이동 부재를 포함하는 기판 처리 장치.
Chambers having a processing space for processing a substrate therein, the chambers being positioned such that a plurality of the processing chambers are stacked on each other;
And an exhaust assembly for exhausting each of the processing spaces,
The exhaust assembly includes:
An exhaust duct having a plurality of connection holes;
A decompression member for decompressing the exhaust duct;
A plurality of connection ducts connecting the processing space and the connection holes one by one;
And an adjusting member for adjusting the displacement of each of the processing spaces,
Wherein the adjustment member comprises:
An adjusting block for adjusting the exhaust pressure provided to each of the connecting ducts;
And a block moving member for moving the adjusting block in the exhaust duct.
제7항에 있어서,
상기 조절 블럭은 상기 연결홀에 마주보도록 블럭면을 가지고,
상기 블럭면은 상기 연결홀에 비해 큰 면적으로 제공되는 기판 처리 장치.
8. The method of claim 7,
Wherein the adjustment block has a block surface facing the connection hole,
Wherein the block surface is provided in a larger area than the connection hole.
제8항에 있어서,
상기 배기 덕트에는 상기 연결홀과 마주보는 조절홀이 더 형성되고,
상기 블럭 이동 부재는,
상기 조절홀에 삽입되며, 상기 조절 블럭에 고정 결합되는 조절핀을 포함하는 기판 처리 장치.
9. The method of claim 8,
The exhaust duct is further provided with an adjusting hole facing the connecting hole,
Wherein the block-
And an adjustment pin inserted in the adjustment hole and fixedly coupled to the adjustment block.
제9항에 있어서,
상기 조절핀은 상기 연결홀이 향하는 방향과 평행한 일방향으로 이동 가능하고,
상기 조절 부재는,
상기 조절핀의 위치를 고정시키는 고정 부재를 더 포함하는 기판 처리 장치.

10. The method of claim 9,
Wherein the adjustment pin is movable in one direction parallel to a direction in which the connection hole faces,
Wherein the adjustment member comprises:
And a fixing member for fixing the position of the adjusting pin.

KR1020160086024A 2016-07-07 2016-07-07 Apparatus treating substrate KR101885567B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160086024A KR101885567B1 (en) 2016-07-07 2016-07-07 Apparatus treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160086024A KR101885567B1 (en) 2016-07-07 2016-07-07 Apparatus treating substrate

Publications (2)

Publication Number Publication Date
KR20180005847A true KR20180005847A (en) 2018-01-17
KR101885567B1 KR101885567B1 (en) 2018-08-07

Family

ID=61025864

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160086024A KR101885567B1 (en) 2016-07-07 2016-07-07 Apparatus treating substrate

Country Status (1)

Country Link
KR (1) KR101885567B1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090058774A (en) 2007-12-05 2009-06-10 세메스 주식회사 Exhaust unit and method, and apparatus for treating substrate with the exhaust unit
KR20110043241A (en) * 2009-10-21 2011-04-27 피에스케이 주식회사 Bellows unit, substrates treating apparatus including the unit, and method for checking leak of the unit
KR20140018256A (en) * 2011-03-01 2014-02-12 어플라이드 머티어리얼스, 인코포레이티드 Vacuum chambers with shared pump

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090058774A (en) 2007-12-05 2009-06-10 세메스 주식회사 Exhaust unit and method, and apparatus for treating substrate with the exhaust unit
KR20110043241A (en) * 2009-10-21 2011-04-27 피에스케이 주식회사 Bellows unit, substrates treating apparatus including the unit, and method for checking leak of the unit
KR20140018256A (en) * 2011-03-01 2014-02-12 어플라이드 머티어리얼스, 인코포레이티드 Vacuum chambers with shared pump

Also Published As

Publication number Publication date
KR101885567B1 (en) 2018-08-07

Similar Documents

Publication Publication Date Title
KR101605721B1 (en) Bake apparatus and Apparatus for treating substrate
KR102397846B1 (en) Apparatus for treating a substrate
KR101935945B1 (en) Apparatus for treating substrate
KR20160017780A (en) Substrate treating apparatus and method
KR20190004494A (en) Apparatus for treating substrate
KR101895404B1 (en) Apparatus and Method for treating substrate
KR20180001690A (en) bake apparatus a having the unit and method processing substrate by using thereof
KR101885567B1 (en) Apparatus treating substrate
KR20160076508A (en) Substrate treating apparatus
KR102534608B1 (en) Apparatus for treating substrate and Exhaust method
KR101909481B1 (en) Bake unit, Apparatus and method for treating substrate with the unit
KR20170056224A (en) Bake apparatus and bake method
KR101914482B1 (en) Substrate treating apparatus and substrate treating method
KR101870655B1 (en) Apparatus for treatinf substrate
KR20160134926A (en) Method for applying a liquid and apparatus for treating a substrate
KR101776018B1 (en) Method for heating a substrate and Apparatus for treating a substrate
KR101768518B1 (en) Transfer chamber, Apparatus for treating substrate, and method for trasnferring substrate
KR20220043418A (en) Exhaust assembly and apparatus for treating substrate
KR102156897B1 (en) Apparatus and Method for treating substrate
KR102223764B1 (en) Apparatus and Method for treating substrate
KR101870651B1 (en) Apparatus for treating substrate
KR20180076410A (en) Apparatus for treating substrate
KR101885101B1 (en) Apparatus for treatinf substrate
KR102444878B1 (en) Apparatus for treating substrate
KR20180061536A (en) Substrate treating apparatus and substrate treating method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant