KR20180002250U - 슬릿 밸브 도어들을 구비한 로드 락 챔버 - Google Patents

슬릿 밸브 도어들을 구비한 로드 락 챔버 Download PDF

Info

Publication number
KR20180002250U
KR20180002250U KR2020180003200U KR20180003200U KR20180002250U KR 20180002250 U KR20180002250 U KR 20180002250U KR 2020180003200 U KR2020180003200 U KR 2020180003200U KR 20180003200 U KR20180003200 U KR 20180003200U KR 20180002250 U KR20180002250 U KR 20180002250U
Authority
KR
South Korea
Prior art keywords
slit valve
chamber
valve door
load lock
lock chamber
Prior art date
Application number
KR2020180003200U
Other languages
English (en)
Other versions
KR200491849Y1 (ko
Inventor
시니치 쿠리타
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180002250U publication Critical patent/KR20180002250U/ko
Application granted granted Critical
Publication of KR200491849Y1 publication Critical patent/KR200491849Y1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

본 고안은 일반적으로 슬릿 밸브 도어들을 구비한 로드 락 챔버를 제공한다. 로드 락 챔버는 이송 챔버를 공장 인터페이스에 연결하거나, 2개의 이송 챔버들을 연결하기 위해 사용된다. 로드 락 챔버가 인접한 이송 챔버들 사이에 배치되는 경우, 로드 락 챔버는 로드 락 챔버의 내면에 대해 밀봉되는 슬릿 밸브 도어들을 로드 락 챔버 내부에 구비한다. 이에 따라, 대기압이 도어들을 내면에 대해 압착하기 때문에, 이송 챔버들 내의 진공을 깨지 않고 대기압에서 로드 락이 점검될 수 있다. 로드 락 챔버가 이송 챔버와 공장 인터페이스 사이에 배치되는 경우, 하나의 슬릿 밸브 도어가 로드 락 챔버의 외부에 배치되어, 로드 락 챔버의 외면에 대해 밀봉된다. 공장 인터페이스 측으로부터의 대기압은 외면에 대해 도어를 압착하는데 도움이 된다.

Description

슬릿 밸브 도어들을 구비한 로드 락 챔버{LOAD LOCK CHAMBER WITH SLIT VALVE DOORS}
본원에 개시된 실시예들은 일반적으로 로드 락 챔버의 개구를 밀봉하기 위한 슬릿 밸브 도어에 관한 것이다.
반도체 프로세싱에서의 기판 처리량은 항상 도전 과제이다. 기술이 진보하려면, 반도체 기판들이 계속해서 효율적으로 프로세싱될 필요가 있다. 진공을 깨지 않고 다수의 기판들을 동시에 프로세싱하기 위한 효과적인 수단으로서 클러스터 툴들이 개발되었다. 단일의 기판을 프로세싱한 다음 그 기판을 다른 챔버로의 이송중에 대기에 노출하는 대신, 하나의 프로세스 챔버에서 기판에 대한 프로세스가 완료될 때, 동일한 이송 챔버에 커플링된 다른 프로세스 챔버로 여전히 진공 하에 있는 동안 기판을 제거할 수 있도록, 다수의 프로세스 챔버들이 공용 이송 챔버에 연결될 수 있다.
또한, 효율을 더 증대시키기 위해, 복수의 프로세싱 챔버들과 각각 커플링된 다수의 이송 챔버들이 로드 락 챔버들에 의해 연결될 수 있다. 또한, 대기압에 있는 공장 인터페이스를 진공 하에 있는 이송 챔버에 연결하기 위해, 로드 락 챔버들이 사용될 수 있다.
따라서, 이송 챔버들 사이와, 이송 챔버와 공장 인터페이스 사이를 인터페이스할 수 있는 로드 락 챔버들이 필요하다.
본 고안은 일반적으로 슬릿 밸브 도어들을 구비한 로드 락 챔버를 제공한다. 로드 락 챔버는 이송 챔버를 공장 인터페이스에 연결하거나, 2개의 이송 챔버들을 연결하기 위해 사용된다. 로드 락 챔버가 인접한 이송 챔버들 사이에 배치되는 경우, 로드 락 챔버는 로드 락 챔버의 내면에 대해 밀봉되는 슬릿 밸브 도어들을 로드 락 챔버 내부에 구비한다. 이에 따라, 대기압이 도어들을 내면에 대해 압착하기 때문에, 이송 챔버들 내의 진공을 깨지 않고 대기압에서 로드 락이 점검(service)될 수 있다. 로드 락 챔버가 이송 챔버와 공장 인터페이스 사이에 배치되는 경우, 하나의 슬릿 밸브 도어가 로드 락 챔버의 외부에 배치되어, 로드 락 챔버의 외면에 대해 밀봉된다. 공장 인터페이스 측으로부터의 대기압은 외면에 대해 도어를 압착하는데 도움이 된다.
일 실시예에서, 디바이스를 형성하기 위한 장치가 개시되어 있다. 상기 장치는 제 1 로드 락 챔버를 포함하며, 상기 제 1 로드 락 챔버는 공장 인터페이스에 커플링되도록 구성된 제 1 측면과 제 1 이송 챔버에 커플링되도록 구성된 제 2 측면을 가진 챔버 본체를 포함한다. 상기 챔버 본체 내부에서 기판 이송 평면 아래의 제 1 측면에 제 1 슬릿 밸브 도어의 축이 배치되며, 상기 챔버 본체 내부에서 기판 이송 평면 아래의 제 2 측면에 제 2 슬릿 밸브 도어의 축이 배치된다. 상기 제 1 이송 챔버는 제 1 로드 락 챔버에 커플링되고, 상기 제 1 이송 챔버에는 복수의 프로세싱 챔버들이 커플링된다.
다른 실시예에서, 로드 락 챔버가 개시되어 있다. 상기 로드 락 챔버는 공장 인터페이스에 커플링되도록 구성된 제 1 측면과 이송 챔버에 커플링되도록 구성된 제 2 측면을 가진 챔버 본체를 포함한다. 상기 챔버 본체 내부에서 기판 이송 평면 아래의 제 1 측면에 제 1 슬릿 밸브 도어의 축이 배치되며, 상기 챔버 본체 내부에서 기판 이송 평면 아래의 제 2 측면에 제 2 슬릿 밸브 도어의 축이 배치된다. 상기 제 1 슬릿 밸브 도어에는 제 1 액추에이터가 커플링되며, 상기 제 1 액추에이터는 제 1 슬릿 밸브 도어를 챔버 본체의 외부를 향해 개방한다. 상기 제 2 슬릿 밸브 도어에는 제 2 액추에이터가 커플링되며, 상기 제 2 액추에이터는 제 2 슬릿 밸브 도어를 챔버 본체의 내부를 향해 개방한다.
다른 실시예에서, 로드 락 챔버가 개시되어 있다. 상기 로드 락 챔버는 제 1 이송 챔버에 커플링되도록 구성된 제 1 측면과 제 2 이송 챔버에 커플링되도록 구성된 제 2 측면을 가진 챔버 본체를 포함한다. 상기 챔버 본체 내부에서 기판 이송 평면 아래의 제 1 측면에 제 1 슬릿 밸브 도어의 축이 배치되며, 상기 챔버 본체 내부에서 기판 이송 평면 아래의 제 2 측면에 제 2 슬릿 밸브 도어의 축이 배치된다. 상기 제 1 슬릿 밸브 도어에는 제 1 액추에이터가 커플링되며, 상기 제 1 액추에이터는 제 1 슬릿 밸브 도어를 챔버 본체의 내부를 향해 개방한다. 상기 제 2 슬릿 밸브 도어에는 제 2 액추에이터가 커플링되며, 상기 제 2 액추에이터는 제 2 슬릿 밸브 도어를 챔버 본체의 내부를 향해 개방한다.
다른 실시예에서는, 장치에서 기판들을 프로세싱하기 위한 방법이 개시되어 있다. 상기 방법은 제 1 로드 락 챔버 내부에 배치되어 제 1 로드 락 챔버의 외부를 향해 개방되는 제 1 슬릿 밸브 도어를 통해 공장 인터페이스로부터 제 1 로드 락 챔버로 기판들을 로딩하는 단계와, 제 1 로드 락 챔버 내부에 배치되어 제 1 로드 락 챔버의 내부를 향해 개방되는 제 2 슬릿 밸브 도어를 통해 제 1 로드 락 챔버로부터 제 1 이송 챔버로 기판들을 이송하는 단계와, 제 1 이송 챔버로부터 제 1 이송 챔버에 커플링된 프로세싱 챔버들로 기판들을 이송하는 단계와, 프로세싱 챔버들에서 기판들을 프로세싱하는 단계와, 프로세싱 후 제 1 이송 챔버로 기판들을 이송하는 단계와, 제 2 로드 락 챔버 내부에 배치되어 제 2 로드 락 챔버의 내부를 향해 개방되는 제 3 슬릿 밸브 도어를 통해 제 1 이송 챔버로부터 제 2 로드 락 챔버로 기판들을 이송하는 단계와, 그리고 제 2 로드 락 챔버 내부에 배치되어 제 2 로드 락 챔버의 내부를 향해 개방되는 제 4 슬릿 밸브 도어를 통해 제 2 로드 락 챔버로부터 제 2 이송 챔버로 기판들을 이송하는 단계를 포함한다.
본 고안의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 고안의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 고안의 단지 전형적인 실시예들을 도시하는 것이므로, 본 고안의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 고안이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 일 실시예에 따른 멀티-클러스터 툴의 개략적인 평면도이다.
도 2는 도 1의 클러스터 툴에서 활용될 수 있는 PECVD 챔버의 개략적인 단면도이다.
도 3은 본 명세서에 기술된 본 고안의 일 실시예에 따른 로드 락 챔버의 개략적 단면도이다.
도 4는 본 명세서에 기술된 본 고안의 일 실시예에 따른 로드 락 챔버의 개략적 단면도이다.
이해를 용이하게 하기 위하여, 가능한 한 동일한 참조번호들을 사용하여 도면들에서 공통되는 동일한 요소들을 표시하였다. 일 실시예에 개시된 요소들이 특별한 언급 없이 다른 실시예들에서 유리하게 사용될 수 있을 것으로 생각된다.
본 고안은 일반적으로 슬릿 밸브 도어들을 구비한 로드 락 챔버를 제공한다. 로드 락 챔버는 이송 챔버를 공장 인터페이스에 연결하거나, 2개의 이송 챔버들을 연결하기 위해 사용된다. 로드 락 챔버가 인접한 이송 챔버들 사이에 배치되는 경우, 로드 락 챔버는 로드 락 챔버의 내면에 대해 밀봉되는 슬릿 밸브 도어들을 로드 락 챔버 내부에 구비한다. 이에 따라, 대기압이 도어들을 내면에 대해 압착하기 때문에, 이송 챔버들 내의 진공을 깨지 않고 대기압에서 로드 락이 제공될 수 있다. 로드 락 챔버가 이송 챔버와 공장 인터페이스 사이에 배치되는 경우, 하나의 슬릿 밸브 도어가 로드 락 챔버의 외부에 배치되어, 로드 락 챔버의 외면에 대해 밀봉된다. 공장 인터페이스 측으로부터의 대기압은 외면에 대해 도어를 압착하는데 도움이 된다.
이하, 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.의 계열사인 AKT America로부터 입수할 수 있는 것들과 같은 프로세싱 시스템에서 활용되는 본 고안이 예시적으로 설명된다. 그러나, 본 고안은 다른 제조사들에 의해 판매되는 것들을 포함하여, 다른 시스템 구성들에서 활용될 수 있음을 이해하여야 한다.
도 1은 멀티-클러스터 툴(100)의 개략적인 평면도이다. 멀티-클러스터 툴(100)은 하나 또는 그 초과의 프로세싱 챔버(104)들에 의해 둘러싸인 제 1 이송 챔버(103)를 가진 제 1 클러스터를 포함한다. 제 1 이송 챔버(103)에는 제 1 로드 락 챔버(101)가 커플링된다. 또한, 제 1 로드 락 챔버(101)는 공장 인터페이스(102)에 커플링된다. 또한, 하나 또는 그 초과의 프로세싱 챔버(107)들이 커플링된 제 2 이송 챔버(106)를 포함하는 제 2 클러스터가 존재한다. 제 2 이송 챔버(106)는 제 2 로드 락 챔버(105)에 의해 제 1 이송 챔버(103)에 커플링된다.
제 1 로드 락 챔버(101)는 통상적으로 고진공에 있는 제 1 이송 챔버(103)와 통상적으로 대기압에 있는 공장 인터페이스(102) 사이에서 기판들을 이송하기 위해 제공된다. 대부분의 경우들에서, 기판은 웨이퍼 또는 유리 기판이다. 제 1 이송 챔버(103)는, 제 1 이송 챔버(103)의 주위의 주변에 배치된 제 1 로드 락 챔버(101)와 프로세싱 챔버(104)들/제 2 로드 락 챔버(105) 사이로 기판들을 이송하기 위해, 그 내부에 배치된 진공 이송 로봇을 구비하고 있다.
제 2 로드 락 챔버(105)는 제 1 이송 챔버(103)와 통상적으로 고진공에 있는 제 2 이송 챔버(106) 사이에서 기판들을 이송하기 위해 제공된다. 제 2 이송 챔버(106)는, 제 2 이송 챔버(106)의 주위의 주변에 배치된 제 2 로드 락 챔버(105)와 프로세싱 챔버(107)들 사이에서 기판들을 이송하기 위해, 그 내부에 배치된 진공 이송 로봇을 구비하고 있다.
도 2는 프로세싱 챔버(104, 107)들 중 하나로서 사용될 수 있는 PECVD 장치의 개략적인 단면도이다. 상기 장치는 하나 또는 그 초과의 필름들이 기판(220) 상에 증착될 수 있는 프로세싱 챔버(104, 107)를 포함한다. 프로세싱 챔버(104, 107)는 일반적으로 프로세스 체적을 형성하는 벽체들(202), 하부(204) 및 샤워헤드(206)를 포함한다. 프로세스 체적 내부에 기판 지지체(218)가 배치된다. 기판(220)이 프로세싱 챔버(104, 107)의 내부 및 외부로 이송될 수 있도록, 프로세스 체적은 슬릿 밸브 개구(208)를 통해 액세스된다. 기판 지지체(218)는 기판 지지체(218)를 상승 및 하강시키기 위해 액추에이터(216)에 커플링될 수 있다. 기판 수용면으로/기판 수용면으로부터 기판을 이동시키기 위해, 기판 지지체(218)를 통해 리프트 핀(222)들이 이동가능하게 배치된다. 기판 지지체(218)는 또한 원하는 온도에 기판 지지체(218)를 유지하기 위해 가열 및/또는 냉각 요소(224)들을 포함할 수 있다. 기판 지지체(218)는 또한 기판 지지체(218)의 주위에 RF 복귀 경로를 제공하기 위해 RF 복귀 스트랩(226)들을 포함할 수 있다.
샤워헤드(206)는 체결 메커니즘(250)에 의해 백킹 플레이트(212)에 커플링된다. 샤워헤드(206)의 처짐을 방지하고 및/또는 진직도(眞直度/straightness)/곡률 제어에 도움이 되도록, 샤워헤드(206)는 하나 또는 그 초과의 체결 메커니즘들(250)에 의해 백킹 플레이트(212)에 커플링될 수 있다.
샤워헤드(206) 내의 가스 통로들을 통해 샤워헤드(206)와 기판(220) 사이의 프로세싱 영역으로 가스를 제공하기 위해 백킹 플레이트(212)에 가스 공급원(232)이 커플링된다. 프로세스 체적을 원하는 압력으로 제어하기 위해 프로세싱 챔버(104, 107)에 진공 펌프(210)가 커플링된다. 샤워헤드(206)에 RF 전류를 제공하기 위해 정합 네트워크(match network; 290)를 통해 백킹 플레이트(212) 및/또는 샤워헤드(206)에 RF 공급원(228)이 커플링된다. 샤워헤드(206)와 기판 지지체(218) 사이의 가스들로부터 플라즈마가 발생될 수 있도록, RF 전류는 샤워헤드(206)와 기판 지지체(218) 사이에 전기장을 생성한다.
유도 결합된 원격 플라즈마 공급원(230)과 같은 원격 플라즈마 공급원(230)이 또한 가스 공급원(232)과 백킹 플레이트(212) 사이에 커플링될 수 있다. 기판들을 프로세싱하는 사이에, 원격 플라즈마가 발생되도록, 원격 플라즈마 공급원(230)에 세정 가스가 제공될 수 있다. 원격 플라즈마로부터의 라디칼들은 프로세싱 챔버(104, 107)의 구성 요소들을 세정하기 위해 프로세싱 챔버(104, 107)에 제공될 수 있다. 세정 가스는 샤워헤드(206)에 제공되는 RF 공급원(228)에 의해 더 여기될 수 있다.
샤워헤드(206)는 샤워헤드 서스펜션(234)에 의해 백킹 플레이트(212)에 추가적으로 커플링될 수 있다. 일 실시예에서, 샤워헤드 서스펜션(234)은 가요성 금속 스커트이다. 샤워헤드 서스펜션(234)은 샤워헤드(206)가 안착될 수 있는 립(236)을 가질 수 있다. 백킹 플레이트(212)는 프로세싱 챔버(104, 107)를 밀봉하기 위해 벽체(202)들과 커플링된 레지(ledge)(214)의 상면에 안착될 수 있다.
도 3은 본 고안의 일 실시예에 따른 로드 락 챔버(101)의 개략적인 단면도이다. 로드 락 챔버(101)는 일반적으로 챔버 본체(301)를 포함하며, 상기 챔버 본체는 진공-밀봉(vacuum-tight) 수평 내벽체(302)들에 의해 분리된 복수의 수직으로 적층되고 환경적으로 격리된 공동들을 포함한다. 2개의 단일 공동(303, 304)들이 도 3에 도시된 실시예에 표시되어 있지만, 로드 락 챔버(101)의 챔버 본체(301)는 둘 또는 그 초과의 수직으로 적층된 공동들을 포함할 수 있을 것으로 생각된다. 예를 들어, 로드 락 챔버(101)는 N-1개의 수평 내벽체(302)들에 의해 분리된 N개의 공동들을 포함할 수 있으며, 여기서, N은 1보다 큰 정수이다.
챔버 본체(301) 내에 형성된 공동(303, 304)들의 각각은 2개의 기판 액세스 포트들을 포함한다. 포트들은 로드 락 챔버(101)로부터 기판들의 출입을 용이하게 하도록 구성되어 있다. 도 3에 도시된 실시예에서, 챔버 본체(301)의 상단에 배치된 제 1 공동(303)은 제 1 기판 액세스 포트(305)와 제 2 기판 액세스 포트(306)를 포함한다. 제 1 기판 액세스 포트(305)는 기판이 로드 락 챔버(101)와 공장 인터페이스(102) 사이로 이동할 때 통과하는 액세스 포트이다. 제 2 기판 액세스 포트(306)는 기판이 로드 락 챔버(101)와 이송 챔버(103) 사이에서 이동할 때 통과하는 액세스 포트이다. 기판 액세스 포트(305, 306)들은 챔버 본체(301)의 반대측들 상에 배치된다.
기판 액세스 포트들(305, 306)의 각각은 공장 인터페이스(102)와 이송 챔버(103)의 분위기로부터 제 1 공동(303)을 선택적으로 격리시키도록 구성된 각각의 슬릿 밸브 도어(309, 310)들에 의해 선택적으로 밀봉된다. 슬릿 밸브 도어(309, 310)들은 축(311, 312)을 중심으로 피벗함으로써 개방 위치와 폐쇄 위치 사이에서 이동된다. 슬릿 밸브 도어들은 각각의 액추에이터들(350, 356)에 의해 작동된다. 슬릿 밸브 도어들(309, 310)의 각각은 제 1 에지를 따라 챔버 본체(301)에 피벗하게 커플링되며, 액추에이터(350, 356)들에 의해 개방 위치와 폐쇄 위치 사이에서 회전된다.
제 1 로드 락 챔버(101)와 공장 인터페이스(102) 사이의 도어인 제 1 슬릿 밸브 도어(309)는 챔버 본체(301)의 외부 측면으로부터 제 1 기판 액세스 포트(305)를 밀봉한다. 도 3에 도시된 바와 같이, 제 1 슬릿 밸브 도어(309)는 제 1 로드 락 챔버(101)에 커플링된다. 액추에이터(356)는 공동(303)의 외면을 향해 축(311)을 중심으로 제 1 슬릿 밸브 도어(309)를 회전시킴으로써 제 1 슬릿 밸브 도어(309)를 개방한다. 제 1 슬릿 밸브 도어(309)가 개방되면, 공동(303)은 공장 인터페이스(102)와 꼭 같이 대기압에 있게 된다. 그러나, 제 1 슬릿 밸브 도어(309)가 폐쇄되면, 공동(303)은 배기되며, 이에 따라, 상기 공동은 제 2 슬릿 밸브 도어(310)가 개방될 수 있도록 제 1 이송 챔버(103)의 진공 수준과 실질적으로 일치하는 고진공에 도달하게 된다. 공동(303) 내의 진공 수준은 밀봉면에 대해 제 1 슬릿 밸브 도어(309)를 끌어당길 것이다. 추가로, 공장 인터페이스(102)의 대기압은 밀봉면에 대해 제 1 슬릿 밸브 도어(309)를 밀어낼 것이다. 따라서, 제 1 슬릿 밸브 도어(309)는 효과적으로 밀봉될 것이다. 공동(303)의 내면에 대해 밀봉이 이루어지도록 제 1 슬릿 밸브 도어(309)가 반전되었다면, 공장 인터페이스(102)의 대기압은, 공동(303) 내부의 진공 수준과 함께, 밀봉면으로부터 도어를 밀거나/당겨서 누설들을 초래하고 진공 밀봉의 약화를 초래할 것이다.
제 2 슬릿 밸브 도어(310)는 제 1 슬릿 밸브 도어(309)와 반대로 작동한다. 특히, 제 2 슬릿 밸브 도어(310)는 챔버 본체(301) 내부에 배치된다. 액추에이터(350)는 공동(303)의 내면을 향해 축(312)을 중심으로 제 2 슬릿 밸브 도어(310)를 회전시킴으로써 제 2 슬릿 밸브 도어(310)를 개방한다. 제 2 슬릿 밸브 도어(310)가 개방되면, 공동(303)은 제 1 이송 챔버(103)와 꼭 같이 고진공압에 있게 된다. 그러나, 제 2 슬릿 밸브 도어(310)가 폐쇄되면, 공동(303)은 대기로 배기될 수 있으며, 이에 따라 상기 공동(303)은 제 1 슬릿 밸브 도어(309)가 개방될 수 있도록 공장 인터페이스(102)의 진공 수준과 실질적으로 일치하게 된다. 제 1 이송 챔버(103) 내의 진공 수준은 내부 밀봉면에 대해 제 2 슬릿 밸브 도어(310)를 끌어당길 것이다. 추가로, 공동(303)의 대기압이 배기되면, 이는 밀봉면에 대해 제 2 슬릿 밸브 도어(310)를 밀어낼 것이다. 따라서, 제 2 슬릿 밸브 도어(310)는 효과적으로 밀봉될 것이다. 공동(303)의 외면에 대해 밀봉되도록 제 1 슬릿 밸브 도어(309)가 반전되었다면, 공동(303)의 대기압은 제 1 이송 챔버(103) 내부의 진공 수준과 함께 밀봉면으로부터 도어를 밀거나/당겨서 그에 따라 누설들을 초래하고 진공 밀봉의 약화를 초래할 것이다. 제 2 공동(304)은 마찬가지로 액세스 포트(307, 308)들, 액추에이터(352, 354)들 및 슬릿 밸브 도어(313, 314)들로 구성되어 있다.
도 4는 본 고안의 일 실시예에 따른 제 2 로드 락 챔버(105)의 개략적인 단면도이다. 로드 락 챔버는 일반적으로 챔버 본체(401)를 포함하며, 상기 챔버 본체는 진공-밀봉 수평 내벽체(402)에 의해 분리된 복수의 수직으로 적층되고 환경적으로 격리된 공동(403, 404)들을 포함한다. 2개의 단일 공동(403, 404)들이 도 4에 도시된 실시예에 표시되어 있지만, 제 2 로드 락 챔버(105)의 챔버 본체(401)는 둘 또는 그 초과의 수직으로 적층된 공동들을 포함할 수 있을 것으로 생각된다. 예를 들어, 제 2 로드 락 챔버(105)는 N-1개의 수평 내벽체(402)들에 의해 분리된 N개의 공동들을 포함할 수 있으며, 여기서, N은 1보다 큰 정수이다.
챔버 본체(401) 내에 형성된 공동(403, 404)들의 각각은 2개의 기판 액세스 포트(405, 406, 407, 408)들을 포함한다. 포트(405, 406, 407, 408)들은 제 2 로드 락 챔버(105)로부터 기판들의 출입을 용이하게 하도록 구성되어 있다. 도 4에 도시된 실시예에서, 챔버 본체(401)의 상단에 배치된 제 1 공동(403)은 제 1 기판 액세스 포트(405)와 제 2 기판 액세스 포트(406)를 포함한다. 제 1 기판 액세스 포트(405)는 기판이 제 2 로드 락 챔버(105)와 제 1 이송 챔버(103) 사이에서 이동할 때 통과하는 액세스 포트이다. 제 2 기판 액세스 포트(406)는 기판이 제 2 로드 락 챔버(105)와 제 2 이송 챔버(106) 사이에서 이동할 때 통과하는 액세스 포트이다. 기판 액세스 포트(405, 406)들은 챔버 본체(401)의 반대측 상에 배치된다.
기판 액세스 포트(405, 406)들의 각각은 제 1 이송 챔버(103)와 제 2 이송 챔버(106)의 분위기로부터 제 1 공동(403)을 선택적으로 격리시키도록 구성된 각각의 슬릿 밸브 도어(409, 410)들에 의해 선택적으로 밀봉된다. 슬릿 밸브 도어(409, 410)들은 축(411, 412)을 중심으로 피벗함으로써 개방 위치와 폐쇄 위치 사이에서 이동한다. 슬릿 밸브 도어들은 각각의 액추에이터들(450, 456)에 의해 작동된다. 슬릿 밸브 도어(409, 410)들의 각각은 제 1 에지를 따라 챔버 본체(401)에 피벗하게 커플링되며, 액추에이터(450, 456)들에 의해 개방 위치와 폐쇄 위치로 사이에서 회전된다.
제 1 슬릿 밸브 도어(409)는 챔버 본체(401) 내부에 배치된다. 액추에이터(456)는 공동(403)의 내면을 향해 축(411)을 중심으로 제 1 슬릿 밸브 도어(409)를 회전시킴으로써 제 1 슬릿 밸브 도어(409)를 개방한다. 제 1 슬릿 밸브 도어(409)가 개방되면, 공동(403)은 제 1 이송 챔버(103)와 꼭 같이 고진공압에 있게 된다. 그러나, 제 1 슬릿 밸브 도어(409)가 폐쇄되면, 공동(403)은 대기로 배기될 수 있으며, 이에 따라 제 2 로드 락 챔버(105)가 점검될 수 있다. 점검중에 공동(403) 내부의 대기압은 제 1 이송 챔버(103)의 고 진공 수준과 함께 밀봉면에 대해 제 1 슬릿 밸브 도어(409)를 밀거나/당겨서 우수한 밀봉을 보장할 것이다. 프로세싱중에, 제 1 및 제 2 이송 챔버(103, 106)들 내부의 진공 수준은 제 2 로드 락 챔버(105)의 진공 수준과 실질적으로 일치하게 될 것이며, 이에 따라, 우수한 밀봉이 제공되어야 할 것이다.
제 2 슬릿 밸브 도어(410)는 제 1 슬릿 밸브 도어(409)와 동일한 방식으로 작동한다. 특히, 제 2 슬릿 밸브 도어(410)는 챔버 본체(401) 내부에 배치된다. 액추에이터(450)는 공동(403)의 내면을 향해 축(412)을 중심으로 제 2 슬릿 밸브 도어(410)를 회전시킴으로써 제 2 슬릿 밸브 도어(410)를 개방한다. 제 2 슬릿 밸브 도어(410)가 개방되면, 공동(403)은 제 2 이송 챔버(106)와 꼭 같이 고진공압에 있게 된다. 그러나, 제 2 슬릿 밸브 도어(410)가 폐쇄되면, 공동(403)은 대기로 배기될 수 있으며, 이에 따라, 제 2 로드 락 챔버(105)가 점검될 수 있다. 점검중에 공동(403) 내부의 대기압은, 제 2 이송 챔버(106)의 고진공 수준과 함께, 밀봉면에 대해 제 2 슬릿 밸브 도어(410)를 밀거나/당겨서 우수한 밀봉을 보장할 것이다. 프로세싱중에, 제 1 및 제 2 이송 챔버(103, 106)들 내부의 진공 수준은 제 2 로드 락 챔버(105)의 진공 수준과 실질적으로 일치하게 될 것이며, 이에 따라, 우수한 밀봉이 제공되어야 할 것이다. 제 2 공동(404)은 마찬가지로 액세스 포트(407, 408)들, 액추에이터(452, 454)들 및 슬릿 밸브 도어(413, 414)들로 구성되어 있다.
로드 락 챔버를 적절히 밀봉함으로써, 인접하는 챔버들의 진공 수준들은, 임의의 누설들이 존재하는 경우를 방지한다기 보다, 누설이 적도록 우수한 밀봉을 도울 수 있다.
전술한 바는 본 고안의 실시예들에 관한 것이나, 본 고안의 기본적인 범위를 벗어나지 않고 본 고안의 다른 및 추가적인 실시예들이 안출될 수 있으며, 본 고안의 범위는 하기된 청구항들에 의해 결정된다.

Claims (10)

  1. 디바이스를 형성하기 위한 장치로서,
    제 1 이송 챔버;
    제 1 로드 락 챔버로서,
    공장 인터페이스에 커플링되도록 구성된 제 1 단부와 상기 제 1 이송 챔버에 커플링되도록 구성된 제 2 단부를 가진 챔버 본체;
    상기 제 1 단부를 밀봉하기 위한 제 1 슬릿 밸브 도어로서, 제 1 슬릿 밸브는 상기 챔버 본체의 외부로부터 상기 제 1 단부를 밀봉하기 위해 이동가능한, 제 1 슬릿 밸브 도어; 및
    상기 제 2 단부를 밀봉하기 위한 제 2 슬릿 밸브 도어로서, 제 2 슬릿 밸브는 상기 챔버 본체의 내부로부터 상기 제 2 단부를 밀봉하기 위해 이동가능한, 제 2 슬릿 밸브 도어를 포함하는, 제 1 로드 락 챔버; 및
    제 1 이송 챔버에 커플링된 복수의 프로세싱 챔버들을 포함하는,
    디바이스를 형성하기 위한 장치.
  2. 제 1 항에 있어서,
    제 2 이송 챔버;
    상기 제 1 이송 챔버에 커플링된 제 2 로드 락 챔버로서,
    상기 제 1 이송 챔버에 커플링되도록 구성된 제 3 단부와 상기 제 2 이송 챔버에 커플링되도록 구성된 제 4 단부를 가진 제 2 챔버 본체;
    상기 제 2 챔버 본체의 내부로부터 상기 제 3 단부를 밀봉하기 위해 이동가능한, 제 3 단부 밀봉용 제 3 슬릿 밸브 도어; 및
    상기 제 2 챔버 본체의 내부로부터 상기 제 4 단부를 밀봉하기 위해 이동가능한, 제 4 단부 밀봉용 제 4 슬릿 밸브 도어를 포함하는, 제 2 로드 락 챔버; 및
    상기 제 2 이송 챔버에 커플링된 복수의 프로세싱 챔버들을 더 포함하는,
    디바이스를 형성하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 제 1 로드 락 챔버는,
    상기 제 1 슬릿 밸브 도어에 커플링되며, 상기 제 1 슬릿 밸브 도어를 상기 챔버 본체의 외부를 향해 개방하는 제 1 액추에이터와,
    상기 제 2 슬릿 밸브 도어에 커플링되며, 상기 제 2 슬릿 밸브 도어를 상기 챔버 본체의 내부를 향해 개방하는 제 2 액추에이터를 더 포함하는,
    디바이스를 형성하기 위한 장치.
  4. 제 3 항에 있어서,
    상기 제 2 로드 락 챔버는,
    상기 제 3 슬릿 밸브 도어에 커플링되며, 상기 제 3 슬릿 밸브 도어를 상기 제 2 챔버 본체의 내부를 향해 개방하는 제 3 액추에이터와,
    상기 제 4 슬릿 밸브 도어에 커플링되며, 상기 제 4 슬릿 밸브 도어를 상기 제 2 챔버 본체의 내부를 향해 개방하는 제 4 액추에이터를 더 포함하는,
    디바이스를 형성하기 위한 장치.
  5. 제 4 항에 있어서,
    상기 제 1 슬릿 밸브 도어는 상기 챔버 본체 내부에서 기판 이송 평면 아래에 배치되는,
    디바이스를 형성하기 위한 장치.
  6. 제 5 항에 있어서,
    상기 제 2 슬릿 밸브 도어는 상기 챔버 본체 내부에서 기판 이송 평면 아래에 배치되는,
    디바이스를 형성하기 위한 장치.
  7. 제 6 항에 있어서,
    상기 제 3 슬릿 밸브 도어는 상기 챔버 본체 내부에서 기판 이송 평면 아래에 배치되는,
    디바이스를 형성하기 위한 장치.
  8. 제 7 항에 있어서,
    상기 제 4 슬릿 밸브 도어는 상기 챔버 본체 내부에서 기판 이송 평면 아래에 배치되는,
    디바이스를 형성하기 위한 장치.
  9. 로드 락 챔버로서,
    공장 인터페이스에 커플링되도록 구성된 제 1 측면과 이송 챔버에 커플링되도록 구성된 제 2 측면을 가진 챔버 본체;
    상기 챔버 본체 내부에서 기판 이송 평면 아래의 상기 제 1 측면에 배치된 제 1 슬릿 밸브 도어;
    상기 챔버 본체 내부에서 상기 기판 이송 평면 아래의 상기 제 2 측면에 배치된 제 2 슬릿 밸브 도어;
    상기 제 1 슬릿 밸브 도어에 커플링되며, 상기 제 1 슬릿 밸브 도어를 상기 챔버 본체의 외부를 향해 개방하는 제 1 액추에이터; 및
    상기 제 2 슬릿 밸브 도어에 커플링되며, 상기 제 2 슬릿 밸브 도어를 상기 챔버 본체의 내부를 향해 개방하는 제 2 액추에이터를 포함하는,
    로드 락 챔버.
  10. 로드 락 챔버로서,
    제 1 이송 챔버에 커플링되도록 구성된 제 1 측면과 제 2 이송 챔버에 커플링되도록 구성된 제 2 측면을 가진 챔버 본체;
    상기 챔버 본체 내부에서 기판 이송 평면 아래의 상기 제 1 측면에 배치된 제 1 슬릿 밸브 도어;
    상기 챔버 본체 내부에서 기판 이송 평면 아래의 상기 제 2 측면에 배치된 제 2 슬릿 밸브 도어;
    상기 제 1 슬릿 밸브 도어에 커플링되며, 상기 제 1 슬릿 밸브 도어를 상기 챔버 본체의 내부를 향해 개방하는 제 1 액추에이터; 및
    상기 제 2 슬릿 밸브 도어에 커플링되며, 상기 제 2 슬릿 밸브 도어를 상기 챔버 본체의 내부를 향해 개방하는 제 2 액추에이터를 포함하는,
    로드 락 챔버.
KR2020180003200U 2012-09-07 2018-07-11 슬릿 밸브 도어들을 구비한 로드 락 챔버 KR200491849Y1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261698420P 2012-09-07 2012-09-07
US61/698,420 2012-09-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR2020130007465U Division KR20140001589U (ko) 2012-09-07 2013-09-05 슬릿 밸브 도어들을 구비한 로드 락 챔버

Publications (2)

Publication Number Publication Date
KR20180002250U true KR20180002250U (ko) 2018-07-24
KR200491849Y1 KR200491849Y1 (ko) 2020-06-17

Family

ID=50233542

Family Applications (2)

Application Number Title Priority Date Filing Date
KR2020130007465U KR20140001589U (ko) 2012-09-07 2013-09-05 슬릿 밸브 도어들을 구비한 로드 락 챔버
KR2020180003200U KR200491849Y1 (ko) 2012-09-07 2018-07-11 슬릿 밸브 도어들을 구비한 로드 락 챔버

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR2020130007465U KR20140001589U (ko) 2012-09-07 2013-09-05 슬릿 밸브 도어들을 구비한 로드 락 챔버

Country Status (4)

Country Link
US (1) US9145611B2 (ko)
KR (2) KR20140001589U (ko)
CN (1) CN203639553U (ko)
TW (1) TWM476362U (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220036566A (ko) * 2020-09-16 2022-03-23 세메스 주식회사 기판 처리 장치

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6257455B2 (ja) * 2014-06-17 2018-01-10 住友重機械イオンテクノロジー株式会社 イオン注入装置及びイオン注入装置の制御方法
EP3465746A4 (en) * 2016-06-02 2020-03-04 Applied Materials, Inc. VALVE FOR CONTINUOUS TOWING TREATMENT
CN109643629B (zh) 2016-08-22 2021-07-02 应用材料公司 用于真空腔室的门密封件
WO2018173836A1 (ja) 2017-03-24 2018-09-27 東京エレクトロン株式会社 基板処理装置
US11761082B2 (en) 2017-05-02 2023-09-19 Picosun Oy ALD apparatus, method and valve
CN112786507A (zh) * 2021-01-13 2021-05-11 上海陛通半导体能源科技股份有限公司 模块化半导体设备传输腔体单元及晶圆传输系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070011070A (ko) * 2005-07-19 2007-01-24 어플라이드 머티어리얼스, 인코포레이티드 하이브리드형 pvd-cvd 시스템
KR20080001649A (ko) * 2006-06-28 2008-01-03 어플라이드 머티어리얼스, 인코포레이티드 볼 커플링을 구비한 밸브 도어
KR20120028627A (ko) * 2010-09-15 2012-03-23 삼성모바일디스플레이주식회사 기판 증착 시스템 및 이를 이용한 증착 방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
KR20070037517A (ko) 2000-09-15 2007-04-04 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080271675A1 (en) * 2007-05-01 2008-11-06 Applied Materials, Inc. Method of forming thin film solar cells
CN103346116B (zh) * 2008-10-07 2016-01-13 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070011070A (ko) * 2005-07-19 2007-01-24 어플라이드 머티어리얼스, 인코포레이티드 하이브리드형 pvd-cvd 시스템
KR20080001649A (ko) * 2006-06-28 2008-01-03 어플라이드 머티어리얼스, 인코포레이티드 볼 커플링을 구비한 밸브 도어
KR20120028627A (ko) * 2010-09-15 2012-03-23 삼성모바일디스플레이주식회사 기판 증착 시스템 및 이를 이용한 증착 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220036566A (ko) * 2020-09-16 2022-03-23 세메스 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
US9145611B2 (en) 2015-09-29
US20140072725A1 (en) 2014-03-13
CN203639553U (zh) 2014-06-11
KR200491849Y1 (ko) 2020-06-17
TWM476362U (en) 2014-04-11
KR20140001589U (ko) 2014-03-17

Similar Documents

Publication Publication Date Title
KR200491849Y1 (ko) 슬릿 밸브 도어들을 구비한 로드 락 챔버
EP1855794B1 (en) Etching chamber with subchamber
KR100756110B1 (ko) 게이트 밸브 장치, 처리 시스템 및 밀봉 부재의 교환 방법
US20070292244A1 (en) Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
WO2010025253A2 (en) Load lock chamber for large area substrate processing system
WO2015112470A1 (en) Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
KR19980080903A (ko) 유지보수동안 진공을 보존하는 격리밸브를 가진 챔버
KR101867125B1 (ko) 게이트 밸브 및 기판 처리 장치
TWI796030B (zh) 具有串接處理區域的電漿腔室
US20130239889A1 (en) Valve purge assembly for semiconductor manufacturing tools
JP6120621B2 (ja) 真空処理装置及びその運転方法
CN109314071B (zh) 十二边形传送腔室和具有十二边形传送腔室的处理系统
JP2000150613A (ja) 被処理体の搬送装置
KR20060026851A (ko) 처리 장치
US20160326648A1 (en) Apparatus for selectively sealing a gas feedthrough
KR20130114218A (ko) 웨이퍼를 가공하기 위한 장치 및 방법
KR20120077100A (ko) 플라즈마를 이용한 기판처리장치
KR20110006093A (ko) 챔버 및 이를 이용한 기판 처리 방법
JPH11260881A (ja) 処理装置
JP5892828B2 (ja) 真空処理装置
US9520312B2 (en) System and method for moving workpieces between multiple vacuum environments
KR101399654B1 (ko) 챔버와 진공차단장치의 진공 밸런싱 장치
JP6405067B1 (ja) ゲートバルブの制御方法
KR20200132272A (ko) 풀푸쉬 장치 및 이를 이용한 게이트 밸브와 진공처리장치
JP2005536890A (ja) 体積削減式プラズマ反応器

Legal Events

Date Code Title Description
U106 Divisional application of utility model
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
REGI Registration of establishment