KR20170096965A - 반도체 제조에 사용되는 세라믹 페데스탈들을 위한 공통 단자 히터 - Google Patents

반도체 제조에 사용되는 세라믹 페데스탈들을 위한 공통 단자 히터 Download PDF

Info

Publication number
KR20170096965A
KR20170096965A KR1020170020404A KR20170020404A KR20170096965A KR 20170096965 A KR20170096965 A KR 20170096965A KR 1020170020404 A KR1020170020404 A KR 1020170020404A KR 20170020404 A KR20170020404 A KR 20170020404A KR 20170096965 A KR20170096965 A KR 20170096965A
Authority
KR
South Korea
Prior art keywords
heater
power supply
temperature
voltage
common terminal
Prior art date
Application number
KR1020170020404A
Other languages
English (en)
Inventor
카를 리저
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170096965A publication Critical patent/KR20170096965A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B24/00Open-loop automatic control systems not otherwise provided for
    • G05B24/02Open-loop automatic control systems not otherwise provided for electric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0205Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system
    • G05B13/021Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system in which a variable is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Resistance Heating (AREA)

Abstract

복수의 히팅 존들을 가진 반응기를 사용하여 기판을 프로세싱하고 그리고 2 개의 전력 공급부들 사이에 공유된 공통 단자를 사용한 상기 히팅 존들의 제어를 위한 시스템 및 방법들이 제공된다. 반응기는 기판을 지지하기 위한 히터 어셈블리 및 반응기 내로 프로세스 가스들을 공급하기 위한 샤워헤드를 포함한다. 내측 히터 및 외측 히터는 히터 어셈블리에 통합된다. 내측 전력 공급부는 내측 히터의 제 1 단부에 연결된 양 단자를 갖고 그리고 음 단자는 공통 단자에 커플링되는 내측 히터의 제 2 단부에 연결된다. 외측 전력 공급부는 외측 히터의 제 1 단부에 연결된 양 단자 및 공통 단자에 커플링되는 외측 히터의 제 2 단부에 연결된 음 단자를 갖는다. 공통 단자 히터 모듈은 내측 히터와 근사한 측정된 온도를 수신하도록 구성된다. 목표된 온도 설정사항이 수신되고 그리고 서보 제어 법칙 (servo control law) 이 내측 전력 공급부의 내측 전압의 직접적인 제어 설정사항 및 외측 전력 공급부에 대한 외측 전압의 개루프 제어 설정사항을 식별하도록 프로세싱된다. 외측 전압은 내측 전압의 비로서 규정된다.

Description

반도체 제조에 사용되는 세라믹 페데스탈들을 위한 공통 단자 히터{COMMON TERMINAL HEATER FOR CERAMIC PEDESTALS USED IN SEMICONDUCTOR FABRICATION}
본 실시예들은 반도체 기판 프로세싱 방법들 및 장비 툴들, 보다 구체적으로, 히터 어셈블리에 대한 배선을 감소시키기 위해 공통 단자 및 복수의 히터들을 활용하는 히터 어셈블리 설계들에 관한 것이다.
복수의 히터들을 가진 페데스탈들을 가진 반응기들은 통상적으로 반응기 내의 기판의 프로세싱 동안 페데스탈의 표면의 정밀한 제어를 인에이블하도록 (enable) 사용된다. 페데스탈들 내의 2 개의 존 히터들은 히터당 2 개의 전기 단자들, 총 4 개의 단자들을 갖는다. 이들 단자들 각각은 페데스탈에 통합되는 저항성 엘리먼트들의 연결들 내로 그리고 페데스탈의 베이스를 통해 와이어링될 (wire) 필요가 있다. 페데스탈들 내의 2 개의 존 히터들은 방사상 온도 프로파일을 튜닝하도록 (tune), 뿐만 아니라 프로세스 키트 방사율 변화와 같은 가변 환경적 열 손실 조건들 (웨이퍼 수-기반) 을 보상하거나 상이한 프로세스 단계들 사이에서 상이한 열 전달 조건들 (상태-기반) 을 보상하는 능력을 제공한다.
페데스탈들 및 연관된 반응기들의 설계가 계속해서 개선됨에 따라, 보다 많은 제어가 회로, 배선, 및 다른 인터페이싱 컴포넌트들을 통해 제공된다. 유감스럽게도, 이 배선의 증가는 페데스탈에 대해 이루어진 상호연결들의 원치 않은 복잡성을 야기할 수 있다. 그러므로, 페데스탈의 베이스를 통한 그리고 페데스탈 내의 배선 단순화의 필요조건들은 비용, 복잡성 및 설계를 감소시키고, 그리고 부가적인 고장 지점들을 감소시키기 위해 필요하다.
본 개시는 이 맥락에서 발생한다.
본 개시의 실시예들은 복수의 히터들을 갖고 각각의 전력 공급부들에 페데스탈 내의 복수의 히터들을 상호연결하는데 요구되는 와이어들의 수를 감소시키도록 공통 단자들을 사용하는 반응기 페데스탈들의 사용을 포함한다. 본 명세서에 기술된 다양한 실시예들에서, 반응기의 페데스탈은 히터들이 구현될 수 있는 단지 일 예이다. 이와 같이, 본 명세서에 기술된 상호연결 토포그래피 및 로직은 모든 타입의 히터 어셈블리에 적용 가능하다. 이러한 히터 어셈블리들은 반응기의 페데스탈 내에 규정될 수 있고, 그리고 종종 또한 샤워헤드 온도를 제어하도록, 반응기의 샤워헤드 내에 규정된다. 히터 어셈블리들은 챔버의 상이한 컴포넌트들 (components), 프로세스 모듈, 반응기, 인터페이싱 챔버, 또는 다른 컴포넌트에 통합될 수 있다는 것이 이해되어야 한다.
일 실시예에서, 기판을 프로세싱하기 위한 시스템이 개시된다. 시스템은 기판을 지지하기 위한 히터 어셈블리를 포함한 반응기를 포함한다. 2 개 이상의 히터들은 히터 어셈블리에 통합되고, 그리고 2 개 이상의 히터들은 제 1 히터 및 제 2 히터를 포함한다. 공통 단자 히터 모듈은 히터 어셈블리의 제 1 히터와 근접하고 측정된 온도를 수신하도록 구성된다. 공통 단자 히터 모듈은 목표된 온도 설정사항을 수신하도록 구성되고 그리고 서보 제어 법칙은 제 1 히터에 대한 제 1 전압의 직접적인 제어 설정사항 및 제 1 전압의 비로서 도출되는, 제 2 히터에 대한 제 2 전압의 개루프 제어 설정사항을 식별하도록 프로세싱된다.
일 실시예에서, 듀얼 히팅 존들을 가진 반응기를 사용하여 기판을 프로세싱하기 위한 시스템이 제공된다. 상기 히팅 존들의 제어는 2 개의 전력 공급부들 사이에 공유된 공통 단자를 사용한다. 반응기는 기판을 지지하기 위한 페데스탈 및 프로세스 가스들을 반응기 내로 공급하기 위한 샤워헤드를 포함한다. 내측 히터 및 외측 히터는 페데스탈에 통합된다. 내측 전력 공급부는 내측 히터의 제 1 단부에 연결된 양 단자를 갖고 그리고 음 단자는 공통 단자에 커플링되는 내측 히터의 제 2 단부에 연결된다. 외측 전력 공급부는 외측 히터의 제 1 단부에 연결된 양 단자 및 공통 단자에 커플링되는 외측 히터의 제 2 단부에 연결된 음 단자를 갖는다. 공통 단자 히터 모듈은 내측 히터와 근접하고 측정된 온도를 수신하도록 구성된다. 목표된 온도 설정사항이 수신되고 그리고 서보 제어 법칙 (servo control law) 이 내측 전력 공급부의 내측 전압의 직접적인 제어 설정사항 및 외측 전력 공급부에 대한 외측 전압의 개루프 제어 설정사항을 식별하도록 프로세싱된다. 외측 전압은 내측 전압의 비로서 규정된다.
일부 실시예들에서, 서보 제어 법칙은 내측 히터로부터의 측정된 온도와 목표된 온도 사이의 차의 폐루프 분석을 프로세싱한다.
일부 실시예들에서, 폐루프 분석은 측정된 온도가 목표된 온도와 동일해질 때까지 반복하도록 구성되고, 폐루프 분석은 직접적인 제어 설정사항으로서 사용되는 내측 전력 공급부의 내측 전압을 식별하도록 구성된다.
일부 실시예들에서, 기판이 반응기의 페데스탈 위에 배치될 때 기판을 프로세싱하기 위해 내측 히터 및 외측 히터에 걸친 온도의 근사 균일성이 제공되도록, 비는 외측 히터로 하여금 목표된 온도 설정사항에 거의 도달하게 하도록 선택된다.
일부 실시예들에서, 내측 히터 및 외측 히터 각각은 저항성 엘리먼트로부터 형성되고, 그리고 저항성 엘리먼트 각각의 저항 값은 온도의 함수로서 변화한다.
일부 실시예들에서, 내측 전력 공급부의 음 단자, 외측 전력 공급부의 음 단자, 내측 히터의 제 2 단부, 및 외측 히터의 제 2 단부 각각은 공통 단자의 접합 노드에 연결된다.
일부 실시예들에서, 공통 단자는 내측 전력 공급부 및 외측 전력 공급부 양자로부터 내측 히터 및 외측 히터로의 연결들을 3 개의 와이어 연결들로 감소시킨다.
일부 실시예들에서, 내측 히터의 제 2 단부 및 외측 히터의 제 2 단부는 공통 단자의 접합 노드에 전기적으로 연결된다.
일부 실시예들에서, 내측 히터에 근접한 페데스탈 내에 배치된 온도 센서가 내측 히터의 부근에서 페데스탈의 측정된 온도를 획득하도록 사용된다. 페데스탈은 세라믹 재료로 형성되고 그리고 내측 히터 및 외측 히터는 저항성 엘리먼트들로 형성된다. 저항성 엘리먼트들은 온도의 함수로서 저항성 엘리먼트들의 저항 값을 변화시키도록 구성된다. 일 실시예들에서, 측정된 저항은 상기 존의 온도를 나타내도록 사용된다.
일부 실시예들에서, 내측 히터 및 외측 히터가 내측 전력 공급부 및 외측 전력 공급부에 대해 이루어진 3 개의 연결들에 의해 제어되도록, 공통 단자는 페데스탈의 외부에 규정된다.
일부 실시예들에서, 비는 외측 히터의 저항성 엘리먼트의 길이와 내측 히터의 저항성 엘리먼트의 길이의 차를 보상하도록 비례한다.
일부 실시예들에서, 비의 비례는 내측 히터의 저항성 엘리먼트에 비해 외측 히터의 저항성 엘리먼트를 포함하는 페데스탈의 면적의 차를 더 보상한다.
일부 실시예들에서, 비는 페데스탈의 표면에 걸쳐 실질적으로 균일한 열 프로파일을 달성하도록 미리 규정된다. 내측 전력 공급부의 내측 전압의 직접적인 제어 설정사항은 측정된 온도를 식별하도록 내측 히터에 근접한 페데스탈 내에 배치된 온도 센서를 사용하여 식별되고 그리고 외측 히터와 근접한 온도를 측정하는데 사용되는 온도 센서는 없다.
일부 실시예들에서, 비는 반응기의 제어기에 의해 설정 가능하다. 비의 구체적인 설정사항들은 내측 히터에 비해 외측 히터에 의해 생성된 페데스탈의 열 프로파일들의 차들을 달성하고, 내측 전력 공급부의 내측 전압의 직접적인 제어 설정사항은 측정된 온도를 식별하도록 내측 히터에 근접한 페데스탈 내에 배치된 온도 센서를 사용하여 식별되고 그리고 외측 히터와 근접한 온도를 측정하는데 사용되는 온도 센서는 없다.
일 실시예에서, 기판들을 프로세싱하기 위해 구현된 반응기에서 사용되는 페데스탈의 히터 존들을 제어하기 위한 방법이 개시된다. 방법은, 제 1 전력 공급부의 제 1 단자를 페데스탈의 내측 히터의 제 1 단부에 연결하는 단계, 제 2 전력 공급부의 제 1 단자를 페데스탈의 외측 히터의 제 1 단부에 연결하는 단계, 내측 히터의 제 2 단부 및 외측 히터의 제 2 단부를 공통 단자에 연결하는 단계를 포함한다. 방법은 제 1 전력 공급부의 제 2 단자 및 제 2 전력 공급부의 제 2 단자를 공통 단자에 연결하는 단계를 더 포함한다. 페데스탈의 내측 히터 및 외측 히터는 제 1 전력 공급부의 제 1 단자, 제 2 전력 공급부의 제 1 단자, 및 공통 단자를 사용하여 제어된다. 방법은 내측 히터에 근접한 페데스탈의 측정된 온도를 획득하도록 구성된다. 방법은 목표된 온도가 측정된 온도와 매칭하도록 제 1 전력 공급부의 내측 전압의 직접적인 제어 설정사항을 식별하기 위해 서보 제어 법칙을 사용한다. 이어서, 개루프 제어 설정사항이 제 2 전력 공급부의 외측 전압에 대해 식별된다. 외측 전압은 배수가 비에 의해 규정되도록, 내측 전압의 배수이다.
일부 실시예들에서, 내측 히터 및 외측 히터에 대한 페데스탈로의 연결들은, 제 1 전력 공급부의 제 1 단자, 제 2 전력 공급부의 제 1 단자, 및 공통 단자로 제한된다. 공통 단자는 제 1 전력 공급부 및 제 2 전력 공급부에 의해 공유된다.
일부 실시예들에서, 서보 제어 법칙을 프로세싱하는 단계는 내측 히터로부터의 측정된 온도와 목표된 온도 사이의 차의 폐루프 분석을 실행하는 단계를 포함한다.
일부 실시예들에서, 폐루프 분석은 측정된 온도가 목표된 온도와 동일해질 때까지 반복하도록 구성되고, 폐루프 분석은 제 1 전력 공급부의 내측 전압의 직접적인 제어 설정사항을 식별하도록 구성된다.
일부 실시예들에서, 기판이 반응기의 페데스탈 위에 배치될 때 기판을 프로세싱하기 위해 내측 히터 및 외측 히터에 걸친 온도의 근사 균일성이 제공되도록, 비는 외측 히터로 하여금 목표된 온도 설정사항에 거의 도달하게 하도록 선택된다.
일부 실시예들에서, 비는 반응기의 제어기에 의해 설정 가능하다. 비의 구체적인 설정사항들은 내측 히터에 비해 외측 히터에 의해 생성된 페데스탈의 열 프로파일들의 차들을 달성한다. 내측 전력 공급부의 내측 전압의 직접적인 제어 설정사항은 측정된 온도를 식별하도록 내측 히터에 근접한 페데스탈 내에 배치된 온도 센서를 사용하여 식별되고 그리고 외측 히터와 근접한 온도를 측정하는데 사용되는 온도 센서는 없다.
이들 및 다른 이점들은 전체 명세서 및 청구항들을 판독시 당업자들에 의해 이해될 것이다.
도 1a는 기판을 프로세싱하도록, 예를 들어, 기판 상에 막들을 형성하도록 사용되는 기판 프로세싱 시스템을 예시한다.
도 1b는 반도체 기판을 프로세싱하기 위해 활용된 반응기의 시스템 도면을 예시한다.
도 2는 전력 공급부들이 내측 히터 및 외측 히터 각각에 연결될 때, 전력 공급부들의 개별적인 특성의 예를 예시한다.
도 3a는 반응기의 페데스탈 내에 배치된 내측 히터 및 외측 히터에 전력을 전달하기 위한 전력 공급부들과 인터페이싱된 반응기를 포함하는 시스템의 예를 예시한다.
도 3b는 일 실시예에 따라, 서보 제어 법칙을 프로세싱하는 제어 플로우의 예를 예시한다.
도 3c 및 도 3d는 일 실시예에 따른, 계차 방정식들의 예들을 예시한다.
도 4는 일 실시예에 따른, 내측 히터 및 외측 히터로의 배선 연결들의 개략적인 도면을 예시한다.
도 5는 일 실시예에 따른, 내측 히터의 저항성 엘리먼트 (Ri) 의 레이아웃 (layout) 및 외측 히터의 저항성 엘리먼트 (Ro) 의 레이아웃의 일 예시적인 구성을 예시한다.
도 6a는 일 실시예에 따른, 공통 단자 C가 3 개의 개별적인 히터들, 예를 들어, 내측 존, 중간 존, 외측 존 사이에 공유될 수 있는 또 다른 구성 시스템을 예시한다.
도 6b는 일 실시예에 따라, 공통 단자 C를 제공하기 위한 또 다른 상호연결을 예시한다.
도 7은 상기에 기술된 시스템들을 제어하기 위한 제어 모듈을 도시한다.
본 개시의 실시예들은 기판의 프로세싱 동안 사용되는 페데스탈의 온도를 제어하도록 복수의 히터들을 활용하는 반응기 시스템들의 예들을 제공한다. 각각의 전력 공급부들에 페데스탈 내의 복수의 히터들을 상호연결하기 위해 필요한 와이어들의 수를 감소시키도록 공통 단자의 사용을 인에이블하는 시스템들 및 방법들에 대한 예시적인 실시예들이 제공된다. 본 명세서에 기술된 공통 단자 실시예들은 반응기의 페데스탈 내로 라우팅되도록 (routed) 요구되는 배선의 감소를 인에이블한다. 페데스탈 내로의 와이어들 및 연결들의 수를 감소시키는 것은 페데스탈 설계의 복잡성을 감소시키고, 연결 지점들의 수를 감소시키고, 그리고 반응기의 신뢰성을 증가시킬 수도 있다. 또한, 본 명세서에 기술된 실시예들은 페데스탈 내의 히터 존들 중 일 히터 존과 연관된 온도를 측정하도록 단일의 온도 센서를 활용하기 위한 방법을 활용한다. 그러므로 단일의 측정값은 이 측정된 히터에 대한 목표된 온도를 달성하도록 요구되는 전압 설정사항을 식별하도록 서보 제어 법칙에 입력으로서 사용된다.
페데스탈, 예를 들어 세라믹 페데스탈에 통합되는 히터들을 형성하는 저항성 엘리먼트들은 온도에 기초한 저항의 변화로 공지된다. 이 이유로, 서보 제어 법칙은 센싱된 존의 온도를 적절한 온도로 지정하는 전압을 식별하도록 활용될 수 있다. 일단 전압 설정사항이 측정될 히터 존에 대해 식별된다면, 비 값은 페데스탈에 걸친 히팅의 균일성을 달성하도록 다른 히터 또는 히터들에 대해 설정되어야 하는 전압을 도출하도록 활용된다. 일부 실시예들에서, 예를 들어, 상이한 존들에 대해 상이한 온도들을 인에이블하는 온도의 커스텀 (custom) 차들이 히터들 사이에서 달성될 수 있도록 비가 조정될 수 있다.
일부 실시예들에서, 페데스탈에 통합되는 것으로 기술된 히터들은 또한 반응기의 다른 컴포넌트들에 통합될 수 있다. 예를 들어, 히터들은 히팅된 샤워헤드를 형성하도록, 반응기의 샤워헤드에 통합될 수 있다. 일반적으로 말하면, 페데스탈에 통합된 히터들 및 페데스탈에 관하여 본 명세서에 제공된 기술은 또한 다른 타입들의 히팅 어셈블리들에 적용할 수 있다. 주지된 바와 같이, 이러한 히팅 어셈블리들은 샤워헤드, 및 반도체 기판들과 같은 기판들을 프로세싱하기 위해 사용된 프로세스 모듈들 및 반응기들 및 다른 디바이스들에서 구현될 수도 있는 정전 척, 지지 척, 페데스탈, 챔버 컴포넌트들, 또는 다른 구조체들을 포함할 수 있다.
도 1a는 ALD (atomic layer deposition) 프로세스들에서 형성된 기판들과 같은 기판들 위에 막들을 증착하도록 사용될 수도 있는 반응기 시스템 (100) 을 예시한다. 이들 반응기들은 2 개 이상의 히터들을 활용할 수도 있고, 그리고 공통 단자 구성들은 균일성 또는 커스텀 설정사항들을 위해 온도들을 제어하도록 이 예시적인 반응기에서 사용될 수도 있다.
이것을 염두에 두고, 도 1a의 시스템은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 가진 챔버 (102) 를 포함한다. 중심 칼럼 (center column) 은 일 실시예에서 전력 공급된 전극인, 페데스탈 (140) 을 지지하도록 구성된다. 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 전력 공급부 (104) (예를 들어, RF 전력 소스) 에 전기적으로 커플링된다. 전력 공급부 (104) 는 2 개 이상의 선택 가능한 그리고 상호 배타적인 오실레이터들 (oscillator) 을 가진 단일의 생성기로부터 규정될 수도 있다. 전력 공급부 (104) 는 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100) 을 동작시키도록 구성된다. 프로세스 입력 및 제어부 (108) 는 기판 (101) 위의 ALD 막 증착을 위해, 전력 레벨들, 타이밍 파라미터들, 셔틀 속도, RF 전력 레벨들, 접지 설정사항들, 프로세스 가스들, 플로우 레이트들, 기판 (101) 의 기계적 이동, 등과 같은 프로세스 레시피들을 포함할 수도 있다.
중심 칼럼 또는 스템은 또한 리프트 핀 제어부 (122) 에 의해 제어되는, 리프트 핀들 (120) 을 포함하도록 도시된다. 리프트 핀들 (120) 은 엔드-이펙터로 하여금 기판을 피킹하게 하기 (pick) 위해 페데스탈 (140) 로부터 기판 (101) 을 상승시키고 그리고 엔드-이펙터에 의해 배치된 후에 기판 (101) 을 하강시키도록 사용된다. 기판 프로세싱 시스템 (100) 은 프로세스 가스들 (114) 에 연결되는 가스 공급 매니폴드 (112), 예를 들어, 설비로부터의 가스 화학물질 공급부들을 더 포함한다. 수행되는 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통한 프로세스 가스들 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고 기판 (101) 과 대면하는 샤워헤드 (150) 전방부와 페데스탈 (140) 위에 놓이는 기판 (101) 사이에 규정된 공간 볼륨 내에 분배된다. ALD 프로세스들에서, 가스들은 흡수를 위해 선택된 반응물질들 또는 흡수된 반응물질들과의 반응을 위해 선택된 반응물질들일 수 있다.
또한, 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 적절한 가스들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 전달되는 것을 보장하도록 채용될 수도 있다. 프로세스 가스들은 유출부를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 개 또는 2 개의 스테이지 기계식 건조 펌프 및/또는 터보분자식 펌프) 는 프로세스 가스들을 외부로 인출하고 쓰로틀 밸브 또는 펜듈럼 밸브와 같은, 폐루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다.
페데스탈 (140) 의 외측 구역을 둘러싸는 캐리어 링 (153) 이 또한 도시된다. 캐리어 링 (153) 은 페데스탈 (140) 의 중심의 웨이퍼 지지 구역으로부터 스텝 다운된 (step down) 캐리어 링 지지 구역 위에 놓이도록 구성된다. 캐리어 링은 캐리어 링의 디스크 구조체의 외측 에지 측, 예를 들어, 외측 반경부, 및 캐리어 링의 디스크 구조체의 기판 에지 측, 예를 들어, 기판 (101) 이 놓이는 곳과 가장 가까운 내측 반경부를 포함한다. 캐리어 링의 기판 에지 측은 캐리어 링 (153) 이 포크들 (180) 에 의해 리프팅될 때 기판 (101) 을 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (153) 은 기판 (101) 과 함께 리프팅되고 또 다른 스테이션에 대해, 예를 들어, 멀티-스테이션 시스템에서 로테이션될 수 있다. 다른 실시예들에서, 챔버는 단일의 스테이션 챔버이다.
도 1b는 반도체 기판 (101) 을 프로세싱하기 위해 활용된 반응기 (102) 의 시스템 도면을 예시한다. 반도체 기판 (101) 은 반응기 (102) 내에서 페데스탈 (140) 위에 배치되게 도시된다. 샤워헤드 (150) 는 반응기 (102) 내에서 플라즈마를 생성하고 발생시키도록 활용된 프로세스 가스들을 공급하도록 사용된다. 가스 공급부 (114) 는 프로세스 레시피들에 따라 샤워헤드 (150) 로 하나 이상의 가스들을 공급한다. 제어기 (110) 는 가스 공급부 (114), 압력 제어부들, 온도 제어부들과 같은 설비들을 포함하는, 반응기 (102) 의 다양한 컴포넌트들에 인스트럭션들 및 다른 프로세싱 파라미터들을 제공하도록 사용된다. 이 예에서, 제어기 (110) 는 전력 공급부 (162) 및 전력 공급부 (164) 에 커플링된다. 전력 공급부 (162) 는 페데스탈 (140) 내에 배치된 내측 히터에 전력을 공급하도록 구성된다. 전력 공급부 (164) 는 페데스탈 (140) 내에 배치된 외측 히터에 전력을 공급하도록 구성된다.
배선 연결들 (160) 은 전력 공급부 (162) 와 전력 공급부 (164) 사이의 연결 인터페이스들을 페데스탈 (140) 의 히터들에 제공한다. 페데스탈 (140) 내의 히터들은 외측 히터의 저항성 엘리먼트들에 대한 Ro, 및 내측 히터의 저항성 엘리먼트들에 대한 Ri로서 각각 식별되는 저항성 엘리먼트들에 의해 예시된다. 일반적으로 말하자면, 전력 공급부 (162) 는 내측 저항성 엘리먼트들 (Ri) 의 배치와 근접한 구역에서 페데스탈 (140) 의 온도의 변화에 영향을 주도록 내측 히터의 전압 (Vi)를 제어할 수 있다. 전력 공급부 (164) 는 외측 저항성 엘리먼트들 (Ro) 의 배치와 근접한 구역에서 페데스탈 (140) 의 온도의 변화에 영향을 주도록 외측 히터의 전압 (Vo) 을 제어할 수 있다.
도 2는 전력 공급부들 (162 및 164) 이 내측 히터 (182) 및 외측 히터 (184) 각각에 연결될 때, 전력 공급부들 (162 및 164) 의 개별적인 특성의 예를 예시한다. 이 구성에서, 개별적인 단자 연결들이 전력 공급부들 (162 및 164) 각각에 독립적으로 제공되기 때문에, 내측 히터 (182) 및 외측 히터 (184) 를 개별적으로 보다 정확하게 제어하는 것이 가능하다. 이 구성은 내측 히터 (182) 의 양 및 음 연결들 (A+ 및 A-) 이 저항성 엘리먼트 (Ri) 에 대한 연결들을 이루기 위해 페데스탈 (140) 에 채널링될 (channeled) 것을 요구한다. 유사하게, 외측 히터 (184) 의 양 및 음 연결들 (B+ 및 B-) 은 저항성 엘리먼트 (Ro) 에 대한 연결들을 이루기 위해 페데스탈 (140) 에 채널링된다.
이 구성이 내측 히터 및 외측 히터 각각의 제어를 허용하지만, 페데스탈 (140) 에 라우팅되어야 (route) 하고 그리고 저항성 엘리먼트들 (Ro 및 Ri) 에 상호연결되어야 하는 배선 연결들 (160) 은, 페데스탈 (140) 내에서 부가적인 라우팅 그리고 또한 페데스탈 (140) 의 저항성 엘리먼트들에 대한 상호연결을 요구하고, 이는 배선 연결들 (160) 에 대한 라우팅 설계의 복잡성 및 배선을 복잡하게 만든다.
이해될 수 있는 바와 같이, 페데스탈에 부가된 부가적인 배선은 복잡성뿐만 아니라 비용을 부가할 수 있다. 그러므로 페데스탈 (140) 에 대해 이루어진 연결들의 수를 감소시키는 것은 페데스탈 (140) 설계를 단순화할 것이다. 다음의 예들은 페데스탈 내에 배치된 저항성 엘리먼트들에 통합되고 그리고 페데스탈에 대해 이루어진 배선 연결들의 수를 감소시키면서, 개별적인 전력 공급부들에 페데스탈의 내측 히터 및 외측 히터를 연결시키기 위한 공통 단자의 사용을 예시할 것이다. 이들 예들은 이제 도 3a 내지 도 7을 참조하여 기술될 것이다.
도 3a는 반응기 (202) 의 페데스탈 (240) 내에 배치된 내측 히터 및 외측 히터에 전력을 전달하기 위한 전력 공급부들 (224 및 226) 과 인터페이싱되는 반응기 (202) 를 포함하는, 시스템 (300) 의 예를 예시한다. 이 예에서, 공통 단자 C는 페데스탈 (240) 의 히터들을 형성하는 저항성 엘리먼트들에 전력 공급부 (224) 와 전력 공급부 (226) 사이의 연결들을 상호연결하도록 사용된다. 도시된 바와 같이, 페데스탈 (240) 은 외측 히터의 저항성 엘리먼트들 (Ro) 그리고 내측 히터의 저항성 엘리먼트들 (Ri) 을 각각 지칭하는, 저항성 엘리먼트들 (Ro 및 Ri) 을 포함한다. 전기적 연결은 내측 히터의 제 1 단부에 상호연결되는 양 단자 A를 통해 전력 공급부 (224) 로부터 내측 히터로 이루어진다.
전력 공급부 (224) 의 음 단자는 공통 단자 C에 연결된다. 전기적 연결은 외측 히터의 제 1 단부에 상호연결되는 양 단자 B를 통해 전력 공급부 (226) 로부터 외측 히터로 이루어진다. 전력 공급부 (226) 의 음 단자는 공통 단자 C에 연결된다. 이 방식으로, 제 1 전력 공급부 (224) 와 제 2 전력 공급부 (226) 사이의 3 개의 배선 연결들만이 연결들 (260) 로부터 페데스탈 (240) 까지 이루어지고 그리고 각각의 히터들의 저항성 엘리먼트들 (Ro 및 Ri) 에 커플링된다.
제 1 전력 공급부 (224) 는 저항성 엘리먼트들 (Ri) 을 가진 내측 히터에 연결되고, 그리고 제 1 전력 공급부 (224) 는 전력 공급부 (224) 의 설정사항인 내측 전압 (Vi) 을 갖는다. 제 2 전력 공급부 (226) 는 저항성 엘리먼트들 (Ro) 을 가진 외측 히터에 연결되고, 그리고 제 2 전력 공급부 (226) 는 전력 공급부 (226) 의 설정사항인 외측 전압 (Vo) 을 갖는다.
공통 단자 히터 모듈 (270) 은 공통 단자 연결들이 노드들 (A, C 및 B) 에 대해 이루어질 때, 내측 전압 (Vi) 및 외측 전압 (Vo) 을 설정하기 위해 제공된다. 내측 저항성 엘리먼트 (Ri) 에 의해 규정된, 내측 히터에 근접한 구역에서 온도 (Tj) 의 직접적인 측정을 인에이블하도록 페데스탈 (240) 내로 라우팅되는 온도 센서 (220) 가 추가로 도시된다. 일 실시예에서, 열적 커플링 (thermocouple) 은 페데스탈 (240) 의 측정된 온도를 규정하는, 내측 히터에 근접한 위치에서 페데스탈의 온도가 측정될 수 있도록 내측 히터에 근접한 위치에서 페데스탈 (240) 내에 배치될 수 있다. 일 실시예에서, 저항성 엘리먼트들을 포함하는 페데스탈은 세라믹 재료로 이루어진다.
그러므로 저항성 엘리먼트들에 의해 생성된 열은 페데스탈 (240) 의 세라믹 재료로 전달된다. 일 실시예에서, 페데스탈 (240) 은 저항성 엘리먼트들이 배치되는 구역에서 세라믹 재료로 형성되고, 그리고 또한 세라믹 재료는 기판이 반응기 (202) 내에 존재할 때 상부에 기판이 배치될 표면을 형성한다. 일부 구성들에서, 페데스탈 (240) 은 세라믹으로 형성되지 않을 수도 있는 스템과 같은 다른 컴포넌트들을 가질 수 있다. 페데스탈 (240) 의 스템은 알루미늄, 스테인리스 강, 또는 다른 재료들과 같은 금속으로 형성될 수도 있다. 스템은 바람직하게 저항성 엘리먼트들에 연결하기 위해, 페데스탈 (240) 내의 연결 노드들에 대한 연결들을 이루고 그리고 페데스탈 (240) 내의 연결 노드들 내로 와이어들을 라우팅하기 위한 채널들을 내부에 배치할 것이다.
일 실시예에서, 저항성 엘리먼트들이 세라믹 재료의 내부에서 제조되고 형성된다. 일부 실시예들에서, 페데스탈 (240) 은 이어서 저항성 엘리먼트들 및 저항성 엘리먼트들로의 연결들을 감싸도록 함께 샌드위치되는 (sandwiched) 세라믹 재료 파우더의 2 개 이상의 층으로 형성된다. 다른 실시예들에서, 페데스탈의 세라믹 재료는 저항성 엘리먼트들이 세라믹 재료의 내부에 배치되도록 형성될 수 있다. 일반적으로, 세라믹 재료는 히터로부터 세라믹 재료의 벌크로 열을 전달할 수 있고, 그러므로 페데스탈 (240) 의 표면으로 열을 전달하고 열 레벨을 생성하고, 페데스탈 (240) 은 이어서 프로세싱 동안 기판에 근접하게 배치된다. 일반적으로, 저항성 엘리먼트들은 온도의 함수 R(T) 로서 저항을 변화시키도록 구성된다. 그러므로, 전력이 저항성 엘리먼트들에 제공될 때, 저항은 저항성 엘리먼트들에 의해 생성된 온도 변화, 및 전력 공급부들에 의해 제공된 전압의 함수로서 변화할 것이다. 일 실시예에서, 측정된 저항은 이 존의 온도를 나타내도록 사용된다.
일 실시예에서, 공통 단자 히터 모듈 (270) 은 온도 설정사항 (272) (Tid) 으로서 목표된 온도를 수신하기 위한 로직을 포함한다. 그러므로 내측 히터의 측정된 온도 (Tj), 뿐만 아니라 온도 설정사항 (Tid) 은 서보 제어 법칙 (274) 으로 전달된다. 측정된 온도 (Tj) 와 온도 설정사항 (Tid) 사이의 차가 실질적으로 0에 도달할 때까지 서보 제어 법칙 (274) 은 내측 전압 (Vi) 을 연속적으로 변화시키는 반복적인 프로세스를 수행하도록 구성된다. 그러므로 서보 제어 법칙 (274) 은 측정된 온도 (Tj) 가 내측 히터의 목표된 온도 (Tid) 와 같아질 때까지 내측 전압 (Vi) 을 점진적으로 변화시킨다.
예로서, 서보 제어 법칙 (274) 은 내측 존의 온도의 직접적인 측정을 추정한다. 외측 존 제어 신호 (전압) 는 비 (k) 를 통해 내측 존에 커플링된다. 그러므로, 내측 존은 서보 제어 법칙에 의해 제어되고 그리고 외측 존은 (온도에 대해) 제어된 개루프이다. 또 다른 실시예에서, 직접적인 온도 측정이 외측 존에서 이루어진다면, 그러면 내측 존 및 외측 존 양자는 서보 제어기들에 의해 제어될 수 있지만, 제어의 최적의 방식은 내측 존에 의한 온도 (내측 존 온도 또는 평균 온도일 수 있음) 의 서보 제어를 보존하기 위한 방식일 것이고 그리고 외측 존은 온도 불균일성 (예를 들어, 외측 존과 내측 존 사이의 차, To-Tj) 을 제어할 것이다. 일 실시예에서, 평균 온도는 온도들의 수학적으로 스케일링된 합이다: 0.5 (To+Tj).
일 실시예에 따르면, 2 개의 존들 (예를 들어, 내측 히터 및 외측 히터) 의 제어가 근사할 수 있다. 내측 존 열적 전력 및 외측 존 열적 전력의 합은 히터에 인가될 총 전력과 같아야 한다. 내측 존과 외측 존 사이의 전력 차는 목표된 온도 균일성을 지지하도록 구동된다. 이들은 다음과 같이 수학적으로 표현될 수 있다.
Figure pat00001
이들 전력 존들 양자는 서보 제어기 시스템에 의해 관리될 수 있다. 일 실시예에서, 서보 제어 시스템은 복수의-입력, 복수의-출력 서보 제어기일 수도 있다. 열적 전력과 전류 사이의 관계는 히터 존의 저항이 온도의 함수이도록 공지되는 Joule 히팅에 대한 관계와 관련된다:
Figure pat00002
예를 들어, 도 2에 도시된 바와 같은, 개별적인 히터 연결들은 설계의 기계적 독립성을 제공하지만, 기능적 필요조건은 단지 전기적 독립성이다. 도 3a 내지 도 7의 설계는 설계를 기계적으로 의존적이게 만들면서 전기적 독립성을 유지한다. 전기적 독립성은 공통 단자에 대한 케이블링의 암페어 수 (amperage) 필요조건들을 증가시키지만, 이것은 경제적 이득 및 이 방식의 단순화에 비해 저비용이다. 게다가, 이 개념은 도 6에 예시될 바와 같이, 3 개 이상의 히터 존들로 확장 가능하다.
도 3a를 다시 참조하면, 내측 전압 설정사항 (276) 이 발견되고, 그리고 이어서 내측 전압 (Vi) 은 직접적인 제어 설정사항으로서 전력 공급부 (224) 에 전달된다. 이어서 내측 전압 설정사항 (276) 은 비 계산기 (278) 로 전달된다. 비 계산기 (278) 는 외측 전압 (Vo) 이 내측 전압 (Vo) 의 비 (k) 이도록, 결정된 내측 전압 (Vi) 으로부터 외측 전압 (Vo) 을 식별하도록 구성된다. 전력 공급부 (226) 는 외측 전압 (Vo) 을 설정하는 개루프 제어 설정사항을 수신하고, 페데스탈 (240) 의 외측 히터에 대한 전력 공급부를 규정한다.
일 실시예에서, 비 (k) 는 내측 히터에 근접한 페데스탈 (240) 의 온도가 외측 히터에 근접한 페데스탈 (240) 의 온도와 거의 동일하도록 설정된다. 일 실시예에서, 비는 외측 히터가 배치되는 면적에 대해 내측 히터가 배치되는 면적을 고려하도록 설계된다. 부가적으로, 비는 저항성 엘리먼트 (Ri) 의 길이에 대해 저항성 엘리먼트 (Ro) 의 길이를 고려할 것이다. 예를 들어, 내측 히터가 레이 아웃되는 (laid out) 내측 존이 외측 히터가 레이 아웃되는 외측 존보다 크다면, 히팅될 필요가 있는 페데스탈 (240) 의 면적뿐만 아니라 저항성 엘리먼트들의 길이의 차가 고려될 필요가 있다.
예로서, 외측 존이 내측 존보다 1.2 배 더 큰 면적을 갖고, 그리고 비가 K 값을 규정할 때 면적의 차를 고려할 경우가 있을 수도 있다. 유사하게, 존들 각각에서 결과로 발생한 온도는 거의 동일하고, 따라서 페데스탈의 표면에 걸쳐 균일성을 유지하도록, 내측 존 및 외측 존 내의 저항성 엘리먼트들의 길이의 차는 또한 존들 각각 내에 필요한 전력량에 영향을 줄 것이다.
대안적인 실시예에서, 비 (K) 는 페데스탈 (240) 의 내측 존 및 외측 존 내의 온도가 상이하도록 동적으로 설정될 수도 있다. 예를 들어, 비 (K) 를 변화시킴으로써, 보다 많은 전력 또는 보다 적은 전력을 전력 공급부 (226) 로 인가하는 것이 가능하다. 예로서, 기판 위에 재료를 증착하기 위해 활용된 프로세스 레시피가 기판의 주변 온도의 약간의 증가로부터 이익을 얻는다면, 외측 주변 존이 페데스탈 (240) 의 내측 존보다 부가적인 열을 제공하도록 K 값을 조정하는 것이 바람직할 수도 있다. 일부 실시예들에서, 비 (K) 의 동적 설정은 실행될 입력 또는 프로세스 파라미터들에 기초하여, 제어기 (310) 에 의해 실시간으로 행해질 수 있다. 일부 실시예들에서, 비 값은 상이한 프로세싱 스테이지들에 대해 미리 설정될 수 있다. 다른 실시예들에서, 프로세싱 값들은 원자층 증착 단계들과 같은, 증착 프로세스의 상이한 페이즈들을 위해 동적으로 설정될 수 있다.
또 다른 실시예에서, 2 개의 전력 공급부들 (224 및 226) 을 사용하는 대신에, n 개의 히터들에 단일의 전력 공급부를 멀티플렉싱하는 (multiplex) 것이 가능하다. 그러므로, 히터 존 각각의 열용량에 기인하여, 단일의 전력 공급부 구성은 전체 시스템을 단순화할 수 있다.
도 3b는 일 실시예에 따라, 서보 제어 법칙 (274) 을 프로세싱하는 제어 플로우 (350) 의 예를 예시한다. 이 예에서, 제어 플로우 (350) 는 온도 센서 (220) 로부터 측정된 온도 (Tj) 를 입력으로서 수신한다. 제어 플로우 (350) 에서, "Tj"의 "j"는 j={1, 2,..n} 의 계수이다. 제어 플로우 (350) 에 의해 수신된 추가의 입력은 목표된 온도 (Tid) (272) 이다. 서보 제어 법칙 (274) 은 계차 방정식 (360) 을 실행하도록 차분 연산자를 실행한다. 계차 방정식 (360) 은 측정된 온도 (Tj) 가 내측 히터만의 온도이기 때문에, 내측 전압 (Vi) 을 출력으로서 생성한다. 계차 방정식은 Tid-Tj의 차가 0이 될 때까지 반복적인 방식으로 실행되도록 구성되고, 여기서 Tj는 내측 히터의 측정된 온도이다. 그 시점에서, 내측 전압 (Vi) 은 목표된 온도가 측정된 온도와 동일하다는 것을 보장하는 설정사항으로 둘 것이다. 일부 실시예들에서, 제어 플로우 (350) 의 변수들에 대해 폐루프인 일부 타입의 전력 또는 전압 또는 전류 제어기가 있다. 그러나, 제공된 예들은 온도에 대한 실질적인 제어 법칙을 나타낸다. 여전히 또한, 존들 양자에 대해 폐루프 제어를 하는 것이 또한 가능하다. MIMO (multiple input, multiple output) 제어기가 사용될 수도 있고, 존들 양자에 대한 설정값은 평균 온도뿐만 아니라 타깃 불균일성의 서보잉 (servoing) 을 포함한다.
도시된 바와 같이, 내측 전압 (Vi) 의 출력은 온도가 내측 히터에 근접한 페데스탈 (240) 의 영역에서 변하도록, 페데스탈 (240) 에 제공된다. 그러므로 내측 전력 공급부에 의해 제공된 내측 전압 (Vi) 은 측정된 온도와 목표된 온도가 거의 동일할 때까지 계속 변화할 것이다. 이 시점에서, 공급된 내측 전압 (Vi) 설정사항 (276) 은 내측 히터에 대한 전력 공급부 (224) 의 직접적인 제어 설정사항이고, 이는 목표된 설정사항이 이제 페데스탈 (240) 의 내측 히터와 근사하게 제공됨을 확립한다. 공통 단자 히터 모듈 (270) 은 또한 외측 전압 (Vo) 을 전력 공급부 (226) 로 전달하도록 개루프 제어 설정사항을 공급할 것이고, 외측 전압 (Vo) 은 페데스탈 (240) 의 외측 히터로 라우팅된다.
도 3c는 계차 방정식 (360) 의 예를 예시하고, 제 1 항은 상수 Kp와 차 Tid-Tj를 곱하고, 제 2 항은 상수 Ko와 차 Tid-Tj의 미분을 곱하고, 그리고 제 3 항은 상수 Ki와 차 Tid-Tj의 적분을 곱한다. 상기에 주지된 바와 같이, 이 프로세싱은 내측 전압 (Vi) 이 내측 히터에 대한 목표된 온도의 온도에 근사하게 센싱되는 내측 히터의 온도를 생성할 때까지 반복하도록 구성된다. 그러므로 비 (k) 는 외측 전압 (Vo) 에 도달하도록 내측 전압 (Vi) 과 곱해지도록 사용되고, 예를 들어, Vo=kVi이다. 상기에 주지된 바와 같이, 비에 대한 K 값은 내측 히터 및 외측 히터가 페데스탈 (240) 의 표면에 걸쳐 거의 균일한 온도를 제공하도록 미리 규정될 수 있다. 일부 실시예들에서, K 값은 외측 히터가 내측 히터보다 고온을 공급하도록 조정될 수 있다. 일부 실시예들에서, K 값은 외측 히터가 내측 히터보다 저온을 공급하도록 조정될 수 있다. K 값의 제어는 동적일 수 있거나, 특정한 레시피들에 대해 미리 규정될 수 있다.
도 3d는 임의의 수의 히터들로 프로세스를 일반화하도록 의도되고, 그리고 내측 히터 및 외측 히터로 제한되지 않는, 계차 방정식 (360) 의 또 다른 예를 예시한다. 그러므로, 제 1 전압 (V1) 은 제 1 히터에 제공된 전압을 나타내고, 제 2 전압 (V2) 은 제 2 히터에 제공된 전압을 나타낸다. 임의의 수의 히터들이 가능하고, 그러므로 히터들의 수는 2 내지 N의 임의의 수일 수 있다는 것이 이해되어야 한다. 옴의 법칙에 기인하여, 제어 시스템은 전압 또는 전류를 서보잉할 수 있도록 V_i = R_i i_i이다.
도 4는 내측 히터 및 외측 히터로의 배선 연결들의 개략적인 도면을 예시한다. 도시된 바와 같이, 열적 커플링 (402) 은 온도 센서 (220) 가 내측 히터에 근접한 페데스탈의 온도를 모니터링할 수 있도록 내측 히터에 연결되거나 근접하게 배치된다. 단자 A를 통해 저항성 엘리먼트 (Ri) 에 의해 형성된 히터 Ri(T) 로 내측 전압 (Vi) 을 제공하는 전력 공급부 (224) 가 도시된다. 단자 B를 통해 저항성 엘리먼트 (Ro) 에 의해 형성된 히터 Ro(T) 로 외측 전압 (Vo) 을 제공하는 전력 공급부 (226) 가 도시된다. 전력 공급부 (226) 및 전력 공급부 (224) 의 음 단자들은 공통 단자 C에 각각 커플링된다.
도시된 바와 같이, 공통 단자 C는 페데스탈 (240) 내에 배치되고, 그리고 단자 A, 단자 B, 및 공통 단자 C에 대한 배선 연결들은 단지 전력 공급부들 (226 및 224) 로부터 전력을 전달하기 위해 페데스탈 내로 라우팅되어야 하는 배선 연결들이다. 접합 노드는 페데스탈 (240) 내의 전기적 연결부 (404) 에 배치된다. 그러므로 온도 센서 (220) 로부터 측정된 온도 그리고 온도 설정사항들 (272) 로부터 목표된 온도를 수신하는 서보 제어 법칙 (274) 이 도시된다. 그러므로 상기에 언급된 바와 같이, 서보 제어 법칙 (274) 은 전력 공급부 (224) 의 내측 전압 (Vi) 을 설정하는 직접적인 제어 설정사항 (276) 을 생성하도록 구성된다. 이어서 비 (K) 는 전력 공급부 (226) 의 외측 전압 (Vo) 을 설정하는 개방 제어 설정사항을 생성하도록 입력 (Vi) 에 적용된다.
도 5는 일 실시예에 따른, 내측 히터의 저항성 엘리먼트 (Ri) 의 레이아웃 (layout) 및 외측 히터의 저항성 엘리먼트 (Ro) 의 레이아웃의 일 예시적인 구성을 예시한다. 도시된 특정한 레이아웃은 단지 일 예이지만, 다양한 레이아웃 구성들이 제공될 수 있다는 것이 이해되어야 한다. 일부 레이아웃 구성들은 간단히 내측 존에 대한 저항성 엘리먼트들의 연속적인 원형 링들 그리고 이어서 외측 존에 대한 저항성 엘리먼트들의 연속적인 원형 링들을 제공할 수도 있다. 다른 실시예들에서, 내측 히터, 중간 히터, 및 외측 히터와 같은 복수의 히터 존들이 제공될 수 있다. 여전히 다른 실시예들에서, 히터 존들은 파이 형상들, 원형 배향된 히팅 원들, 개별적인 저항성 엘리먼트들의 그리드, 지그재그 저항성 엘리먼트들, 또는 일 단일의 저항성 엘리먼트로 배향될 수 있다.
이 특정한 예에서, 내측 히터는 직경 (520) 내에 배치되는 저항성 엘리먼트 (Ri) 에 의해 형성되고, 그리고 외측 히터는 직경 (530) 내에서 내측 히터를 둘러싸는 저항성 엘리먼트 (Ro) 에 의해 형성된다. 내측 히터는 단자 A에 연결되는 제 1 단부에서 시작되는 저항성 엘리먼트 (Ri) 를 갖는다. 외측 히터는 비저항성 와이어 (504) 를 통해 단자 B에 연결되고, 단자 A에 Ri의 제 1 단부를 연결하도록 연장하는 제 1 단부에서 시작되는 저항성 엘리먼트 (Ro) 를 갖는다. 내측 히터의 제 2 단부는 공통 단자 C에 연결되는 저항성 엘리먼트 (Ri) 를 갖는다. 저항성 엘리먼트 (Ro) 를 가진 외측 히터의 제 2 단부는 또한 비저항성 와이어 (502) 를 통해 공통 단자 C에 연결된다.
비저항성 와이어들 (502 및 504) 은 단순히 내측 히터 저항성 엘리먼트 (Ri) 및 외측 히터 저항성 엘리먼트 (Ro) 의 제 2 단부들 사이에 연결을 만들도록 저항성 엘리먼트들의 불균일한 집중을 방지하기 위해 제공된다. 그러므로, 페데스탈 (240) 의 표면 상에 배치된 내측 및 외측 히터들 양자에 대한 연결들은 단지 단자들 A, B 및 C이고, 반면에 개별적인 전력 공급부들 (224 및 226) 은 3 개의 단자들을 공유한다. 상기에 언급된 바와 같이, 이 저항성 엘리먼트들의 배향의 도시는 예로서 제공되고, 그리고 저항성 엘리먼트들은 나중에 페데스탈 (240) 상에 프로세싱을 위해 기판을 수용하는 페데스탈 (240) 을 규정하는 세라믹에 바람직하게 통합된다.
일 실시예에서, 세라믹 재료로부터 규정되는 페데스탈은 400 ℃까지 그리고 400 ℃보다 높은 온도들에서 동작할 수 있고, 그리고 공격적인 화학물질들을 사용하여 사용될 수도 있다.
도 6a는 일 실시예에 따른, 공통 단자 C가 3 개의 개별적인 히터들, 예를 들어, 내측 존, 중간 존, 외측 존 사이에 공유될 수 있는 또 다른 구성 시스템 600을 예시한다. 3 개의 전력 공급부들 (224, 226, 및 602) 이 도시된다. 이 예에서, 히터들 각각의 음 단자들은 공통 단자 C로 복귀할 것이다. 전력 공급부 (224) 의 양 단자는 단자 A와 연결된다. 전력 공급부 (226) 의 양 단자는 단자 B와 연결된다. 전력 공급부 (602) 의 양 단자는 단자 D와 연결된다. 이 예에서, 온도 센서 (220) 는 열적 커플링 (402) 을 사용하여 내측 히터의 온도를 센싱하도록 구성된다. 그러므로 온도 센서 (220) 는 서보 제어 법칙 (604) 으로 피딩되는 (fed) 측정된 온도를 생성한다. 목표된 온도 설정사항은 또한 서보 제어 법칙 (604) 에 제공되는 온도 설정사항 (272) 에 의해 제공된다. 그러므로 서보 제어 법칙 (604) 은 내측 전압 (Vi) 을 확립하는 직접적인 제어 설정사항을 생성할 것이다. 이어서 비 (606) 는 개루프 제어 설정사항을 사용하여 중간 존들 및 외측 존들 각각에 대한 내측 전압 (Vi) 에 적용된다.
예를 들어, 비 (km) 는 중간 존의 전력 공급부에 공급된 중간 전압 (Vm) 을 도출하도록 사용된다. 비 (ko) 는 외측 존의 전력 공급부에 공급된 외측 전압 (Vo) 을 도출하도록 사용된다. 이 예는 3 개 이상의 히터들이 페데스탈에서 사용될 수 있고, 그리고 페데스탈에 대한 배선이 페데스탈에서 전력 공급되는 복수의 히터들 사이에 공유되는 공통 단자 C를 활용함으로써 실질적으로 감소될 수 있다는 것을 예시하도록 제공된다. 이 예에서, 3 개의 히터들이 사용되고, 그리고 모든 와이어들이 페데스탈에 개별적으로 제공된다면, 6 개의 와이어들은 각각의 히터들에 인가된 전압을 제어하도록 요구될 것이다. 이 예에서 공통 단자 C를 활용함으로써, 와이어들만이 각각의 히터들에 인가된 전압을 제어하도록 요구될 것이다.
도 6b는 히터들, 예를 들어, 3 개의 히터들 (H1, H2, 및 H3) 을 상호연결하고, 그리고 공통 기준 전위를 사용하기 위한 또 다른 실시예를 예시한다. 이 예에서, N+1 개의 단자들을 가진 N 개의 히터들이 도시된다. 이 구성에서, 도 6a의 경우에서와 같이, N 개의 히터들 중 어떤 히터도 양 단자들 상에 부유 전위를 갖지 않는다. 이 구성에서, 히터 각각은 히터 자체에 라우팅되는 2 개의 단자 연결들을 필요로 하지 않기 때문에, 3 개의 히터들 (H1 내지 H3) 은 6 개에서 4 개로 연결들의 수를 더 감소시킨다. 이 구성에서, 온도 센서는 또한 도 6a에서 행해진 바와 같이, 히터들 중 일 히터의 온도를 측정하도록 사용될 것이다. 부가적으로, 서보 제어 법칙 (604) 은 측정될 히터 존에 대한 목표된 온도 및 측정된 온도를 수신하도록 동작할 수 있다. 그러므로 개별적인 비들 (k1 및 k2) 은 전압들 (V2 및 V3) 을 설정하도록 사용될 수 있다. 따라서, 도 6a의 예시는 공통 단자 C로서 동작하는, 공통 기준 전위로 히터들 및 전압 공급부들의 노드들 사이에 상호연결들의 또 다른 방식의 배선을 나타내도록 간단히 제공된다.
도 7은 상기에 기술된 시스템들을 제어하기 위한 제어 모듈 (700) 을 도시한다. 일 실시예에서, 도 1a의 제어 모듈 (110) 은 제어기 (310) 의 일부 예시적인 컴포넌트들을 포함할 수도 있다. 예를 들어, 제어 모듈 (700) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (700) 은 부분적으로 센싱된 (sense) 값들에 기초하여 시스템 내의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (700) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (702), 필터 히터들 (704), 펌프들 (706), 및 다른 디바이스들 (708) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (700) 은 단지 예를 들면, 압력 마노미터들 (710), 유량계들 (712), 온도 센서들 (714), 및/또는 다른 센서들 (716) 로부터 센싱된 값들을 수신한다. 제어 모듈 (700) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (700) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (700) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (700) 은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 기판 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (700) 은 또한 압력차를 모니터링할 수도 있고 증기 전구체 전달을 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 자동으로 스위칭할 수도 있다. 제어 모듈 (700) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들은 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (700) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (718) (예를 들어 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들) 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (720) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 예를 들어, 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 종래의 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들과 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다.
시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고, 그리고 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한, 그리고 챔버 내의 압력을 안정화하기 위해 증착 이전에 챔버 내로 가스를 선택 가능하게 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차이(들)와 미리 결정된 값(들)을 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은, 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템 내의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위해 가열 유닛들에 대한 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판 척으로의, 헬륨 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되지 않지만, 질량 유량 제어 모듈들, 압력 마노미터들 (710) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 써모커플들, 페데스탈 또는 척 (예를 들어 온도 센서들 (714/220)) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이러한 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 것은 단일 또는 멀티-챔버 반도체 프로세싱 툴에서의 본 개시의 실시예들의 구현예를 기술한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 기판 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 리모트 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 리모트 액세스를 인에이블할 수도 있다. 일부 예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다.
리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 리모트 컴퓨터의 일부로서) 리모트로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
실시예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공된다. 이는 총망라하거나 (exhaustive) 본 개시를 제한하도록 의도되지 않는다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않지만, 적용 가능한 경우에, 특별히 도시되거나 기술되지 않을지라도, 선택된 실시예에서 교체 가능하거나 사용될 수 있다. 동일한 것이 또한 많은 방식들로 가변될 수도 있다. 이러한 변동들은 본 개시로부터의 일탈로서 간주되지 않고, 모든 이러한 수정들은 본 개시의 범위 내에 포함되도록 의도된다.
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술될지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수 있다는 것이 분명할 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 고려되고, 본 실시예들은 본 명세서에 주어진 상세사항들로 제한되지 않지만, 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (24)

  1. 기판을 프로세싱하기 위한 시스템에 있어서,
    상기 기판을 지지하기 위한 히터 어셈블리를 포함하는 반응기로서, 상기 반응기는 프로세스 가스들을 수용하도록 구성되는, 상기 반응기;
    상기 히터 어셈블리에 통합된 내측 히터;
    상기 히터 어셈블리에 통합된 외측 히터로서, 상기 내측 히터를 둘러싸도록 배치된, 상기 외측 히터;
    상기 내측 히터의 제 1 단부에 연결된 양 단자 및 공통 단자에 커플링되는 상기 내측 히터의 제 2 단부에 연결된 음 단자를 가진, 내측 전력 공급부;
    상기 외측 히터의 제 1 단부에 연결된 양 단자 및 상기 공통 단자에 커플링되는 상기 외측 히터의 제 2 단부에 연결된 음 단자를 가진, 외측 전력 공급부; 및
    상기 내측 히터와 근접한, 측정된 온도를 수신하도록 구성된 공통 단자 히터 모듈로서, 상기 공통 단자 히터 모듈은 목표된 온도 설정사항을 수신하도록 구성되고 그리고 서보 제어 법칙 (servo control law) 이 상기 내측 전력 공급부의 내측 전압의 직접적인 제어 설정사항 및 상기 외측 전력 공급부에 대한 외측 전압의 개루프 제어 설정사항을 식별하도록 프로세싱되고, 상기 외측 전압은 상기 내측 전압의 비로서 규정되는, 상기 공통 단자 히터 모듈을 포함하는, 기판을 프로세싱하기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 서보 제어 법칙은 상기 내측 히터로부터의 상기 측정된 온도와 상기 목표된 온도 사이의 차의 폐루프 분석을 프로세싱하는, 기판을 프로세싱하기 위한 시스템.
  3. 제 2 항에 있어서,
    상기 폐루프 분석은 상기 측정된 온도가 상기 목표된 온도와 동일해질 때까지 반복하도록 구성되고; 상기 폐루프 분석은 상기 직접적인 제어 설정사항으로서 사용되는 상기 내측 전력 공급부의 상기 내측 전압을 식별하도록 구성되는, 기판을 프로세싱하기 위한 시스템.
  4. 제 1 항에 있어서,
    상기 기판이 상기 반응기의 상기 히터 어셈블리 위에 배치될 때 상기 기판을 프로세싱하기 위해 상기 내측 히터 및 상기 외측 히터에 걸친 온도의 근사 균일성이 제공되도록, 상기 비는 상기 외측 히터로 하여금 상기 목표된 온도 설정사항에 거의 도달하게 하도록 선택되는, 기판을 프로세싱하기 위한 시스템.
  5. 제 1 항에 있어서,
    상기 내측 히터 및 상기 외측 히터 각각은 저항성 엘리먼트로부터 형성되고, 그리고 상기 저항성 엘리먼트 각각의 저항 값은 온도의 함수로서 변화하는, 기판을 프로세싱하기 위한 시스템.
  6. 제 1 항에 있어서,
    상기 내측 전력 공급부의 상기 음 단자,
    상기 외측 전력 공급부의 상기 음 단자,
    상기 내측 히터의 상기 제 2 단부, 및
    상기 외측 히터의 상기 제 2 단부 각각은 상기 공통 단자의 접합 노드에 연결되는, 기판을 프로세싱하기 위한 시스템.
  7. 제 6 항에 있어서,
    상기 내측 히터는 제 1 히터 존이고 그리고 상기 외측 히터는 제 2 히터 존이도록, 상기 공통 단자는 상기 내측 전력 공급부와 상기 외측 전력 공급부 양자로부터의 연결들을 감소시키고, 상기 공통 단자는 n 개의 히터 존들로부터 n+1 개의 연결들로의 연결들을 감소시키는, 기판을 프로세싱하기 위한 시스템.
  8. 제 6 항에 있어서,
    상기 내측 히터의 상기 제 2 단부 및 상기 외측 히터의 상기 제 2 단부는 상기 공통 단자의 상기 접합 노드에 전기적으로 연결되는, 기판을 프로세싱하기 위한 시스템.
  9. 제 1 항에 있어서,
    상기 내측 히터의 부근에서 상기 히터 어셈블리의 상기 측정된 온도를 획득하도록 사용되는, 상기 내측 히터에 근접한 상기 히터 어셈블리 내에 배치된 온도 센서를 더 포함하고, 상기 히터 어셈블리는 세라믹 재료로 형성되고 그리고 상기 내측 히터 및 상기 외측 히터는 저항성 엘리먼트들로 형성되고, 상기 저항성 엘리먼트들은 온도의 함수로서 상기 저항성 엘리먼트들의 저항 값을 변화시키도록 구성되는, 기판을 프로세싱하기 위한 시스템.
  10. 제 9 항에 있어서,
    상기 내측 히터 및 상기 외측 히터가 상기 내측 전력 공급부 및 상기 외측 전력 공급부에 대해 이루어진 3 개의 연결들에 의해 제어되도록, 상기 공통 단자는 상기 히터 어셈블리의 외부에 규정되는, 기판을 프로세싱하기 위한 시스템.
  11. 제 1 항에 있어서,
    상기 비는 상기 외측 히터의 저항성 엘리먼트의 길이와 상기 내측 히터의 저항성 엘리먼트의 길이의 차를 보상하도록 비례하는, 기판을 프로세싱하기 위한 시스템.
  12. 제 11 항에 있어서,
    상기 비의 상기 비례는 상기 내측 히터의 상기 저항성 엘리먼트에 비해 상기 외측 히터의 상기 저항성 엘리먼트를 포함하는 상기 히터 어셈블리의 면적의 차를 더 보상하는, 기판을 프로세싱하기 위한 시스템.
  13. 제 1 항에 있어서,
    상기 비는 상기 히터 어셈블리의 표면에 걸쳐 실질적으로 균일한 열 프로파일을 달성하도록 미리 규정되고, 상기 내측 전력 공급부의 상기 내측 전압의 상기 직접적인 제어 설정사항은 상기 측정된 온도를 식별하도록 상기 내측 히터에 근접한 상기 히터 어셈블리 내에 배치된 온도 센서를 사용하여 식별되고 그리고 상기 외측 히터와 근접한 온도를 측정하는데 사용되는 온도 센서는 없는, 기판을 프로세싱하기 위한 시스템.
  14. 제 1 항에 있어서,
    상기 비는 상기 반응기의 제어기에 의해 설정 가능하고, 상기 비의 구체적인 설정사항들은 상기 내측 히터에 비해 상기 외측 히터에 의해 생성된 상기 히터 어셈블리의 열 프로파일들의 차들을 달성하고, 상기 내측 전력 공급부의 상기 내측 전압의 상기 직접적인 제어 설정사항은 상기 측정된 온도를 식별하도록 상기 내측 히터에 근접한 상기 히터 어셈블리 내에 배치된 온도 센서를 사용하여 식별되고 그리고 상기 외측 히터와 근접한 온도를 측정하는데 사용되는 온도 센서는 없는, 기판을 프로세싱하기 위한 시스템.
  15. 제 1 항에 있어서,
    상기 히터 어셈블리는 상기 반응기의 샤워헤드 또는 페데스탈 중 하나인, 기판을 프로세싱하기 위한 시스템.
  16. 기판들을 프로세싱하기 위해 구현된 반응기에서 사용되는 히터 어셈블리의 히터 존들을 제어하기 위한 방법에 있어서,
    제 1 전력 공급부의 제 1 단자를 상기 히터 어셈블리의 내측 히터의 제 1 단부에 연결하는 단계;
    제 2 전력 공급부의 제 1 단자를 상기 히터 어셈블리의 외측 히터의 제 1 단부에 연결하는 단계;
    상기 내측 히터의 제 2 단부 및 상기 외측 히터의 상기 제 2 단부를 공통 단자에 연결하는 단계;
    상기 제 1 전력 공급부의 상기 제 2 단자 및 상기 제 2 전력 공급부의 상기 제 2 단자를 상기 공통 단자에 연결하는 단계로서, 상기 히터 어셈블리의 상기 내측 히터 및 상기 외측 히터는 상기 제 1 전력 공급부의 상기 제 1 단자, 상기 제 2 전력 공급부의 상기 제 1 단자, 및 상기 공통 단자를 사용하여 제어되는, 상기 공통 단자에 연결하는 단계;
    상기 내측 히터에 근접한 상기 히터 어셈블리의 측정된 온도를 획득하는 단계;
    목표된 온도가 상기 측정된 온도와 매칭하도록 상기 제 1 전력 공급부의 내측 전압의 직접적인 제어 설정사항을 식별하기 위해 서보 제어 법칙을 프로세싱하는 단계; 및
    상기 제 2 전력 공급부의 외측 전압의 개루프 제어 설정사항을 식별하는 단계로서, 상기 외측 전압은 상기 내측 전압의 배수이고, 상기 배수는 비에 의해 규정되는, 상기 제 2 전력 공급부의 외측 전압의 개루프 제어 설정사항을 식별하는 단계를 포함하는, 히터 어셈블리의 히터 존들을 제어하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 내측 히터 및 상기 외측 히터에 대한 상기 히터 어셈블리로의 연결들은,
    상기 제 1 전력 공급부의 상기 제 1 단자,
    상기 제 2 전력 공급부의 상기 제 1 단자, 및
    상기 공통 단자로 제한되고, 상기 공통 단자는 상기 제 1 전력 공급부 및 상기 제 2 전력 공급부에 의해 공유되는, 히터 어셈블리의 히터 존들을 제어하기 위한 방법.
  18. 제 16 항에 있어서,
    상기 서보 제어 법칙을 프로세싱하는 단계는 상기 내측 히터로부터의 상기 측정된 온도와 상기 목표된 온도 사이의 차의 폐루프 분석을 실행하는 단계를 포함하는, 히터 어셈블리의 히터 존들을 제어하기 위한 방법.
  19. 제 18 항에 있어서,
    상기 폐루프 분석은 상기 측정된 온도가 상기 목표된 온도와 동일해질 때까지 반복하도록 구성되고, 상기 폐루프 분석은 상기 제 1 전력 공급부의 상기 내측 전압의 상기 직접적인 제어 설정사항을 식별하도록 구성되는, 히터 어셈블리의 히터 존들을 제어하기 위한 방법.
  20. 제 16 항에 있어서,
    상기 기판이 상기 반응기의 상기 히터 어셈블리 위에 배치될 때 상기 기판을 프로세싱하기 위해 상기 내측 히터 및 상기 외측 히터에 걸친 온도의 근사 균일성이 제공되도록, 상기 비는 상기 외측 히터로 하여금 상기 목표된 온도 설정사항에 거의 도달하게 하도록 선택되는, 히터 어셈블리의 히터 존들을 제어하기 위한 방법.
  21. 제 16 항에 있어서,
    상기 비는 상기 반응기의 제어기에 의해 설정 가능하고, 상기 비의 구체적인 설정사항들은 상기 내측 히터에 비해 상기 외측 히터에 의해 생성된 상기 히터 어셈블리의 열 프로파일들의 차들을 달성하고, 상기 내측 전력 공급부의 상기 내측 전압의 상기 직접적인 제어 설정사항은 상기 측정된 온도를 식별하도록 상기 내측 히터에 근접한 상기 히터 어셈블리 내에 배치된 온도 센서를 사용하여 식별되고 그리고 상기 외측 히터와 근접한 온도를 측정하는데 사용되는 온도 센서는 없는, 히터 어셈블리의 히터 존들을 제어하기 위한 방법.
  22. 기판을 프로세싱하기 위한 시스템에 있어서,
    상기 기판을 지지하기 위한 히터 어셈블리를 포함한 반응기;
    상기 히터 어셈블리에 통합된 2 개 이상의 히터들로서, 제 1 히터 및 제 2 히터를 포함하는, 상기 2 개 이상의 히터들; 및
    상기 히터 어셈블리의 상기 제 1 히터와 근접한, 측정된 온도를 수신하도록 구성된 공통 단자 히터 모듈로서, 상기 공통 단자 히터 모듈은 목표된 온도 설정사항을 수신하도록 구성되고 그리고 서보 제어 법칙이 상기 제 1 히터에 대한 제 1 전압의 직접적인 제어 설정사항 및 상기 제 1 전압의 비로서 도출되는, 상기 제 2 히터에 대한 제 2 전압의 개루프 제어 설정사항을 식별하도록 프로세싱되는, 상기 공통 단자 히터 모듈을 포함하는, 기판을 프로세싱하기 위한 시스템.
  23. 제 22 항에 있어서,
    상기 2 개 이상의 히터들 각각은 저항성 엘리먼트로부터 형성되고, 그리고 상기 저항성 엘리먼트 각각의 저항 값은 온도의 함수로서 변화하는, 기판을 프로세싱하기 위한 시스템.
  24. 제 23 항에 있어서,
    상기 저항 값의 측정값은 상기 각각의 저항성 엘리먼트와 연관된 존의 온도를 나타내는, 기판을 프로세싱하기 위한 시스템.
KR1020170020404A 2016-02-17 2017-02-15 반도체 제조에 사용되는 세라믹 페데스탈들을 위한 공통 단자 히터 KR20170096965A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/046,232 2016-02-17
US15/046,232 US10345802B2 (en) 2016-02-17 2016-02-17 Common terminal heater for ceramic pedestals used in semiconductor fabrication

Publications (1)

Publication Number Publication Date
KR20170096965A true KR20170096965A (ko) 2017-08-25

Family

ID=59561780

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170020404A KR20170096965A (ko) 2016-02-17 2017-02-15 반도체 제조에 사용되는 세라믹 페데스탈들을 위한 공통 단자 히터

Country Status (4)

Country Link
US (2) US10345802B2 (ko)
KR (1) KR20170096965A (ko)
CN (1) CN107093547B (ko)
TW (1) TWI752938B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210030995A (ko) * 2018-08-08 2021-03-18 램 리써치 코포레이션 저항 열 측정들을 통해 샤워헤드 가열 제어

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
JP6341457B1 (ja) * 2017-03-29 2018-06-13 Toto株式会社 静電チャック
JP6811144B2 (ja) * 2017-05-30 2021-01-13 東京エレクトロン株式会社 プラズマ処理装置の静電チャックを運用する方法
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
WO2019181500A1 (ja) * 2018-03-23 2019-09-26 日本碍子株式会社 マルチゾーンヒータ
US10633742B2 (en) 2018-05-07 2020-04-28 Lam Research Foundation Use of voltage and current measurements to control dual zone ceramic pedestals
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
KR20210128025A (ko) * 2019-03-13 2021-10-25 램 리써치 코포레이션 온도를 근사화하기 위한 정전 척 히터 저항 측정
KR102639158B1 (ko) * 2019-07-23 2024-02-22 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
JP7499651B2 (ja) * 2020-09-02 2024-06-14 東京エレクトロン株式会社 載置台及びプラズマ処理装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE8515560U1 (de) * 1985-05-25 1985-08-29 E.G.O. Elektro-Geräte Blanc u. Fischer, 7519 Oberderdingen Kochstellen-Beheizung
US5650082A (en) * 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
GB0217351D0 (en) * 2002-07-25 2002-09-04 Ceramaspeed Ltd Radiant electric heater
JP3907667B2 (ja) * 2004-05-18 2007-04-18 キヤノン株式会社 電子放出素子、電子放出装置およびそれを用いた電子源並びに画像表示装置および情報表示再生装置
JP4845389B2 (ja) * 2005-02-25 2011-12-28 京セラ株式会社 ヒータ及びウェハ加熱装置
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
US9892941B2 (en) * 2005-12-01 2018-02-13 Applied Materials, Inc. Multi-zone resistive heater
US8168926B2 (en) * 2007-03-26 2012-05-01 Ngk Insulators, Ltd. Heating device
WO2010008827A2 (en) 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
EP2223641B1 (en) * 2009-02-18 2016-05-11 Nestec S.A. Heating device with a multi powering configuration
JP5570938B2 (ja) * 2009-12-11 2014-08-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20120103970A1 (en) 2010-05-13 2012-05-03 Applied Materials, Inc. Heater with independent center zone control
US20120171377A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US20120211484A1 (en) 2011-02-23 2012-08-23 Applied Materials, Inc. Methods and apparatus for a multi-zone pedestal heater
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP6050722B2 (ja) * 2013-05-24 2016-12-21 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
KR102348108B1 (ko) * 2015-10-05 2022-01-10 주식회사 미코세라믹스 온도 편차 특성이 개선된 기판 가열 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210030995A (ko) * 2018-08-08 2021-03-18 램 리써치 코포레이션 저항 열 측정들을 통해 샤워헤드 가열 제어

Also Published As

Publication number Publication date
US20170236733A1 (en) 2017-08-17
TW201743658A (zh) 2017-12-16
CN107093547B (zh) 2020-09-11
TWI752938B (zh) 2022-01-21
US10345802B2 (en) 2019-07-09
US20190346838A1 (en) 2019-11-14
CN107093547A (zh) 2017-08-25

Similar Documents

Publication Publication Date Title
KR20170096965A (ko) 반도체 제조에 사용되는 세라믹 페데스탈들을 위한 공통 단자 히터
US10522377B2 (en) System and method for substrate support feed-forward temperature control based on RF power
US10096506B2 (en) Reducing temperature transition in a substrate support
US9596744B2 (en) Radio frequency generator having multiple mutually exclusive oscillators for use in plasma processing
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
CN107393847B (zh) 具有不同加热器迹线材料的层压加热器
US9864361B2 (en) Flexible temperature compensation systems and methods for substrate processing systems
US10633742B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
US20220037170A1 (en) Progressive heating of components of substrate processing systems using tcr element-based heaters
KR102533847B1 (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
US20190385828A1 (en) Temperature control systems and methods for removing metal oxide films
US20220172925A1 (en) Electrostatic chuck heater resistance measurement to approximate temperature
US10725485B2 (en) System and method for calculating substrate support temperature
WO2020263719A1 (en) Temperature control of a multi-zone pedestal
US10764966B2 (en) Laminated heater with different heater trace materials

Legal Events

Date Code Title Description
E902 Notification of reason for refusal