CN107093547B - 用于半导体制造的陶瓷基座的公共端子加热器 - Google Patents

用于半导体制造的陶瓷基座的公共端子加热器 Download PDF

Info

Publication number
CN107093547B
CN107093547B CN201710083138.6A CN201710083138A CN107093547B CN 107093547 B CN107093547 B CN 107093547B CN 201710083138 A CN201710083138 A CN 201710083138A CN 107093547 B CN107093547 B CN 107093547B
Authority
CN
China
Prior art keywords
heater
temperature
common terminal
internal
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710083138.6A
Other languages
English (en)
Other versions
CN107093547A (zh
Inventor
卡尔·F·利泽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN107093547A publication Critical patent/CN107093547A/zh
Application granted granted Critical
Publication of CN107093547B publication Critical patent/CN107093547B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B24/00Open-loop automatic control systems not otherwise provided for
    • G05B24/02Open-loop automatic control systems not otherwise provided for electric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0205Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system
    • G05B13/021Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system in which a variable is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Resistance Heating (AREA)

Abstract

本发明涉及用于半导体制造的陶瓷基座的公共端子加热器。提供用有多个加热区且用两个电源之间共享的公共端子控制加热区的反应器处理衬底的系统和方法。反应器包括支撑衬底的加热器组件和供应工艺气体到反应器中的喷头。内和外加热器集成在加热器组件中。内电源具有连接到内加热器的第一端的正极端子和连接到内加热器的耦合到公共端子的第二端的负极端子。外电源具有连接到外加热器的第一端的正极端子和连接到外加热器的耦合到公共端子的第二端的负极端子。公共端子加热器模块配置为接收靠近内加热器的测量温度。接收期望温度设置并处理伺服控制规则以识别内电源的内电压的直接控制设置和外电源的外电压的开环控制设置。外电压定义为内电压的比率。

Description

用于半导体制造的陶瓷基座的公共端子加热器
技术领域
本实施方式涉及半导体衬底处理方法和设备工具,更具体地,涉及利用多个加热器和公共端子以减少到加热器组件的布线的加热器组件设计。
背景技术
具有有多个加热器的基座的反应器通常用于在反应器中处理衬底期间精确控制基座的表面。基座中的两个区域加热器通常每个加热器具有两个电端子,或总共四个端子。这些端子中的每一个需要通过基座的底部连线并且连线到集成到基座中的电阻元件的连接中。基座中的两个区域加热器提供调整径向温度分布以及补偿可变环境热损失条件(例如,工艺套件发射率变化)(基于晶片计数)或补偿在不同工艺步骤之间不同的热传递条件(基于状态)的能力。
随着基座和相关联的反应器的设计继续改进,通过电路、布线和其它接口部件提供更多的控制。不幸的是,布线的这种增加可能对基座的互连导致不期望的复杂性。因此,需要简化穿过基座的底部和到基座中的布线要求,以降低成本、复杂性和设计,并减少额外的故障点。
正是在这种背景下出现了公开内容。
发明内容
本公开的实施方式包括使用具有多个加热器并使用公共端子的反应器基座以减少将基座中的多个加热器互连到相应电源所需的电线的数量。本文所述的各种实施方式,反应器的基座仅是可以实现加热器的一个示例。这样,本文所述的互连形貌(topography)和逻辑适用于任何类型的加热器组件。这种加热器组件可以限定在反应器的基座中,并且通常还限定在反应器的喷头中,以便控制喷头温度。应当理解,加热器组件可以集成到室、处理模块、反应器、接口室(interfacing chamber)的不同部件中或其它部件中。
在一个实施方式中,公开了一种用于处理衬底的系统。所述系统包括反应器,所述反应器包括用于支撑衬底的加热器组件。两个或两个以上加热器集成到所述加热器组件中,并且所述两个或两个以上加热器包括第一加热器和第二加热器。公共端子加热器模块被配置为接收靠近所述加热器组件的所述第一加热器的测量温度。所述公共端子加热器模块被配置为接收期望温度设置,并且处理伺服控制规则以识别所述第一加热器的第一电压的直接控制设置,并且所述第二加热器的第二电压的开环控制设置被导出为第一电压的比率。
在一个实施方式中,提供了一种使用具有双加热区的反应器来处理衬底的系统。所述加热区的控制使用在两个电源之间共享的公共端子。所述反应器包括用于支撑所述衬底的基座和用于将工艺气体供应到所述反应器中的喷头。内加热器和外加热器集成在所述基座中。内电源具有连接到所述内加热器的第一端的正极端子,以及连接到所述内加热器的耦合到公共端子的第二端的负极端子。外电源具有连接到所述外加热器的第一端的正极端子和连接到所述外加热器的耦合到所述公共端子的第二端的负极端子。公共端子加热器模块被配置为接收靠近所述内加热器的测量温度。接收期望温度设置,并且处理伺服控制规则以识别所述内电源的内电压的直接控制设置以及所述外电源的外电压的开环控制设置。所述外电压被定义为所述内电压的比率。
在一些实施方式中,伺服控制规则处理所述期望温度和来自所述内加热器的所述测量温度之间的差的闭环分析。
在一些实施方式中,所述闭环分析被配置为迭代直到所述测量温度等于所述期望温度,所述闭环分析被配置为识别所述内电源的所述内电压,所述内电压被用作所述直接控制设置。
在一些实施方式中,选择所述比率以使得所述外加热器近似达到所述期望温度设置,使得提供跨越所述内加热器和所述外加热器的温度的近似均匀性,以当所述衬底设置在所述反应器的所述基座上时用于处理所述衬底。
在一些实施方式中,所述内加热器和所述外加热器中的每一个由电阻元件限定,并且每个电阻元件的电阻值作为温度的函数而变化。
在一些实施方式中,所述内电源的所述负极端子、所述外电源的所述负极端子、所述内加热器的所述第二端和所述外加热器的所述第二端中的每一个连接到所述公共端子的连接节点。
在一些实施方式中,所述公共端子将从所述内电源和所述外电源两者到所述内加热器和所述外加热器的连接减少到三个线连接。
在一些实施方式中,所述内加热器的所述第二端和所述外加热器的所述第二端在所述公共端子的所述连接节点处电连接。
在一些实施方式中,设置在所述基座中靠近所述内加热器的温度传感器用于获得所述基座在所述内加热器附近的所述测量温度。所述基座由陶瓷材料限定,并且所述内加热器和所述外加热器由电阻元件限定。所述电阻元件被配置为改变作为温度的函数的其电阻值。在一个实施方式中,测得的所述电阻用于指示该区域的温度。
在一些实施方式中,所述公共端子被限定在所述基座的外部,使得通过对所述内电源和所述外电源作出的三个连接来控制所述内加热器和所述外加热器。
在一些实施方式中,所述比率被成比例以补偿在所述外加热器的电阻元件的长度和所述内加热器的电阻元件的长度方面的差异。
在一些实施方式中,所述比率的所述比例进一步补偿在所述基座的包括所述外加热器的所述电阻元件的面积与所述基座的包括所述内加热器的所述电阻元件的面积方面的差异。
在一些实施方式中,预定义所述比率以实现跨越所述基座的表面的基本上均匀的热分布。使用布置在所述基座中的靠近所述内加热器以识别所述测量温度的温度传感器来识别所述内电源的所述内电压的所述直接控制设置,并且不使用温度传感器来测量靠近所述外加热器的温度。
在一些实施方式中,所述比率可通过所述反应器的控制器设定。所述比率的具体设置实现所述基座的由所述外加热器产生的热分布与由所述内加热器产生的热分布的差异,其中所述内电源的所述内电压的所述直接控制设置使用布置在所述基座中靠近所述内加热器以识别所述测量温度的温度传感器来识别,并且没有温度传感器用于测量靠近所述外加热器的温度。
在一个实施方式中,公开了一种用于控制在用于处理衬底的反应器中使用的基座的加热器区域的方法。所述方法包括将第一电源的第一端子连接到所述基座的内加热器的第一端,将第二电源的第一端子连接到所述基座的外加热器的第一端,将所述内加热器的第二端和所述外加热器的第二端连接到公共端子。所述方法还包括将所述第一电源的第二端子和所述第二电源的第二端子连接到所述公共端子。所述基座的所述内加热器和所述外加热器利用所述第一电源的所述第一端子、所述第二电源的所述第一端子和所述公共端子来控制。所述方法被配置为获得所述基座的靠近所述内加热器的测量温度。所述方法使用伺服控制规则来识别所述第一电源的内电压的直接控制设置,使得期望温度与所述测量温度匹配。然后,针对所述第二电源的外电压识别开环控制设置。所述外电压是所述内电压的倍数,使得所述倍数由比率定义。
在一些实施方式中,到所述基座的用于所述内加热器和所述外加热器的连接被限制到所述第一电源的所述第一端子、所述第二电源的所述第一端子和所述公共端子。所述公共端子由所述第一电源和所述第二电源共享。
在一些实施方式中,处理所述伺服控制规则包括执行所述期望温度和来自所述内加热器的所述测量温度之间的差的闭环分析。
在一些实施方式中,所述闭环分析被配置为迭代直到所述测量温度等于所述期望温度,所述闭环分析被配置为识别所述第一电源的所述内电压的所述直接控制设置。
在一些实施方式中,选择所述比率以使得所述外加热器近似达到所述期望温度设置,使得提供跨越所述内加热器和所述外加热器的温度的近似均匀性,以用于当所述衬底设置在所述反应器的所述基座上时处理所述衬底。
在一些实施方式中,所述比率可通过所述反应器的控制器设定。所述比率的具体设置实现所述基座的由所述外加热器产生的热分布与由所述内加热器产生的热分布的差异。使用布置在所述基座中的靠近所述内加热器以识别所述测量温度的温度传感器来识别所述内电源的所述内电压的所述直接控制设置,并且不使用温度传感器来测量靠近所述外加热器的温度。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于处理衬底的系统,其包括:
反应器,其包括用于支撑所述衬底的加热器组件,所述反应器被配置为接收工艺气体;
集成在所述加热器组件中的内加热器;
集成在所述加热器组件中的外加热器,所述外加热器布置成围绕所述内加热器;
内电源,其具有连接到所述内加热器的第一端的正极端子和连接到所述内加热器的耦合到公共端子的第二端的负极端子;
外电源,其具有连接到所述外加热器的第一端的正极端子和连接到所述外加热器的耦合到所述公共端子的第二端的负极端子;和
公共端子加热器模块,其被配置为接收接近所述内加热器的测量温度,所述公共端子加热器模块被配置为接收期望温度设置,并且处理伺服控制规则以识别所述内电源的内电压的直接控制设置和所述外电源的外电压的开环控制设置,其中所述外电压被定义为所述内电压的比率。
2.根据条款1所述的系统,其中所述伺服控制规则处理所述期望温度和来自所述内加热器的所述测量温度之间的差的闭环分析。
3.根据条款2所述的系统,其中所述闭环分析被配置为迭代,直到所述测量温度等于所述期望温度;所述闭环分析被配置为识别所述内电源的用作所述直接控制设置的所述内电压。
4.根据条款1所述的系统,其中所述比率被选择为使得所述外加热器近似达到所述期望温度设置,使得提供跨越所述内加热器和所述外加热器的温度的近似均匀性,以用于当所述衬底设置在所述反应器的所述加热器组件上时处理所述衬底。
5.根据条款1所述的系统,其中所述内加热器和所述外加热器中的每一个由电阻元件限定,并且每个电阻元件的电阻值作为温度的函数而变化。
6.根据条款1所述的系统,其中,
所述内电源的所述负极端子,
所述外电源的所述负极端子,
所述内加热器的所述第二端;以及
所述外加热器的所述第二端,
其中的每一个连接到所述公共端子的连接节点。
7.根据条款6所述的系统,其中所述公共端子减少来自所述内电源和所述外电源的连接,使得所述内加热器是第一加热器区域并且所述外加热器是第二加热器区域,其中所述公共端子将来自n个加热器区域的连接减少到n+1个连接。
8.根据条款6所述的系统,其中所述内加热器的所述第二端和所述外加热器的所述第二端在所述公共端子的所述连接节点处电连接。
9.根据条款1所述的系统,其还包括,
设置在所述加热器组件中靠近所述内加热器的温度传感器,其用于获得所述加热器组件在内加热器附近的所述测量温度,所述加热器组件由陶瓷材料限定,并且所述内加热器和所述外加热器由电阻元件限定,所述电阻元件被配置为改变作为温度的函数的其电阻值。
10.根据条款9所述的系统,其中所述公共端子被限定在所述加热器组件的外部,使得由对所述内电源和所述外电源作出的三个连接来控制所述内加热器和所述外加热器。
11.根据条款1所述的系统,其中所述比率被成比例以补偿在所述外加热器的电阻元件的长度和所述内加热器的电阻元件的长度方面的差。
12.根据条款11所述的系统,其中,所述比率的所述比例还补偿在所述加热器组件的包括所述外加热器的所述电阻元件的面积与所述加热器组件的包括所述内加热器的所述电阻元件的面积方面的差。
13.根据条款1所述的系统,其中所述比率被预定义以实现跨越所述加热器组件的表面的基本均匀的热分布,其中,使用设置在所述加热器组件内靠近所述内加热器以识别所述测量温度的温度传感器来识别所述内电源的所述内电压的所述直接控制设置,并且没有温度传感器用于测量靠近所述外加热器的温度。
14.根据条款1所述的系统,其中所述比率能由所述反应器的控制器设置,其中所述比率的具体设置实现所述加热器组件的由所述外加热器产生的热分布与由所述内加热器产生的热分布的差异,其中,使用设置在所述加热器组件内靠近所述内加热器以识别所述测量温度的温度传感器来识别所述内电源的所述内电压的所述直接控制设置,并且没有温度传感器用于测量靠近所述外加热器的温度。
15.根据条款1所述的系统,其中所述加热器组件是所述反应器的基座或喷头中的一个。
16.一种用于控制在用于处理衬底的反应器中使用的加热器组件的加热器区域的方法,其包括:
将第一电源的第一端子连接到所述加热器组件的内加热器的第一端;
将第二电源的第一端子连接到所述加热器组件的外加热器的第一端;
将所述内加热器的第二端和所述外加热器的第二端连接到公共端子;
将所述第一电源的第二端子和所述第二电源的第二端子连接到所述公共端子,其中,利用所述第一电源的所述第一端子、所述第二电源的所述第一端子以及所述公共端子来控制所述加热器组件的所述内加热器和所述外加热器;
获得所述加热器组件的邻近所述内加热器的测量温度;
处理伺服控制规则以识别所述第一电源的内电压的直接控制设置,使得期望温度与所述测量温度匹配;以及
识别所述第二电源的外电压的开环控制设置,所述外电压是所述内电压的倍数,所述倍数由比率定义。
17.根据条款16所述的方法,其中,到所述加热器组件的用于所述内加热器和所述外加热器的连接被限制到:
所述第一电源的所述第一端子,
所述第二电源的所述第一端子,以及
所述公共端子,其中所述公共端子由所述第一电源和所述第二电源共享。
18.根据条款16所述的方法,其中,处理所述伺服控制规则包括执行所述期望温度和来自所述内加热器的所述测量温度之间的差的闭环分析。
19.根据条款18所述的方法,其中所述闭环分析被配置为迭代,直到所述测量温度等于所述期望温度,所述闭环分析被配置为识别所述第一电源的所述内电压的所述直接控制设置。
20.根据条款16所述的方法,其中所述比率被选择为使所述外加热器近似达到所述期望温度设置,使得提供跨越所述内加热器和所述外加热器的温度的近似均匀性,以用于当所述衬底设置在所述反应器的所述加热器组件上时处理所述衬底。
21.根据条款16所述的方法,其中所述比率能由所述反应器的控制器设置,其中所述比率的具体设置实现所述加热器组件的由所述外加热器产生的热分布与由所述内加热器产生的热分布的差异,其中,使用设置在所述加热器组件内靠近所述内加热器以识别所述测量温度的温度传感器来识别所述内电源的所述内电压的所述直接控制设置,并且没有温度传感器用于测量靠近所述外加热器的温度。
22.一种用于处理衬底的系统,其包括:
反应器,其包括用于支撑所述衬底的加热器组件;
两个或两个以上加热器,其集成到所述加热器组件中,所述两个或两个以上加热器包括第一加热器和第二加热器;以及
公共端子加热器模块,其被配置为接收靠近所述加热器组件的所述第一加热器的测量温度,所述公共端子加热器模块被配置为接收期望温度设置,并且处理伺服控制规则以识别所述第一加热器的第一电压的直接控制设置,并且所述第二加热器的第二电压的开环控制设置被导出为所述第一电压的比率。
23.根据条款22所述的系统,其中所述两个或两个以上加热器中的每一个由电阻元件限定,并且每个电阻元件的电阻值作为温度的函数而变化。
24.根据条款23所述的系统,其中所述电阻值的测量值指示与相应的所述电阻元件相关联的区域的温度。
本领域技术人员在阅读整个说明书和权利要求书时将理解这些和其它优点。
附图说明
图1A示出了衬底处理系统,其用于处理衬底,例如以在其上形成膜。
图1B示出了用于处理半导体衬底的反应器的系统图。
图2示出了当电源分别连接到内加热器和外加热器时电源的单独性质的示例。
图3A示出了系统的示例,该系统包括与电源连接的反应器,该电源用于向设置在反应器的基座中的内加热器和外加热器输送功率。
图3B示出了根据一个实施方式的处理伺服控制规则的控制流程的示例。
图3C和3D示出了根据一个实施方式的差分方程的示例。
图4示出了根据一个实施方式的到内加热器和外加热器的布线连接的示意图。
图5示出了根据一个实施方式的内加热器的电阻元件Ri的布局和外加热器的电阻元件Ro的布局的一个示例配置。
图6A示出了根据一个实施方式的另一种配置系统,其中公共端子C可以在三个单独的加热器(例如内部区域、中间区域、外部区域)之间共享。
图6B示出根据一个实施方式的用于提供公共端子C的另一互连。
图7示出了用于控制上述系统的控制模块。
具体实施方式
本公开的实施方式提供了利用多个加热器来控制在处理衬底期间使用的基座的温度的反应器系统的示例。提供了用于使得能够使用公共端子以减少将基座中的多个加热器互连到相应电源所需的导线的数量的系统和方法的示例实施方式。本文所述的公共端子实施方式使得能够减少需要布线到反应器的基座中的布线。减少导线和到基座中的连接的数量减少了基座设计的复杂性,减少了连接点的数量,并且可以增加反应器的可靠性。此外,本文所述的实施方式利用了利用单个温度传感器来测量与基座中的加热器区域之一相关联的温度的方法。然后将单次测量用作伺服控制规则的输入,以识别实现该测量的加热器的期望温度所需的电压设置。
限定集成在基座(例如,陶瓷基座)中的加热器的电阻元件已知基于温度改变电阻。为此,可以利用伺服控制规则来识别将感测区域的温度置于适当温度的电压。一旦为正被测量的加热器区域识别了电压设置,利用比率值来导出应该为一个或多个其他加热器设置的电压,以实现跨越基座的加热的均匀性。在一些实施方式中,可以调节该比率,使得可以在加热器之间实现温度的定制差异,例如,为不同区域实现不同的温度。
在一些实施方式中,被描述为集成到基座中的加热器也可以集成到反应器的其他部件中。例如,加热器可以集成到反应器的喷头中,以便限定加热的喷头。概括地说,这里提供的关于基座和集成在基座中的加热器的描述也可应用于其它类型的加热组件。如所指出的,这种加热组件可以包括喷头、静电卡盘、支撑卡盘、基座、室部件或可以在用于处理衬底的反应器和处理模块中实现的其他结构(例如,半导体衬底和其他器件)。
图1A示出反应器系统100,其可以用于在衬底上沉积膜,例如在原子层沉积(ALD)工艺中形成的那些。这些反应器可以使用两个或两个以上加热器,并且公共端子配置可以在该示例性反应器中使用以控制温度以实现均匀性或定制设置。
考虑到这一点,图1A的系统包括具有下室部分102b和上室部分102a的室102。中心柱被配置为支撑基座140,在一个实施方式中基座140是供电电极。基座140经由匹配网络106电耦合到电源104(例如,RF电源)。电源104可以由具有两个或两个以上可选且相互排斥的振荡器的单个发生器限定。电源104由控制模块110(例如,控制器)控制。控制模块110被配置为通过执行工艺输入和控制108来操作衬底处理系统100。工艺输入和控制108可以包括例如用于在衬底101上的ALD膜沉积的工艺配方,例如,功率电平、定时参数、穿梭(shuttle)速度、RF功率电平、地设置、工艺气体、流速、衬底101的机械移动等。
中心柱或杆还示出为包括由升降销控制件122控制的升降销120。升降销120用于从基座140提升衬底101以允许末端执行器拾取衬底,并且在衬底被末端执行器放置之后降低衬底101。衬底处理系统100还包括连接到工艺气体114(例如来自设施的气体化学物质供应)的气体供应歧管112。根据正在执行的处理,控制模块110控制工艺气体114经由气体供应歧管112的输送。所选择的气体然后流入喷头150中,并且分布在限定在面向衬底101的喷头150面和搁置在基座140上的衬底101之间的空间体积中。在ALD工艺中,可以选择气体用于吸收反应物或与吸收的反应物反应。
此外,气体可以是预混合的或不是预混合的。可以采用适当的阀和质量流量控制机构以确保在工艺的沉积和等离子体处理阶段期间输送正确的气体。工艺气体经由出口离开室。真空泵(例如,一级或两级机械干式泵和/或涡轮分子泵)通过闭环控制的流量限制装置(例如节流阀或摆阀)将工艺气体排出以及在反应器内保持适当的低压。
还示出了围绕基座140的外部区域的承载环153。承载环153被配置为位于承载环支撑区域上方,承载环支撑区域是从基座140的中心处的衬底支撑区域向下一个台阶。承载环包括其盘结构的外边缘侧(例如外半径)以及其盘结构的最接近衬底101所处位置的衬底边缘侧(例如内半径)。承载环的衬底边缘侧包括多个接触支撑结构,该多个接触支撑结构被配置为当承载环153被叉180提升时提升衬底101。因此,承载环153与衬底101一起被提升并且例如在多站系统中可以旋转到另一个站。在其他实施方式中,室是单站室。
图1B示出了用于处理半导体衬底101的反应器102的系统图。半导体衬底101被示为设置在反应器102中的基座140上方。喷头150用于供应工艺气体,工艺气体用于在反应器102中创建或产生等离子体。气体供应源114根据工艺配方向喷头150供应一种或多种气体。控制器110用于向反应器102的各种部件提供指令,包括诸如气体供应源114的设施、压力控制、温度控制和其它处理参数。在该示例中,控制器110耦合到电源162和电源164。电源162被配置为向布置在基座140中的内加热器供电。电源164被配置为向布置在基座140中的外加热器供电。
布线连接160提供在电源162和电源164之间到基座140的加热器的连接接口。基座140中的加热器由电阻元件示出,其分别被标识为用于外加热器的电阻元件Ro和用于内加热器的电阻元件的Ri。一般来说,电源162能够控制内加热器的电压Vi以影响在靠近内部电阻元件Ri的放置的区域中的基座140的温度的变化。电源164能够控制外加热器的电压Vo以影响靠近外部电阻元件Ro的放置的区域中的基座140的温度的变化。
图2示出了当电源162和164分别连接到内加热器182和外加热器184时电源162和164的单独性质的示例。在该配置中,可以分别更精确地控制内加热器182和外加热器184,因为单独的端子连接被独立地提供给电源162和164中的每一个。该配置要求内加热器182的正连接A+和负连接A-被引导到基座144,以用于连接到电阻元件Ri。类似地,外加热器184的正连接B+和负连接B-被引导到基座140,以用于连接到电阻元件Ro
尽管该配置允许分别控制内加热器和外加热器,但是必须布线到基座140并且互连到电阻元件Ri和Ro的布线连接160需要在基座140内的附加布线,并且还需要到基座140的电阻元件的互连,这使得布线连接160的布线和布线设计的复杂性变得复杂。
可以理解,添加到基座的附加布线可以增加复杂性和成本。减少到基座140的连接的数量因此将简化基座140的设计。以下实例将说明使用公共端子来将基座的内加热器和外加热器连接到单独的电源,同时减少对基座进行并集成到设置在基座中的电阻元件的布线连接的数量。现在将参考图3A-7描述这些示例。
图3A示出了系统300的示例,其包括与电源224和226连接的反应器202,电源224和226用于将功率输送到设置在反应器202的基座240中的内加热器和外加热器。在该示例中,公共端子C用于将电源224和电源226之间的连接互连到限定基座240的加热器的电阻元件。如图所示,基座240包括电阻元件Ro和Ri,分别参考外加热器的电阻元件Ro和内加热器的电阻元件Ri。通过互连到内加热器的第一端的正极端子A,从电源224电连接到内加热器。
电源224的负极端子连接到公共端子C。通过互连到外加热器的第一端的正极端子B,从电源226到外加热器进行电连接。电源226的负极端子连接到公共端子C。以这种方式,在第一电源224和第二电源226之间只有三个布线连接从连接260向上进入基座240,并且耦合到相应加热器的电阻元件Ro和Ri
第一电源224连接到具有电阻元件Ri的内加热器,并且第一电源224具有作为电源224的设置的内电压(Vi)。第二电源226连接到具有电阻元件Ro的外加热器,第二电源226具有作为电源226的设置的外电压(Vo)。
当对节点A、C和B进行公共端子连接时,提供公共端子加热器模块270用于设置内电压Vi和外电压Vo。还示出了温度传感器220,其被布线进入基座240以能够直接测量靠近由内部电阻元件Ri限定的内加热器的区域中的温度(Tj)。在一个实施方式中,热电偶可以布置在基座240中靠近内加热器的位置,使得可以测量基座的邻近内加热器的位置处的温度,限定基座240的测量温度。在一个实施方式中,包括电阻元件的基座由陶瓷材料制成。
因此,由电阻元件产生的热量被传递到基座240的陶瓷材料。在一个实施方式中,基座240由布置电阻元件的区域中的陶瓷材料限定,并且陶瓷材料限定当衬底存在于反应器202中时衬底将设置在其上的表面。在一些配置中,基座240可以具有其他部件,例如可以不由陶瓷限定的杆。基座240的杆可以由金属(诸如铝、不锈钢)或其它材料限定。杆将优选地在其中设置有通道,该通道用于将线引入到基座240中并且连接到基座240中的连接节点以连接到电阻元件。
在一个实施方式中,电阻元件在陶瓷材料的内部制造和形成。在一些实施方式中,基座240由一层以上的陶瓷材料粉末限定,该陶瓷材料粉末然后被夹心在一起(sandwichtogether)以包围电阻元件和到电阻元件的连接。在其他实施方式中,可以形成基座的陶瓷材料,使得电阻元件设置在陶瓷材料的内部。通常,陶瓷材料能够将热量从加热器传递到陶瓷材料的主体,从而将热量水平传递并产生到基座240的表面,然后在处理期间将基座240放置在衬底附近。通常,电阻元件被配置为作为温度的函数R(T)来改变电阻。因此,当向电阻元件提供功率时,电阻将根据由电阻元件产生的温度变化和由电源提供的电压而变化。在一个实施方式中,测得的电阻用于指示该区域的温度。
在一个实施方式中,公共端子加热器模块270包括用于接收作为温度设置272Tid的期望温度的逻辑。然后将内加热器的测量温度Tj以及温度设置Tid传送到伺服控制规则274。伺服控制规则274被配置为执行连续改变内电压Vi的迭代过程,直到测量温度Tj和温度设置Tid之间的差值基本上达到零。因此,伺服控制规则274逐渐改变内电压Vi,直到测量温度Tj等于内加热器的期望温度Tid
作为示例,伺服控制规则274假设对内部区域的温度的直接测量。外部区域控制信号(电压)通过比率k耦合到内部区域。因此,内部区域由伺服控制规则控制,外部区域是受控开环(根据温度(on temperature))。在另一个实施方式中,如果对外部区域进行直接温度测量,则内部区域和外部区域都可以由伺服控制器控制,但是最佳控制方式将是通过内部区域保持温度(其可以是内部区域温度或平均温度)的伺服控制,而外部区域将控制温度不均匀性(例如,外部区域和内部区域之间的差,To-Tj)。在一个实施方式中,平均温度在数学上是温度的缩放和:0.5(To+Tj)。
根据一个实施方式,两个区域(例如,内加热器和外加热器)的控制可以被近似化。内部区域和外部区域热功率的总和必须等于将施加到加热器的总功率。驱动内部区域和外部区域之间的功率差以支持期望的温度均匀性。这些可以在数学上表示如下:
Figure BDA0001226527750000151
这两个功率区域可以由伺服控制器系统管理。在一个实施方式中,伺服控制系统可以是多输入多输出伺服控制器。热功率和电流之间的关系由焦耳加热的关系相关,其中已知加热器区域的电阻是温度的函数:
Figure BDA0001226527750000152
例如,如图2所示的单独的加热器连接提供了设计的机械独立性,但是功能要求仅是电独立性。图3A-7的设计保持电独立性,同时使得设计是机械相关的。虽然这增加了用于公共端子的电缆的安培数要求,但这是这种方法的小成本与经济效益和简化的权衡。此外,该概念可扩展到两个以上加热器区域,如图6所示。
再次参考图3A,找到内电压设置276,然后将内电压Vi作为直接控制设置传送到电源224。内电压设置276然后被传送到比率计算器278。比率计算器278被配置为由所确定的内电压Vi识别外电压Vo,使得外电压Vo是内电压Vo的比率(k)。电源226接收设置外电压Vo的开环控制设置,将电源限定到基座240的外加热器。
在一个实施方式中,设置比率k,使得基座240的靠近内加热器的温度与基座240的靠近外加热器的温度大致相同。在一个实施方式中,该比率被设计成考虑在其上设置内加热器的面积与在其上设置外加热器的面积。另外,该比率将考虑电阻元件Ro的长度与电阻元件Ri的长度。例如,如果其中布置内加热器的内部区域大于其中布置外加热器的外部区域,则需要考虑电阻元件的长度差以及基座240的需要加热的区域。
例如,可能的情况是外部区域具有比内部区域大1.2倍的面积,并且在定义k值时该比率将考虑面积差。类似地,内部区域和外部区域中的电阻元件的长度差也将影响每个区域中所需的功率量,使得每个区域中所得到的温度大致相同,从而保持整个基座的表面上的均匀性。
在替代实施方式中,可以动态地设置比率k,使得基座240的内部区域和外部区域中的温度将不同。例如,通过改变比率k,可以向电源226施加更多的功率或更少的功率。例如,如果用于在衬底上沉积材料的工艺配方将受益于温度在衬底的周边中的轻微增加,则可能期望调整k值,使得外周边区域提供比基座240的内部区域更多的额外热量。在一些实施方式中,可以基于正在执行的输入或工艺参数由控制器310实时地执行比率k的动态设置。在一些实施方式中,可以为不同的处理阶段预设比率值。在其他实施方式中,可以针对沉积工艺(例如原子层沉积步骤)的不同阶段动态地设置处理值。
在另一实施方式中,代替使用两个电源224和226,可以将单个电源多路复用到n个加热器。因此,由于每个加热器区域的热质量,单个电源配置可以简化整个系统。
图3B示出了根据一个实施方式的处理伺服控制规则272的控制流程350的示例。在该示例中,控制流350从温度传感器220接收作为输入的测量温度Tj。在控制流350中,“Tj”的“j”是j={1,2,...n}的枚举(enumeration)。由控制流350接收的另一输入是期望温度Tid272。伺服控制规则272执行差分算子以执行差分方程360。差分方程360产生内电压Vi作为输出,因为测量温度Tj仅为内加热器的测量温度。差分方程被配置为以迭代方式执行,直到Tid-Tj之间的差为零,其中Tj是内加热器的测量温度。在该点,内电压Vi将被置于确保期望温度与测量温度相同的设置。在一些实施方式中,存在对控制流350的变量闭环的某种类型的功率或电压或电流控制器。然而,所提供的示例指示关于温度的实际控制规则。此外,还可以对两个区域进行闭环控制。可以使用多输入多输出(MIMO)控制器,其中两个区域的设定点包括伺服平均温度以及目标非均匀性。
如图所示,内电压Vi的输出被提供给基座240,使得基座240的靠近内加热器的区域中的温度改变。因此,由内电源提供的内电压Vi将继续改变,直到测量温度和期望温度近似相等。在这一点上,提供的内电压Vi设置276是用于内加热器的电源224的直接控制设置,其确定在基座240的内加热器附近现在存在期望设置。公共端子加热器模块270还将提供开环控制设置以将外电压Vo传送到电源226,电源226被布线到基座240的外加热器。
图3C示出了差分方程360的示例,其中第一项将常数kp乘以差Tid-Tj,第二项将常数ko乘以差Tid-Tj的导数,第三项将常数kI乘以差Tid-Tj的积分。如上所述,该处理被配置为迭代,直到内电压Vi产生内加热器的被感测为大约为内加热器的期望温度的温度。然后使用比率k乘以内电压Vi以得到外电压Vo,例如Vo=kVi。如上所述,可以预定比率k的值,使得内加热器和外加热器提供在整个基座240的表面上大致均匀的温度。在一些实施方式中,可以调节k值,使得外加热器提供比内加热器更高的温度。在一些实施方式中,可以调节k值,使得外加热器提供比内加热器低的温度。k值的控制可以是动态的,或者可以是为特定配方预定义的。
图3D示出了差分方程360的另一示例,其旨在将过程概括为任何数量的加热器,并且不限于内加热器和外加热器。因此,第一电压V1表示提供给第一加热器的电压,第二电压V2表示提供给第二加热器的电压。应当理解,任何数量的加热器是可能的,因此加热器数量可以是2至N的任何数量。由于欧姆定律,V_i=R_i i_i,因此控制系统可以伺服电压或电流。
图4示出了到内加热器和外加热器的布线连接的示意图。如图所示,热电偶402连接或放置在内加热器附近,使得温度传感器220可监测基座的靠近内加热器的温度。电源224被示为通过端子A提供内电压Vi到由电阻元件Ri限定的加热器Ri(T)。电源226被示为通过端子B将外电压Vo提供给由电阻元件Ro限定的加热器Ro(T)。电源226和电源224的负极端子分别耦合到公共端子C。
如图所示,公共端子C设置在基座240中,并且用于端子A、端子B和公共端子C的布线连接是必须布线到基座中以用于从电源226 224传输功率的唯一布线连接。连接节点设置在基座240中的电连接404中。因此,伺服控制规则274被示为从温度传感器220接收测量温度以及从温度设置272接收期望温度。如上所述,伺服控制规则274因此被配置为生成设置电源224的内电压Vi的直接控制设置276。然后将比率k应用到输入Vi以产生设置电源226的外电压Vo的开环控制设置。
图5示出了根据一个实施方式的内加热器的电阻元件Ri的布局和外加热器的电阻元件Ro的布局的一个示例配置。应当理解,所示的具体布局仅是一个示例,并且可以提供不同的布局配置。一些布局配置可以简单地为内部区域提供连续的电阻元件圆环,然后为外部区域提供连续的电阻元件圆环。在其他实施方式中,可以提供多个加热器区域,例如内加热器、中间加热器和外加热器。在其它实施方式中,加热器区域可以被取向为饼形、圆形取向加热圆,单独电阻元件、Z字形电阻元件或一个单个电阻元件的网格。
在该特定示例中,内加热器由设置在直径520中的电阻元件Ri限定,并且外加热器由围绕直径530中的内加热器的电阻元件Ro限定。内加热器具有电阻元件Ri,该电阻元件Ri在连接到端子A的第一端处开始。外加热器具有电阻元件Ro,该电阻元件Ro在通过非电阻线504连接到端子B的第一端处开始,延伸以将Ri的第一端连接到端子A。内加热器的第二端具有连接到公共端子C的电阻元件Ri。具有电阻元件Ro的外加热器的第二端也经由非电阻线502连接到公共端子C。
提供非电阻线502和504以简单地防止电阻元件的不均匀浓度,以实现内加热器电阻元件Ri的第二端和外加热器电阻元件Ro的第二端之间的连接。因此,与设置在基座240的表面上的内加热器和外加热器的唯一连接是端子A、B和C,而两个单独的电源224和226共享这三个端子。如上所述,提供电阻元件的取向的这种描述作为示例,并且电阻元件优选地集成到限定基座240的陶瓷中,该基座240然后接收用于在其上处理的衬底。
在一个实施方式中,由陶瓷材料限定的基座可以在高达400℃和高于400℃的温度下操作,并且可以与侵蚀性化学物质一起使用。
图6A示出了根据一个实施方式的另一种配置系统600,其中公共端子C可以在三个单独的加热器(例如内部区域、中间区域、外部区域)之间共享。示出了三个电源224、226和602。在该示例中,每个加热器的负极端子将返回到公共端子C。电源224的正极端子连接到端子A。电源226的正极端子连接到端子B。电源602的正极端子连接到端子D。在该示例中,温度传感器220被配置为使用热电偶402来感测内加热器的温度。因此,温度传感器220产生被馈送到伺服控制规则604的测量温度。期望温度设置由温度设置272提供,温度设置272也被提供给伺服控制规则604。因此,伺服控制规则604将产生确定内电压Vi的直接控制设置。然后使用开环控制设置将比率606应用于中间区域和外部区域中的每一个的内电压Vi
例如,比率km用于导出提供给中间区域的电源的中间电压Vm。比率ko用于导出提供给外部区域的电源的外电压Vo。提供该示例以说明在基座中可以使用两个以上加热器,并且通过利用在基座中被供电的多个加热器之间共享的公共端子C,可以显著减少到基座的布线。在该示例中,使用三个加热器,并且如果所有线被单独地提供到基座,则将需要六根线来控制施加到相应加热器的电压。通过在本例中使用公共端子C,控制施加到各个加热器的电压仅需要四根线。
图6B示出了互连加热器(例如,三个加热器H1、H2和H3)并使用公共参考电位的另一个实施方式。在该示例中,示出了具有N+1个端子的N个加热器。在该配置中,N个加热器中没有一个在两个端子上具有浮动电位,如图6A中的情况。在该配置中,三个加热器H1-H3仍将连接数目从六个减少到四个,因为每个加热器不需要布线到加热器本身的两个端子连接。在该配置中,温度传感器也将用于测量加热器中的一个的温度,如图6A所示。此外,伺服控制规则604可以操作以接收正在测量的加热器区域的测量温度和期望温度。然后可以使用单独的比率k1和k2来设置电压V2和V3。因此,简单地提供图6A的图示以示出将电压源和加热器的节点之间的互连布线到作为公共端子C操作的公共参考电位的另一种方式。
图7示出了用于控制上述系统的控制模块700。在一个实施方式中,图1A的控制模块110可以包括控制器310的示例组件中的一些。例如,控制模块700可以包括处理器、存储器和一个或多个接口。控制模块700可以用于部分地基于感测的值来控制系统中的设备。仅作为示例,控制模块700可以基于感测的值和其他控制参数来控制阀702、滤波器加热器704、泵706和其它装置708中的一个或多个。仅作为示例,控制模块700从压力计710、流量计712、温度传感器714和/或其他传感器716接收感测值。控制模块700还可以用于在前体输送和膜沉积期间控制工艺条件。控制模块700通常将包括一个或多个存储器设备和一个或多个处理器。
控制模块700可以控制前体输送系统和沉积设备的活动。控制模块700执行计算机程序,包括用于控制工艺时序、输送系统温度和跨越滤波器的压力差、阀位置、气体混合物、室压力、室温度、衬底温度、RF功率电平、衬底卡盘或基座位置和特定工艺的其他参数。控制模块700还可以监测压力差并且自动地将蒸汽前体输送从一个或多个路径切换到一个或多个其它路径。在一些实施方式中可以采用存储在与控制模块700相关联的存储器设备上的其他计算机程序。
通常,将存在与控制模块700相关联的用户界面。用户界面可以包括显示器718(例如,显示屏和/或设备的图形软件显示和/或工艺条件)、以及用户输入设备720(例如,定点设备、键盘、触摸屏、麦克风等)。
用于控制前体的输送、沉积和处理序列中的其他过程的计算机程序可以以任何常规的计算机可读编程语言(例如,汇编语言、C、C++、Pascal、Fortran或其他)编写。编译的目标代码或脚本由处理器执行以执行程序中标识的任务。
控制模块参数涉及工艺条件,例如,诸如滤波器压力差、工艺气体组成和流速、温度、压力、等离子体条件(例如,RF功率电平和低频RF频率)、冷却气体压力、和室壁温度。
系统软件可以以许多不同的方式来设计或配置。例如,可以写入各种室部件子程序或控制对象以控制执行本发明沉积工艺所需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码、加热器控制代码和等离子体控制代码。
衬底定位程序可以包括用于控制室部件的程序代码,所述室部件用于将衬底装载到基座或卡盘上,并控制衬底和室的其它部件(例如,气体入口和/或目标)之间的间隔。工艺气体控制程序可以包括用于控制气体组成和流速并且任选地在沉积之前使气体流入室以便稳定室中的压力的代码。滤波器监视程序包括将测量的差分与预定值进行比较的代码和/或用于切换路径的代码。压力控制程序可以包括用于通过调节例如室的排气系统中的节流阀来控制室中的压力的代码。加热器控制程序可以包括用于控制到加热单元的用于加热前体输送系统中的部件、衬底和/或系统的其他部分的电流的代码。或者,加热器控制程序可以控制传热气体(诸如氦)到衬底卡盘的传送。
可以在沉积期间监测的传感器的示例包括但不限于质量流量控制模块、压力传感器(例如压力计710)和位于输送系统中的热电偶,基座或卡盘(例如温度传感器714/220)。适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用以维持期望的工艺条件。前述描述了本发明的实施方式在单室或多室半导体处理工具中的实施。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。
远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
出于说明和描述的目的提供了实施方式的前述描述。这并不旨在穷举或限制本公开。特定实施方式的单个元件或特征通常不限于该特定实施方式,而是在可应用的情况下是可互换的并且可以用在所选实施方式中,即使没有具体示出或描述。同样也可以以许多方式变化。这样的变化不被认为是偏离本公开,并且所有这样的修改旨在被包括在本公开的范围内。
虽然为了清楚理解的目的已经相当详细地描述了前述实施方式,但是显而易见的是,可以在所附权利要求的范围内实施某些改变和修改。因此,本实施方式被认为是说明性的而不是限制性的,并且实施方式不限于本文给出的细节,而是可以在其权利要求的范围和等同方案内进行修改。

Claims (23)

1.一种用于处理衬底的系统,其包括:
反应器,其包括用于支撑所述衬底的加热器组件,所述反应器被配置为接收工艺气体;
集成在所述加热器组件中的内加热器;
集成在所述加热器组件中的外加热器,所述外加热器布置成围绕所述内加热器,从而限定不同的加热器区域;
内电源,其具有连接到所述内加热器的第一端的正极端子和连接到所述内加热器的第二端的负极端子;
外电源,其具有连接到所述外加热器的第一端的正极端子和连接到所述外加热器的第二端的负极端子;
公共端子,所述公共端子使用电线将所述内加热器的所述第二端连接到所述外加热器的所述第二端,所述电线在所述外加热器的外径位置与所述内加热器的内径位置之间在径向上延伸,所述公共端子位于所述内加热器的内径位置;和
公共端子加热器模块,其接收接近所述内加热器的区域的测量温度,所述公共端子加热器模块接收期望温度设置,并且处理伺服控制规则以识别所述内电源的内电压的直接控制设置和所述外电源的外电压的开环控制设置,其中所述外电压被定义为所述内电压的比率,其中,所述公共端子加热器模块使所述比率成比例,以补偿用于限定所述内加热器的电阻元件和用于限定所述外加热器的电阻元件的长度方面的差。
2.根据权利要求1所述的系统,其中所述伺服控制规则处理所述期望温度和来自所述内加热器的所述测量温度之间的差的闭环分析。
3.根据权利要求2所述的系统,其中所述闭环分析被配置为迭代,直到所述测量温度等于所述期望温度;所述闭环分析被配置为识别所述内电源的用作所述直接控制设置的所述内电压。
4.根据权利要求1所述的系统,其中所述比率被选择为使得所述外加热器近似达到所述期望温度设置,使得提供跨越所述内加热器和所述外加热器的温度的近似均匀性,以用于当所述衬底设置在所述反应器的所述加热器组件上时处理所述衬底。
5.根据权利要求1所述的系统,限定所述内加热器和所述外加热器中的每一个的每个电阻元件的电阻值作为温度的函数而变化。
6.根据权利要求1所述的系统,其中,
所述内电源的所述负极端子,
所述外电源的所述负极端子,
所述内加热器的所述第二端;以及
所述外加热器的所述第二端,
其中的每一个连接到所述公共端子的连接节点。
7.根据权利要求6所述的系统,其中所述公共端子减少来自所述内电源和所述外电源的连接,使得所述内加热器是第一加热器区域并且所述外加热器是第二加热器区域,其中所述公共端子将来自n个加热器区域的连接减少到n+1个连接。
8.根据权利要求6所述的系统,其中所述内加热器的所述第二端和所述外加热器的所述第二端在所述公共端子的所述连接节点处电连接。
9.根据权利要求1所述的系统,其还包括,
设置在所述加热器组件中靠近所述内加热器的温度传感器,其用于获得所述加热器组件在内加热器附近的所述测量温度,所述加热器组件由陶瓷材料限定,所述内加热器和所述外加热器的所述电阻元件被配置为改变作为温度的函数的其电阻值。
10.根据权利要求9所述的系统,其中所述公共端子被限定在所述加热器组件的外部,使得由对所述内电源和所述外电源作出的三个连接来控制所述内加热器和所述外加热器。
11.根据权利要求1所述的系统,其中,所述比率的所述比例还补偿在所述加热器组件的包括所述外加热器的所述电阻元件的面积与所述加热器组件的包括所述内加热器的所述电阻元件的面积方面的差。
12.根据权利要求1所述的系统,其中所述比率被预定义以实现跨越所述加热器组件的表面的基本均匀的热分布,其中,使用设置在所述加热器组件内靠近所述内加热器以识别所述测量温度的温度传感器来识别所述内电源的所述内电压的所述直接控制设置,并且没有温度传感器用于测量靠近所述外加热器的温度。
13.根据权利要求1所述的系统,其中所述比率能由所述反应器的控制器设置,其中所述比率的具体设置实现所述加热器组件的由所述外加热器产生的热分布与由所述内加热器产生的热分布的差异,其中,使用设置在所述加热器组件内靠近所述内加热器以识别所述测量温度的温度传感器来识别所述内电源的所述内电压的所述直接控制设置,并且没有温度传感器用于测量靠近所述外加热器的温度。
14.根据权利要求1所述的系统,其中所述加热器组件是所述反应器的基座或喷头中的一个。
15.一种用于控制在用于处理衬底的反应器中使用的加热器组件的加热器区域的方法,其包括:
将第一电源的第一端子连接到所述加热器组件的内加热器的第一端;
将第二电源的第一端子连接到所述加热器组件的外加热器的第一端;
使用电线将所述内加热器的第二端通过公共端子连接到所述外加热器的第二端,所述电线在所述外加热器的外径位置与所述内加热器的内径位置之间在径向上延伸,所述公共端子位于所述内加热器的内径位置;
将所述第一电源的第二端子和所述第二电源的第二端子连接到所述公共端子,其中,利用所述第一电源的所述第一端子、所述第二电源的所述第一端子以及所述公共端子来控制所述加热器组件的所述内加热器和所述外加热器;
获得所述加热器组件的邻近所述内加热器的测量温度;
处理伺服控制规则以识别所述第一电源的内电压的直接控制设置,使得期望温度与所述测量温度匹配;以及
识别所述第二电源的外电压的开环控制设置,所述外电压是所述内电压的倍数,所述倍数由比率定义,并且使所述比率成比例,以补偿用于限定所述内加热器的电阻元件和用于限定所述外加热器的电阻元件的长度方面的差。
16.根据权利要求15所述的方法,其中,到所述加热器组件的用于所述内加热器和所述外加热器的连接被限制到:
所述第一电源的所述第一端子,
所述第二电源的所述第一端子,以及
所述公共端子,其中所述公共端子由所述第一电源和所述第二电源共享。
17.根据权利要求15所述的方法,其中,处理所述伺服控制规则包括执行所述期望温度和来自所述内加热器的所述测量温度之间的差的闭环分析。
18.根据权利要求17所述的方法,其中所述闭环分析被配置为迭代,直到所述测量温度等于所述期望温度,所述闭环分析被配置为识别所述第一电源的所述内电压的所述直接控制设置。
19.根据权利要求15所述的方法,其中所述比率被选择为使所述外加热器近似达到所述期望温度设置,使得提供跨越所述内加热器和所述外加热器的温度的近似均匀性,以用于当所述衬底设置在所述反应器的所述加热器组件上时处理所述衬底。
20.根据权利要求15所述的方法,其中所述比率能由所述反应器的控制器设置,其中所述比率的具体设置实现所述加热器组件的由所述外加热器产生的热分布与由所述内加热器产生的热分布的差异,其中,使用设置在所述加热器组件内靠近所述内加热器以识别所述测量温度的温度传感器来识别所述第一电源的所述内电压的所述直接控制设置,并且没有温度传感器用于测量靠近所述外加热器的温度。
21.一种用于处理衬底的系统,其包括:
反应器,其包括用于支撑所述衬底的加热器组件;
两个或两个以上加热器,其集成到所述加热器组件中,所述两个或两个以上加热器包括第一加热器和第二加热器,连接到内电源的第一端子的所述第一加热器的第一端和连接到公共端子的所述内电源的第二端子,连接到外电源的第一端子的所述第二加热器的第一端和连接到所述公共端子的所述外电源的第二端子;
所述公共端子使用电线将所述第一加热器的第二端连接到所述第二加热器的第二端,所述电线在所述第二加热器的外径位置与所述第一加热器的内径位置之间在径向上延伸,所述公共端子位于所述第一加热器的内径位置;以及
公共端子加热器模块,其接收靠近所述加热器组件的所述第一加热器的测量温度,所述公共端子加热器模块接收期望温度设置,并且处理伺服控制规则以识别所述第一加热器的第一电压的直接控制设置,并且所述第二加热器的第二电压的开环控制设置被导出为所述第一电压的比率,其中,所述公共端子加热器模块使所述比率成比例,以补偿用于限定所述第一加热器的电阻元件和用于限定所述第二加热器的电阻元件的长度方面的差。
22.根据权利要求21所述的系统,其中限定所述两个或两个以上加热器中的每一个的每个电阻元件的电阻值作为温度的函数而变化。
23.根据权利要求22所述的系统,其中所述电阻值的测量值指示与相应的所述电阻元件相关联的区域的温度。
CN201710083138.6A 2016-02-17 2017-02-16 用于半导体制造的陶瓷基座的公共端子加热器 Active CN107093547B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/046,232 US10345802B2 (en) 2016-02-17 2016-02-17 Common terminal heater for ceramic pedestals used in semiconductor fabrication
US15/046,232 2016-02-17

Publications (2)

Publication Number Publication Date
CN107093547A CN107093547A (zh) 2017-08-25
CN107093547B true CN107093547B (zh) 2020-09-11

Family

ID=59561780

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710083138.6A Active CN107093547B (zh) 2016-02-17 2017-02-16 用于半导体制造的陶瓷基座的公共端子加热器

Country Status (4)

Country Link
US (2) US10345802B2 (zh)
KR (1) KR20170096965A (zh)
CN (1) CN107093547B (zh)
TW (1) TWI752938B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
JP6341457B1 (ja) * 2017-03-29 2018-06-13 Toto株式会社 静電チャック
JP6811144B2 (ja) * 2017-05-30 2021-01-13 東京エレクトロン株式会社 プラズマ処理装置の静電チャックを運用する方法
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
KR20200120720A (ko) * 2018-03-23 2020-10-21 엔지케이 인슐레이터 엘티디 멀티 존 히터
US10633742B2 (en) 2018-05-07 2020-04-28 Lam Research Foundation Use of voltage and current measurements to control dual zone ceramic pedestals
CN112368415B (zh) 2018-07-05 2024-03-22 朗姆研究公司 衬底处理系统中的衬底支撑件的动态温度控制
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
US10872747B2 (en) * 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
US11107709B2 (en) * 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
US20220172925A1 (en) * 2019-03-13 2022-06-02 Lam Research Corporation Electrostatic chuck heater resistance measurement to approximate temperature
KR102639158B1 (ko) * 2019-07-23 2024-02-22 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
KR20220030173A (ko) * 2020-09-02 2022-03-10 도쿄엘렉트론가부시키가이샤 적재대 및 플라스마 처리 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650082A (en) * 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US20080237216A1 (en) * 2007-03-26 2008-10-02 Ngk Insulators, Ltd. Heating device
US20090314762A1 (en) * 2005-12-01 2009-12-24 Applied Materials, Inc. Multi-Zone Resistive Heater

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE8515560U1 (de) * 1985-05-25 1985-08-29 E.G.O. Elektro-Geräte Blanc u. Fischer, 7519 Oberderdingen Kochstellen-Beheizung
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
GB0217351D0 (en) * 2002-07-25 2002-09-04 Ceramaspeed Ltd Radiant electric heater
JP3907667B2 (ja) * 2004-05-18 2007-04-18 キヤノン株式会社 電子放出素子、電子放出装置およびそれを用いた電子源並びに画像表示装置および情報表示再生装置
JP4845389B2 (ja) * 2005-02-25 2011-12-28 京セラ株式会社 ヒータ及びウェハ加熱装置
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
WO2010008827A2 (en) 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
EP2223641B1 (en) * 2009-02-18 2016-05-11 Nestec S.A. Heating device with a multi powering configuration
JP5570938B2 (ja) * 2009-12-11 2014-08-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20120103970A1 (en) 2010-05-13 2012-05-03 Applied Materials, Inc. Heater with independent center zone control
US20120171377A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US20120211484A1 (en) 2011-02-23 2012-08-23 Applied Materials, Inc. Methods and apparatus for a multi-zone pedestal heater
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP6050722B2 (ja) * 2013-05-24 2016-12-21 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
KR102348108B1 (ko) * 2015-10-05 2022-01-10 주식회사 미코세라믹스 온도 편차 특성이 개선된 기판 가열 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650082A (en) * 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US20090314762A1 (en) * 2005-12-01 2009-12-24 Applied Materials, Inc. Multi-Zone Resistive Heater
US20080237216A1 (en) * 2007-03-26 2008-10-02 Ngk Insulators, Ltd. Heating device

Also Published As

Publication number Publication date
TWI752938B (zh) 2022-01-21
KR20170096965A (ko) 2017-08-25
CN107093547A (zh) 2017-08-25
TW201743658A (zh) 2017-12-16
US20170236733A1 (en) 2017-08-17
US10345802B2 (en) 2019-07-09
US20190346838A1 (en) 2019-11-14

Similar Documents

Publication Publication Date Title
CN107093547B (zh) 用于半导体制造的陶瓷基座的公共端子加热器
KR102643782B1 (ko) 샤워헤드 전압 변동을 사용한 결함 검출
US10096506B2 (en) Reducing temperature transition in a substrate support
KR102528434B1 (ko) 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
CN107393847B (zh) 具有不同加热器迹线材料的层压加热器
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
KR102310300B1 (ko) 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration)
KR102533847B1 (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
US20220172925A1 (en) Electrostatic chuck heater resistance measurement to approximate temperature
US20180173255A1 (en) System and method for calculating substrate support temperture

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant