KR102310300B1 - 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration) - Google Patents

복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration) Download PDF

Info

Publication number
KR102310300B1
KR102310300B1 KR1020217009958A KR20217009958A KR102310300B1 KR 102310300 B1 KR102310300 B1 KR 102310300B1 KR 1020217009958 A KR1020217009958 A KR 1020217009958A KR 20217009958 A KR20217009958 A KR 20217009958A KR 102310300 B1 KR102310300 B1 KR 102310300B1
Authority
KR
South Korea
Prior art keywords
power
capacitor
tuning circuit
variable
tuning
Prior art date
Application number
KR1020217009958A
Other languages
English (en)
Other versions
KR20210041113A (ko
Inventor
엘러 와이. 주코
카를 프레드릭 리저
데이비드 프렌치
수닐 카푸어
애런 빙엄
데이비드 앨런 메츠
브렛 헤르치히
자콥 엘. 헤스터
브라이언 나이트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020217031612A priority Critical patent/KR20210122324A/ko
Publication of KR20210041113A publication Critical patent/KR20210041113A/ko
Application granted granted Critical
Publication of KR102310300B1 publication Critical patent/KR102310300B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

RF (radio frequency) 전력을 튜닝하는 회로. 회로는 저 주파수 내지 중간 주파수 (LF/MF) 인덕터와 직렬로 커플링된 가변 LF/MF 커패시터를 포함하는 LF/MF 튜닝 회로를 포함한다. LF/MF 튜닝 회로는 접지와 RF 입력을 수신하도록 구성된 공통 노드 사이에 커플링된다. 회로는 접지와 공통 노드 사이에서 LF/MF 튜닝 회로에 병렬로 커플링된 고 주파수 (HF) 튜닝 회로를 포함한다. HF 튜닝 회로는 HF 인덕터와 직렬로 커플링된 가변 HF 커패시터를 포함한다. 교차 병렬 절연은 가변 LF/MF 커패시터 또는 가변 HF 커패시터를 조정할 때 LF/MF 튜닝 회로의 LF/MF 인덕터와 HF 튜닝 회로의 HF 인덕터 사이에서 발생한다.

Description

복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 MRCC (MODULAR RECIPE CONTROLLED CALIBRATION)
본 실시 예들은 반도체 기판 프로세싱 장비 툴들, 보다 구체적으로, 반도체 프로세싱 시스템의 복수의 스테이션들 전반에서 플라즈마를 밸런싱하도록 (balance) 사용된 MRCC (modular recipe controlled calibration) 장치에 관한 것이다.
증착을 수행하는 것을 포함하는 멀티-스테이션 반도체 프로세싱 시스템들에서, 입력 RF 전력은 분할되고 복수의 스테이션들로 분배된다. 특히, 입력 RF 전력은 모든 스테이션들에 대해 일 모듈 또는 박스를 사용하여 분할된다. 즉, RF 전력을 분할한 후, 스테이션 단위로 (station-by-station basis) 실행가능한 튜닝이 가능하지 않다. 단일 제어 박스의 사용으로, 임의의 목표된 전력 분배 (밸런싱된 또는 밸런싱되지 않은) 를 달성하기 위해 스테이션 각각으로 전달된 RF 전력을 제어하는 것은 실행가능하지 않다.
이에 더하여, RF 전력을 분할하기 위해 사용된 모듈 내부의 리얼 에스테이트 (real estate) 는 제한될 수도 있고, 모듈은 입력 RF 전력을 분할하고 복수의 스테이션들로 분배한다. 이는 클리어런스 (clearance) 및 크리피지 (creepage) 제한들이 점점 더 충족하기 어려워짐에 따라 문제가될 수도 있고, 따라서 내부 회로 내에 아크 (arcing) 위험을 도입한다.
또한, 현재 기술은 저 주파수 내지 중간 주파수 RF 전력 및/또는 고주파수 RF 전력을 통해 공급된 출력 RF 전력을 튜닝 및/또는 조정하기 위해 직렬 엘리먼트들을 사용한다. 그러나, 토폴로지의 특성으로 인해, 출력 RF 전력을 튜닝할 때 튜닝을 위해 사용된 회로 엘리먼트들 사이에 절연 (isolation) 이 없다. 즉, 저 주파수 내지 중간 주파수 RF 전력을 튜닝하는 것은 고 주파수 RF 전력에 영향을 줄 것이고, 반대로 고 주파수 RF 전력을 튜닝하는 것은 저 주파수 내지 중간 주파수 RF 전력에 영향을 줄 것이다. 절연의 결여를 수용하기 위해 부가적인 회로 엘리먼트들이 필요할 수도 있다. 그러나, 이는 RF 전력을 분할하기 위해 사용된 모듈의 볼륨의 증가를 요구할 것이고, 이는 항상 가능한 것은 아니다. 또한, 부가적인 회로는 직렬 공진으로 인해 매우 높은 전압의 위험을 생성할 수도 있다.
이에 더하여, 현재 기술은 RF 전력을 분할하기 위해 사용된 모듈 내 용량성 엘리먼트들의 수동 튜닝을 구현하고, 모듈은 입력 RF 전력을 복수의 스테이션들로 분할하고 분배한다. 그러나, 일단 용량성 엘리먼트가 설정되면, 커패시터 위치 (및 값) 는 더 이상 모니터링되지 않는다. 즉, 일단 용량성 엘리먼트가 설정되면 RF 전력의 능동 튜닝이 없다. 또한, 통신이 시스템 또는 전력 사이클로부터 차단될 때, 마지막 커패시터 위치는 알려지지 않는다.
본 명세서에 제공된 배경기술 기술 (description) 은 일반적으로 본 개시의 맥락을 제시하기 위한 것이다. 본 배경기술 섹션에 기술된 범위까지, 현재 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로 또는 묵시적으로 인정되지 않는다.
이 맥락에서 본 개시의 실시 예들이 발생한다.
본 실시 예들은 관련 기술분야에서 발견된 하나 이상의 문제들을 해결하는 것에 관한 것이고, 구체적으로 모듈식 방식으로 프로세싱 챔버의 스테이션 각각에 레시피-제어된 무선 주파수 (radio frequency; RF) 전력 조정을 제공하는 것에 관한 것이다. 본 개시의 몇몇 발명의 실시 예들이 이하에 기술된다.
본 개시의 실시 예들은 RF 전력을 튜닝하는 회로를 포함한다. 회로는 저 주파수 (LF) 내지 중간 주파수 (MF) 인덕터와 직렬로 커플링된 가변 LF/MF 커패시터를 포함하는 LF/MF 튜닝 회로를 포함한다. LF/MF 튜닝 회로는 저 주파수 범위에 걸쳐 대략 5 ㎑ (kilohertz) 내지 400 ㎑, 중간 주파수 범위에 걸쳐 300 ㎑ 내지 3 ㎒ (megahertz) 이상에서 동작하도록 구성된다. LF/MF 튜닝 회로는 접지와 RF 입력을 수신하도록 구성된 공통 노드 사이에 커플링된다. 회로는 접지와 공통 노드 사이에서 LF/MF 튜닝 회로에 병렬로 커플링된 고 주파수 (HF) 튜닝 회로를 포함한다. HF 튜닝 회로는 HF 인덕터와 직렬로 커플링된 가변 HF 커패시터를 포함한다. HF 튜닝 회로는 가변 LF/MF 커패시터를 조정할 때 LF/MF 튜닝 회로로부터 절연된다. 이에 더하여, LF/MF 튜닝 회로는 가변 HF 커패시터를 조정할 때 HF 튜닝 회로로부터 절연된다. 즉, 가변 LF/MF 커패시터 또는 가변 HF 커패시터를 조정할 때 LF/MF 튜닝 회로의 LF/MF 인덕터와 HF 튜닝 회로의 HF 인덕터 사이에서 교차 병렬 절연이 발생한다.
본 개시의 다른 실시 예들은 RF 전력을 튜닝하기 위한 장치를 포함한다. 장치는 저 주파수 내지 중간 주파수의 LF/MF 전력을 제공하는 LF/MF 전력 생성기, 및 고 주파수의 HF 전력을 제공하는 HF 전력 생성기를 포함하는 RF 듀얼 소스 전력 생성기를 포함한다. 예를 들어, LF/MF 전력 생성기는 저 주파수 범위에 걸쳐 대략 5 ㎑ (kilohertz) 내지 400 ㎑, 및/또는 구성에 따라 중간 주파수 범위에 걸쳐 300 ㎑ 내지 3 ㎒ (megahertz) 에서 동작하도록 구성된다. 장치는 LF/MF 전력을 수신하고 HF 전력을 수신하도록 구성된 분할 입력 RF (split input RF; SIRF) 분배 박스를 포함한다. SIRF 분배 박스는 하나 이상의 분할된 RF 입력들로서 LF/MF 전력 및 HF 전력 중 적어도 하나를 결합하고 분배하도록 더 구성된다. 장치는 하나 이상의 프로세싱 스테이션들을 위한 하나 이상의 모듈형 원격 제어된 캘리브레이션 (modular remote controlled calibration; MRCC) 회로들을 포함한다. MRCC 회로 각각은 접지와 대응하는 분할된 RF 입력을 수신하도록 구성된 대응하는 공통 노드 사이의 HF 튜닝 회로에 병렬로 커플링된 LF/MF 튜닝 회로를 포함한다. LF/MF 튜닝 회로는 LF/MF 인덕터와 직렬로 커플링된 가변 LF/MF 커패시터를 포함하고, LF/MF 튜닝 회로는 접지와 대응하는 공통 노드 사이에 커플링된다. HF 튜닝 회로는 HF 인덕터와 직렬로 커플링된 가변 HF 커패시터를 포함하고, HF 튜닝 회로는 접지와 대응하는 공통 노드 사이에 커플링된다. 대응하는 공통 노드는 튜닝 후에 대응하는 스테이션으로 대응하는 RF 출력을 제공하도록 구성된다. 교차 병렬 절연은 가변 LF/MF 커패시터 또는 가변 HF 커패시터를 조정할 때 LF/MF 튜닝 회로의 LF/MF 인덕터와 HF 튜닝 회로의 HF 인덕터 사이에서 발생한다.
본 개시의 또 다른 실시 예는 웨이퍼 상에 막을 증착하기 위한 프로세스 챔버에서 사용하기 위한 어셈블리를 포함한다. 어셈블리는 저 주파수 내지 중간 주파수의 LF/MF 전력을 제공하는 LF/MF 전력 생성기, 및 고 주파수의 HF 전력을 제공하는 HF 전력 생성기를 포함하는 RF 듀얼 소스 전력 생성기를 포함한다. 예를 들어, LF/MF 전력 생성기는 저 주파수 범위에 걸쳐 대략 5 ㎑ (kilohertz) 내지 400 ㎑, 및/또는 구성에 따라 중간 주파수 범위에 걸쳐 300 ㎑ 내지 3 ㎒ (megahertz) 에서 동작하도록 구성된다. 어셈블리는 LF/MF 전력을 수신하고 HF 전력을 수신하도록 구성된 분할 입력 RF (SIRF) 분배 박스를 포함한다. SIRF 분배 박스는 제 1 분할된 RF 입력, 제 2 분할된 RF 입력, 제 3 분할된 RF 입력, 및 제 4 분할된 RF 입력으로서 LF/MF 전력 및 HF 전력 중 적어도 하나를 결합하고 분배하도록 더 구성된다. 어셈블리는 제 1 프로세싱 스테이션을 위한 제 1 MRCC 회로, 제 2 프로세싱 스테이션을 위한 제 2 MRCC 회로, 제 3 프로세싱 스테이션을 위한 제 3 MRCC 회로, 및 제 4 프로세싱 스테이션을 위한 제 4 MRCC 회로를 포함하는 4 개의 MRCC 회로들을 포함한다. MRCC 회로 각각은 접지와 대응하는 분할된 RF 입력을 수신하도록 구성된 대응하는 공통 노드 사이의 HF 튜닝 회로에 병렬로 커플링된 LF/MF 튜닝 회로를 포함한다. LF/MF 튜닝 회로는 LF/MF 인덕터와 직렬로 커플링된 가변 LF/MF 커패시터를 포함하고, LF/MF 튜닝 회로는 접지와 대응하는 공통 노드 사이에 커플링된다. HF 튜닝 회로는 HF 인덕터와 직렬로 커플링된 가변 HF 커패시터를 포함하고, HF 튜닝 회로는 접지와 대응하는 공통 노드 사이에 커플링된다. 대응하는 공통 노드는 튜닝 후에 대응하는 스테이션으로 대응하는 RF 출력을 제공하도록 구성된다. 교차 병렬 절연은 가변 LF/MF 커패시터 또는 가변 HF 커패시터를 조정할 때 LF/MF 튜닝 회로의 LF/MF 인덕터와 HF 튜닝 회로의 HF 인덕터 사이에서 발생한다.
이들 및 다른 이점들은 전체 명세서 및 청구항들을 읽으면 당업자에 의해 인식될 것이다.
실시 예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 가장 잘 이해될 수도 있다.
도 1a는 본 개시의 일 실시 예에 따른, 웨이퍼를 프로세싱하도록, 예를 들어, 웨이퍼 상에 막들을 형성하도록 사용되는, 페데스탈로의 RF 전력의 인가를 예시하는 기판 프로세싱 시스템을 예시한다.
도 1b는 본 개시의 일 실시 예에 따른, 웨이퍼를 프로세싱하도록, 예를 들어, 웨이퍼 상에 막들을 형성하도록 사용되는, RF 전력의 샤워헤드로의 인가를 예시하는 기판 프로세싱 시스템을 예시한다.
도 2는 본 개시의 일 실시 예에 따른, 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 상면도를 예시한다.
도 3은 본 개시의 일 실시 예에 따른, 인바운드 로드 록 및 아웃바운드 로드 록을 갖는 멀티-스테이션 프로세싱 툴의 일 실시 예의 개략도를 도시한다.
도 4a는 본 개시의 일 실시 예에 따른, 복수의 스테이션들로의 RF 전력의 분배의 자동 밸런싱을 위해 구성된 예시적인 CVD (chemical vapor deposition) 시스템을 예시한다.
도 4b는 일 실시 예에 따른, 하나 이상의 MRCC 시스템들을 사용하여 복수의 스테이션들로 RF 전력의 분배의 자동 밸런싱을 예시한다.
도 5a는 본 개시의 일 실시 예에 따른, RF 전력의 자동 튜닝을 위해 구성된 MRCC 회로도이고, MRCC 회로는 저 주파수 내지 중간 주파수 튜닝 회로 및 고 주파수 튜닝 회로를 포함한다.
도 5aa은 본 개시의 일 실시 예에 따른, 도 5a의 MRCC 회로도의 LF/MF 튜닝 회로와 HF 튜닝 회로 사이의 교차 병렬 절연을 예시한다.
도 5b는 본 개시의 일 실시 예에 따른, 복수의 스테이션들로의 RF 전력의 분배의 자동 밸런싱을 위해 구성된 MRCC 회로의 고 주파수 튜닝 회로의 커패시터의 값에 독립적인 저 주파수 내지 중간 주파수 튜닝 회로의 커패시터를 조정함으로써 MRCC 회로를 사용한 RF 전력의 튜닝을 도시하는 3 차원 (3D) 그래프를 예시한다.
도 5c는 본 개시의 일 실시 예에 따른, 복수의 스테이션들로의 RF 전력의 분배의 자동 밸런싱을 위해 구성된 MRCC 회로의 저 주파수 튜닝 회로의 커패시터의 값과 독립적인 고 주파수 튜닝 회로의 커패시터를 조정함으로써 MRCC 회로를 사용한 RF 전력의 튜닝을 도시하는 3D 그래프를 예시한다.
도 5d는 본 개시의 일 실시 예에 따른, 복수의 스테이션들로 전달된 RF 전력을 분할하기 위한 직렬 엘리먼트들을 포함하는 레시피 제어된 캘리브레이션 시스템을 예시한다.
도 5e는 본 개시의 일 실시 예에 따른, 저 주파수 내지 중간 주파수 튜닝 회로를 조정할 때 고 주파수 튜닝 회로에 대한 효과를 도시하는 도 5d의 레시피 제어된 캘리브레이션 시스템을 사용하여 RF 전력의 튜닝을 도시하는 3D 그래프를 예시한다.
도 5f는 본 개시의 일 실시 예에 따른, 고 주파수 튜닝 회로를 조정할 때 저 주파수 내지 중간 주파수 튜닝 회로에 대한 효과를 도시하는 도 5d의 레시피 제어된 캘리브레이션 시스템을 사용하여 RF 전력의 튜닝을 도시하는 3D 그래프를 예시한다.
도 6은 본 개시의 일 실시 예에 따른, 하나 이상의 MRCC 시스템들을 사용하여 복수의 스테이션들로의 RF 전력의 분배의 자동 밸런싱을 위해 구성된 시스템의 사시도이다.
도 7a는 본 개시의 일 실시 예에 따른, 프로세싱 스테이션으로의 RF 전력의 튜닝을 위해 구성된 MRCC 시스템의 사시도이고, 저 주파수 내지 중간 주파수 튜닝 회로 또는 고 주파수 튜닝 회로의 커패시터들과 인터페이싱하기 위한 플로팅 모터 마운트를 포함한다.
도 7b는 본 개시의 일 실시 예에 따른, 프로세싱 스테이션으로의 RF 전력의 튜닝을 위해 구성된 MRCC 시스템의 클램쉘 외부의 사시도이다.
도 7c는 본 개시의 일 실시 예에 따른, 프로세싱 스테이션으로의 RF 전력의 튜닝을 위해 구성된 MRCC 시스템의 클램쉘 외부, 및 클램쉘 외부에 의해 둘러싸인 MRCC 시스템의 내부 컴포넌트들을 예시하는 프로세싱 스테이션으로의 RF 전력의 튜닝을 위해 구성된 MRCC 시스템의 사시도이다.
도 8은 상기 기술된 시스템들을 제어하기 위한 제어 모듈을 도시한다.
이하의 상세한 기술은 예시의 목적들을 위해 많은 특정한 상세들을 포함하지만, 당업자는 이하의 상세들에 대한 많은 변형들 및 변경들이 본 개시의 범위 내에 있다는 것을 인식할 것이다. 따라서, 이하에 기술된 본 개시의 양태들은 이 기술을 따르는 청구항들에 대한 어떠한 일반성 손실도 없이 그리고 제한들을 부과하지 않고 제시된다.
일반적으로 말하면, 본 개시의 다양한 실시 예들은 복수의 스테이션들로 RF 전력의 밸런싱된 (balance) 분배를 제공하는 시스템들 및 방법들을 기술한다. 특히, PECVD (plasma enhanced chemical vapor deposition) 멀티-스테이션 플라즈마 모듈들은 웨이퍼 (예를 들어, 300 ㎜ 웨이퍼, 등) 에 막을 증착하거나 에칭하기 위해 RF 에너지를 사용한다. 스테이션 각각은 고 주파수만 또는 결합된 고 주파수 및 저 주파수 내지 중간 주파수 에너지, 또는 이들의 조합으로 소싱된다 (source). 플라즈마의 특성 때문에, 임피던스는 동적이고, 따라서 스테이션으로의 RF 전력의 능동 튜닝은 플라즈마로 전달된 RF 전력을 밸런싱하기 위해 필요하다. 즉, (부하로서 작용하는) 플라즈마의 임피던스는 RF 전력의 전달에 영향을 준다. 실시 예들에서, MRCC (modular remote controlled calibration) 시스템은 멀티-스테이션 플라즈마 시스템의 스테이션들 각각으로 RF 전력의 밸런싱된 분배를 달성한다.
본 개시의 실시 예들의 RF 전력 전달 시스템의 장점들은 멀티-스테이션 프로세싱 시스템의 스테이션 각각으로의 RF 전력의 전달 및 조정에 대한 모듈러 방식을 포함한다. RF 전력 소스로부터 복수의 스테이션들로의 전달을 위해 입력 RF 전력을 분할하는 것은 특정한 스테이션에서 플라즈마의 임피던스가 계속해서 변화하기 때문에 밸런싱된 전력을 제공하지 않는다. (예를 들어, 실시 예들의 MRCC 회로/모듈을 통해) 스테이션 각각에서 RF 전력 튜닝 능력을 제공함으로써, 전력 전달은 목표된대로 증가되거나 감소될 수 있다. 이와 같이, 스테이션 각각에 대한 전력 및/또는 전압의 개별적인 제어가 제공된다. 이에 더하여, 본 개시의 실시 예들은 LF/MF 주파수 또는 HF 주파수를 조정할 때 RF 전력 조정의 절연을 제공한다. 특히, MRCC 모듈은 부하 (예를 들어, 플라즈마) 의 임피던스를 변화시키기 위해 2 개의 병렬 회로들을 사용한다-하나는 저 주파수 내지 중간 주파수 조정용이고 다른 하나는 고 주파수 조정용이다. 이들 병렬 회로들은 하나의 주파수가 조정될 때, 다른 주파수가 영향을 받지 않도록 설계된다. 또한, 본 개시의 실시 예들은 커패시터 각각의 위치를 추적하기 위해 절대 인코더들을 사용한다. 이러한 방식으로, 커패시터에 대한 위치 정보는 손실되지 않는다. 이에 더하여, 본 개시의 실시 예들은 어셈블러 (assembler) 로 하여금 모듈의 3 개의 측면들 상의 내부 컴포넌트들에 대한 액세스를 획득하게 하는 MRCC 모듈을 위한 클램쉘 설계를 사용한다. 이는 보다 짧은 어셈블리 시간을 허용하고, 구성 비용을 감소시킨다. 또한, 본 개시의 실시 예들은 커패시터를 회전시키는 액추에이터와 커패시터 사이의 축 방향 오정렬에 대응하도록 플로팅 모터 마운트를 사용한다. 이는 오정렬로 인해 커패시터가 점유되는 것을 방지한다.
다양한 실시 예들의 상기 일반적인 이해와 함께, 실시 예들의 예시적인 상세들이 이제 다양한 도면들을 참조하여 기술될 것이다. 하나 이상의 도면들에서 유사하게 번호가 붙은 엘리먼트들 및/또는 컴포넌트들은 일반적으로 동일한 구성 및/또는 기능성을 갖도록 의도된다. 또한, 도면들은 축척대로 도시되지 않을 수도 있고, 신규 개념들을 예시하고 강조하도록 의도된다. 본 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지된 프로세스 동작들은 본 실시 예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
본 개시의 실시 예들은 PECVD (plasma enhanced chemical vapor deposition) 프로세스 및 ALD (atomic layer deposition) 프로세스에 사용된 것과 같은 플라즈마 프로세스 모듈들에서의 전력 전달에 관한 것이다. 본 개시의 실시 예들은 다양한 프로세스 모듈 구성들로 구현될 수도 있다. 또한, 본 개시의 실시 예들은 본 명세서에 제공된 예들로 제한되지 않고, 상이한 구성들, 기하 구조들, 및 플라즈마-생성 기술들 (예를 들어, 유도 결합 시스템들, 용량 결합 시스템들, 전자-사이클로트론 공진 시스템, 마이크로파 시스템, 등) 을 채용하는 상이한 플라즈마 프로세싱 시스템들에서 실시될 수도 있다. 플라즈마 프로세싱 시스템들 및 플라즈마 프로세스 모듈들의 예들은 공동으로 소유된 미국 특허 제 8,862,855 호, 및 제 8,847,495 호, 및 제 8,485,128 호, 및 미국 특허 출원 번호 제 15/369,110 호에 개시된다.
도 1a는 CVD (예를 들어, PECVD) 프로세스 또는 ALD (atomic layer deposition) 프로세스에서 형성된 막들과 같은, 기판들 위에 막들을 증착하도록 사용될 수도 있는 반응기 시스템 (100A) 을 예시한다. 막들의 증착은 바람직하게 PECVD 시스템에서 구현된다. 도 1a의 구성에 도시된 바와 같이, RF 전력은 페데스탈 (140) 로 전달되지만, 다른 실시 예들에서 전력은 다른 방식들로, 예컨대 샤워헤드를 통해 전달될 수도 있다. 이들 반응기들은 2 개 이상의 가열기들을 활용할 수도 있고, 공통 단자 구성들은 균일성 또는 커스텀 (custom) 설정들을 위해 온도들을 제어하도록 이 예시적인 반응기에서 사용될 수도 있다. 보다 구체적으로, 도 1a는 웨이퍼 (101) 를 프로세싱하도록 사용되는 기판 프로세싱 시스템 (100A) 을 예시한다. 시스템은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 갖는 챔버 (102) 를 포함한다. 중심 컬럼 (column) 이 일 실시 예에서 전력 공급된 전극인, 페데스탈 (140) 을 지지하도록 구성된다. 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 전력 공급부 (104) 에 전기적으로 커플링된다. 전력 공급부는 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100A) 을 동작시키도록 구성된다. 프로세스 입력 및 제어부 (108) 는 예컨대 웨이퍼 (101) 위에 막들을 증착하거나 형성하기 위해, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 운동, 등과 같은 프로세스 레시피들을 포함할 수도 있다.
기판 프로세싱 시스템 (100A) 은 복수의 프로세싱 스테이션들을 포함할 수도 있다. 예를 들어, 챔버 (102) 는 복수의 프로세싱 스테이션들을 포함할 수도 있고, 스테이션 각각은 웨이퍼 (101) 를 지지하기 위한 페데스탈을 갖는다. 매칭 네트워크 (106) 에 의해 공급된 RF 전력 및 주파수는 분배 시스템 (420) 에 의해 분할되고 분배된다. 스테이션 각각으로 전달된 RF 전력량을 조정하기 위해, 하나 이상의 MRCC 튜너들 (415) 이 MRCC 튜너 (415) 와 스테이션 사이에 일대일 관계로 제공된다. 예를 들어, 일 실시 예에서, 스테이션 각각이 동일한 양의 RF 전력을 수신하도록 하나 이상의 MRCC 튜너들 (415) 은 스테이션들 각각 사이에 밸런싱된 튜닝을 제공하도록 구성될 수 있다. 또 다른 실시 예에서, 하나 이상의 MRCC 튜너들 (415) 은 스테이션들 각각으로 전달된 전력이 반드시 동일할 필요는 없도록, 목표된 RF 전력들을 스테이션들 각각에 제공하도록 구성될 수 있다.
일 방법은 공지의 양호한 컴포넌트들 및 시스템들로 시스템을 캘리브레이팅하는 것을 포함한다. 전압 프로브 (예를 들어, VI 프로브 (417)) 를 사용하여 피드백이 대응하는 스테이션으로의 RF 전력 전달을 조정하는 방법을 결정하기 위해 대응하는 MRCC 튜너 (예를 들어, 튜너 (415)) 로 다시 제공된다. 예를 들어, 하나의 VI 프로브 (417) 는 대응하는 스테이션에 대한 전류 및 전압을 결정하도록 사용된다. 이와 같이, 동작 동안, VI 프로브 (107) 는 플라즈마의 임피던스의 변화로 인한 전압 변동들을 측정할 수 있고, 프로세싱 동안 스테이션으로의 RF 전력의 목표된 전달을 달성하도록 RF 전력을 능동적으로 조정할 수 있다.
중심 컬럼은 또한 리프트 핀들 (미도시) 을 포함하고, 이들 각각은 리프트 핀 제어부 (122) 에 의해 제어될 때 대응하는 리프트 핀 구동 (actuation) 링 (120) 에 의해 구동된다. 리프트 핀들은 엔드-이펙터 (end-effector) 로 하여금 웨이퍼를 픽킹하게 하도록 (pick) 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키고 엔드-이펙터에 의해 배치된 후 웨이퍼 (101) 를 하강시키도록 사용된다. 기판 프로세싱 시스템 (100A) 은 프로세스 가스들 (114), 예를 들어 설비로부터 가스 화학물질 공급부들에 연결되는 가스 공급 매니폴드 (112) 를 더 포함한다. 수행될 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통해 프로세스 가스들 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고, 웨이퍼 (101) 와 대면하는 샤워헤드 (150) 면과 페데스탈 (140) 위에 놓인 웨이퍼 (101) 사이에 규정된 공간 볼륨 내에 분배된다. ALD 프로세스들에서, 가스들은 흡수 또는 흡수된 반응물질들과의 반응을 위해 선택된 반응물질들일 수 있다.
또한, 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘은 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 올바른 가스들이 전달되는 것을 보장하도록 채용될 수도 있다. 프로세스 가스들은 유출구를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프) 가 프로세스 가스들을 인출하고, 스로틀 (throttle) 밸브 또는 펜듈럼 (pendulum) 밸브와 같은 폐루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다.
페데스탈 (140) 의 외측 영역을 둘러싸는 캐리어 링 (200) 이 또한 도시된다. 캐리어 링 (200) 은 페데스탈 (140) 의 중심에 있는 기판 지지 영역으로부터 스텝 다운되는 (step down) 캐리어 링 지지 영역 위에 놓이도록 구성된다. 캐리어 링은 디스크 구조체의 외측 에지 측면, 예를 들어, 외측 반경, 및 웨이퍼 (101) 가 놓이는 곳에 가장 가까운 디스크 구조체의 웨이퍼 에지 측면, 예를 들어, 내측 반경을 포함한다. 캐리어 링의 웨이퍼 에지 측면은 캐리어 링 (200) 이 스파이더 포크들 (spider forks) (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (200) 은 웨이퍼 (101) 와 함께 리프팅되고, 예를 들어, 멀티-스테이션 시스템에서 또 다른 스테이션으로 로테이팅될 수 있다. 다른 실시 예들에서, 챔버는 단일 스테이션 챔버이다.
도 1b는 본 개시의 일 실시 예에 따른, 웨이퍼를 프로세싱하도록, 예를 들어, 웨이퍼 상에 막들을 형성하도록 사용되는, 샤워헤드로의 RF 전력의 인가를 예시하는 기판 프로세싱 시스템 (100B) 을 예시한다. 반응기 시스템 (100B) 은 CVD (예를 들어, PECVD) 프로세스 또는 ALD (atomic layer deposition) 프로세스에서 형성된 막들과 같은, 기판들 위에 막들을 증착하도록 사용될 수도 있다. 도 1b의 구성으로 도시된 바와 같이, RF 전력은 샤워헤드 (150) 로 전달되지만, 다른 실시 예들에서 전력은 도 1a에서와 같이 페데스탈 (140) 을 통해, 다른 방식들로 전달될 수도 있다.
기판 프로세싱 시스템 (100B) 은 RF 전력의 전달 위치를 제외하고 시스템 (100A) 과 유사하다. 유사한 번호가 매겨진 엘리먼트들은 유사한 기능들을 수행하고 유사한 구성들을 갖는다. 예를 들어, 시스템 (100B) 은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 갖는 챔버 (102) 를 포함한다. 중심 컬럼이 일 실시 예에서 접지된 전극인, 페데스탈 (140) 을 지지하도록 구성된다.
샤워헤드 (150) 는 RF 매칭 네트워크 (106) 를 통해 전력 공급부 (예를 들어, 하나 이상의 RF 전력 생성기들 (50)) 에 전기적으로 커플링된다. 전력 공급부는 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 앞서 기술된 바와 같이, 제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100A) 을 동작시키도록 구성된다. 수행될 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통해 프로세스 가스들 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고, 웨이퍼 (101) 와 대면하는 샤워헤드 (150) 면과 페데스탈 (140) 위에 놓인 웨이퍼 (101) 사이에 규정된 공간 볼륨 내에 분배된다.
기판 프로세싱 시스템 (100B) 은 복수의 프로세싱 스테이션들을 포함할 수도 있다. 예를 들어, 챔버 (102) 는 복수의 프로세싱 스테이션들을 포함할 수도 있고, 스테이션 각각은 웨이퍼 (101) 를 지지하기 위한 페데스탈을 갖는다. RF 매칭 네트워크 (106) 는 시스템 (100B) 에 전력을 공급하는 RF 분배 시스템 (420) 에 커플링될 수도 있다. 예를 들어, 매칭 네트워크 (106) 에 의해 공급된 RF 전력 및 주파수는 분배 시스템 (420) 에 의해 스테이션들 각각으로 분할되고 분배된다. 또한, 스테이션으로 전달되는 RF 전력은 동작 동안 샤워헤드의 전압을 센싱하기 위해 VI 프로브 (417) 를 통과한다. 이러한 방식으로, RF 전력은 밸런싱된 전력 전달을 위해, 또는 목표된 전력 전달을 위해 스테이션 각각에서 조정될 수도 있다.
스테이션 각각으로 전달된 RF 전력량을 조정하기 위해, 하나 이상의 MRCC 튜너들 (415) 이 MRCC 튜너 (415) 와 스테이션 사이에 일대일 관계로 제공된다. 예를 들어, 일 실시 예에서, 스테이션 각각이 동일한 양의 RF 전력을 수신하도록 하나 이상의 MRCC 튜너들 (415) 은 스테이션들 각각 사이에 밸런싱된 튜닝을 제공하도록 구성될 수 있다. 또 다른 실시 예에서, 하나 이상의 MRCC 튜너들 (415) 은 스테이션들 각각으로 전달된 전력이 반드시 동일할 필요는 없도록, 목표된 RF 전력들을 스테이션들 각각에 제공하도록 구성될 수 있다.
도 2는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 상면도를 예시한다. 이 상면도는 (예를 들어, 예시를 위해 상단 챔버 부분 (102a) 이 제거된) 하부 챔버 부분 (102b) 의 상면도이고, 4 개의 스테이션들은 스파이더 포크들 (226) 에 의해 액세스된다. 스파이더 포크, 또는 포크 각각은 제 1 암 및 제 2 암을 포함하고, 암 각각은 페데스탈 (140) 의 측면 각각의 부분 둘레에 위치된다. 이 도면에서, 스파이더 포크들 (226) 은 캐리어 링 (200) 아래에 있다는 것을 전달하기 위해 점선들로 도시되었다. 인게이지먼트 (engagement) 및 로테이션 메커니즘 (220) 을 사용하는 스파이더 포크들 (226) 은 캐리어 링들 (200) 을 스테이션들로부터 (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 동시에 상승 및 리프팅하도록, 이어서 추가 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 캐리어 링들 (200) (적어도 하나의 캐리어 링들이 웨이퍼 (101) 를 지지함) 을 다음 위치로 하강시키기 전 적어도 하나 이상의 스테이션들을 로테이팅시키도록 구성된다.
도 3은 인바운드 로드 록 (302) 및 아웃바운드 로드 록 (304) 을 갖는 멀티-스테이션 프로세싱 툴 (300) 의 실시 예의 개략도를 도시한다. 대기압에서, 로봇 (306) 이 카세트로부터 포드 (308) 를 통해 로딩된 기판들을 대기 포트 (310) 를 통해 인바운드 로드 록 (302) 으로 이동시키도록 구성된다. 인바운드 로드 록 (302) 은 대기 포트 (310) 가 폐쇄될 때, 인바운드 로드 록 (302) 이 펌핑 다운될 수도 있도록, 진공 소스 (미도시) 에 커플링된다. 인바운드 로드 록 (302) 은 또한 프로세싱 챔버 (102b) 와 인터페이싱된 챔버 이송 포트 (316) 를 포함한다. 따라서, 챔버 이송 포트 (316) 가 개방될 때, 또 다른 로봇 (미도시) 이 프로세싱을 위해 인바운드 로드 록 (302) 으로부터 제 1 프로세스 스테이션의 페데스탈 (140) 로 기판을 이동시킬 수도 있다.
도시된 프로세싱 챔버 (102b) 는 도 3에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 일부 실시 예들에서, 프로세싱 챔버 (102b) 는 진공 브레이크 및/또는 공기 노출을 경험하지 않고 기판들이 프로세스 스테이션들 사이에서 캐리어 링 (200) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하도록 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 318로 도시됨) 및 프로세스 가스 전달 라인 유입구들을 포함한다.
도 3은 또한 프로세싱 챔버 (102b) 내에서 기판들을 이송하기 위한 스파이더 포크들 (226) 을 도시한다. 스파이더 포크들 (226) 은 로테이팅하고, 일 스테이션으로부터 다른 스테이션으로 웨이퍼들의 이송을 인에이블한다. 이송은 웨이퍼를 리프팅하고, 웨이퍼와 캐리어를 함께 다음 스테이션으로 로테이팅시키는, 외측 하부면으로부터 캐리어 링들 (200) 을 리프팅하도록 스파이더 포크들 (226) 을 인에이블함으로써 발생한다. 일 구성에서, 스파이더 포크들 (226) 은 프로세싱 동안 고 레벨의 열을 견디도록 세라믹 재료로 이루어진다.
도 4a는 본 개시의 일 실시 예에 따른, 복수의 스테이션들로의 RF 전력의 목표된 분배 (예를 들어, 자동 밸런싱, 가중 분배, 등) 를 위해 구성된 예시적인 멀티-스테이션 플라즈마 시스템 (400A) (예를 들어, 화학적 기상 증착 시스템) 을 예시한다. PECVD 멀티-스테이션 플라즈마 시스템은 하나 이상의 웨이퍼들에 막을 증착하거나 에칭하기 위해 RF 에너지를 사용한다.
예를 들어, 멀티-스테이션 플라즈마 시스템 (400A) 은 스테이션 각각의 (동적이라고 알려진) 플라즈마 반응기들 사이의 분배를 밸런싱하기 위해 스테이션 각각에 대해 RF 전력의 능동 튜닝을 제공한다. 도시된 바와 같이, 멀티-스테이션 플라즈마 시스템 (400) 은 고 주파수 RF 전력을 생성하기 위한 HF 생성기 (401) 및 저 주파수 내지 중간 주파수 RF 전력을 생성하기 위한 LF/MF 생성기 (405) 를 포함하는 RF 생성기 시스템을 포함한다. 고 주파수 전력은 고 주파수 (예를 들어, 대략 13.56 ㎒, 10 내지 20 ㎒의 범위, 5 내지 50 ㎒의 범위, 5 내지 100 ㎒의 범위) 에서 동작한다. 저 주파수 전력은 저 주파수 (예를 들어, 360 ㎑ 내지 440 ㎑ 범위, 200 ㎑ 내지 700 ㎑ 범위, 및 100 ㎑ 내지 900 ㎑ 범위) 로 동작한다. 중간 주파수 전력은 중간 주파수 (예를 들어, 200 ㎑ 내지 500 ㎑ 범위, 400 ㎑ 내지 800 ㎑ 범위, 500 ㎑ 내지 1 ㎒의 범위, 800 ㎑ 내지 2 ㎒의 범위, 및 1.5 ㎒ 내지 3.5 ㎒ 범위) 로 동작한다. 생성기 각각은 복수의 분배 채널들로 분할되고, 채널 각각은 플라즈마 반응기 (예를 들어, 스테이션) 에 전력을 공급한다. 스테이션 각각에서 전력 소비는 반응기 각각의 조건 (예를 들어, 동적인 플라즈마 임피던스) 에 종속된다. 예를 들어, 고 주파수 RF 생성기 (401) 는 매칭 네트워크 (402) 에 연결된다. 매칭 네트워크 (402) 에 의해 공급된 전력 및 주파수는 분할 입력 무선 주파수 (split input radio frequency; SIRF) 분배 시스템 (410) 에 전달된다. 또한, 저 주파수 내지 중간 주파수 RF 생성기 (405) 는 매칭 네트워크 (406) 에 연결된다. 매칭 네트워크 (406) 에 의해 공급된 전력 및 주파수는 SIRF 분배 시스템 (410) 에 전달된다.
SIRF 분배 시스템 (410) 은 고 주파수 RF 전력 및 저 주파수 내지 중간 주파수 RF 전력 각각을 채널들 (예를 들어, 1 내지 N 개의 채널) 로 분할하고 분배한다. 스테이션 각각은 고 주파수 RF 전력만, 저 주파수 내지 중간 주파수 RF 전력만, 또는 고 주파수 및 저 주파수 내지 중간 주파수 RF 전력의 조합으로 소싱될 수 있다. 일 실시 예에서, SIRF 분배 시스템 (410) 의 RF 전력 출력은 채널들 각각에 동일하게 분배된다.
도 4a에 도시된 바와 같이 채널 각각은 CCP (capacitively coupled plasma) 반응기에 전력을 공급한다. 예를 들어, 제 1 채널은 스테이션 1의 페데스탈 (425-1) 을 둘러싸는 반응기에 전력을 공급하고, 제 2 채널은 스테이션 2의 페데스탈 (425-2) 을 둘러싸는 반응기에 전력을 공급하고, 제 N 채널은 스테이션 N의 페데스탈 (425-N) 을 둘러싸는 반응기에 전력을 공급한다. 전력 소비는 대응하는 스테이션의 반응기 조건에 종속된다. CCP 반응기들은 동적인 것으로 공지되기 때문에, 본 개시의 실시 예들은 반응기들 사이의 분배를 밸런싱하기 위해, 또는 목표된 전력 레벨들을 스테이션 각각에 제공하기 위해 스테이션 각각에 대한 RF 전력의 능동 튜닝을 제공한다. 특히, 채널 각각은 대응하는 채널을 통해 대응하는 스테이션으로 전달된 RF 전력을 튜닝하기 위해 구성된 MRCC 채널을 포함한다. 또한, 채널 각각은 대응하는 스테이션으로 전달될 RF 전력의 전압을 측정하기 위한 VI 프로브 (417) 를 포함한다. 이 전압은 스테이션으로 전달된 적절한 전압 및/또는 전력을 결정하기 위한 피드백으로서 사용될 수도 있다. 즉, 일 실시 예에서, MRCC 튜너를 대응하는 VI 프로브에 연결함으로써, 그리고 충분한 로직을 사용하여, 멀티-스테이션 RF 자동-매칭이 스테이션 전력을 능동적으로 밸런싱하도록 수행될 수도 있다. 또한, 밸런싱된 분포 대신, 목표된 그리고/또는 캘리브레이팅된 불균형이 또한 달성될 수도 있다. 예를 들어, 제 1 채널에서 VI 프로브 (417A) 는 MRCC 튜너 (415A) 에 의해 스테이션 1로 전달된 RF 전력을 측정하도록 구성되고, 제 2 채널에서 VI 프로브 (417B) 는 MRCC 튜너 (415B) 에 의해 스테이션 2로 전달된 RF 전력을 측정하도록 구성되고, …그리고 제 N 채널에서 VI 프로브 (417N) 는 MRCC 튜너 (415N) 에 의해 스테이션 N으로 전달된 RF 전력을 측정하도록 구성된다.
도 4a에 도시된 바와 같이, 스테이션 각각은 하나 이상의 필터 박스들로 구성된다. 일반적으로, 페데스탈의 중심 컬럼 (예를 들어, 가열기 및 가열기의 온도를 측정하기 위해 열전대들 (thermocouple) 로부터 전압 신호들을 수신하는 제어기들, 등) 을 통해 다양한 컴포넌트들에 교류 (AC) 또는 직류 (DC) 전력을 제공하는 전력 소스들은 RF 전력 (저 주파수 내지 중간 주파수 및/또는 고 주파수) 을 AC 또는 DC 전력과 결합하는 채널들의 부분들 전 및 후 지점들에서 필터 박스들 (예를 들어, RF 필터들) 을 사용함으로써 또한 중심 컬럼을 통해 전달되는 RF 전력으로부터 보호된다. 예를 들어, 고 주파수 RF 전력이 스테이션으로 전달될 때 (예를 들어, 페데스탈의 중심 컬럼을 통해 척으로 전달됨), 고 주파수 RF 전력을 중심 컬럼에도 존재하는 임의의 다른 전기 회로로부터 절연하도록 고 주파수 필터 박스 (430) 가 또한 제공된다. 예를 들어, 페데스탈의 중심 컬럼을 통해 또한 이동하는 AC 또는 DC 경로 라인들은 페데스탈의 척 내 가열 엘리먼트들을 제어하도록 사용될 수도 있다. 이와 같이, 고 주파수 필터 박스 (430) 는 중심 컬럼을 통해 전달된 고 주파수 RF 전력으로부터 AC 경로 라인들을 절연한다. 유사하게, 저 주파수 내지 중간 주파수 필터 박스 (435) 는 중심 컬럼을 통해 전달된 저 주파수 내지 중간 주파수 RF 전력으로부터 AC 경로 라인들을 절연한다. 보다 구체적으로, 고 주파수 필터 박스 (430A) 는 고 주파수 RF 전력을 절연하고 그리고 저 주파수 필터 박스 (435A) 는 스테이션 1로 전달된 저 주파수 내지 중간 주파수 RF 전력을 절연하고; 고 주파수 필터 박스 (430B) 는 고 주파수 RF 전력을 절연하고 그리고 저 주파수 내지 중간 주파수 필터 박스 (435B) 는 스테이션 2로 전달된 저 주파수 내지 중간 주파수 RF 전력을 절연하고; …그리고 고 주파수 필터 박스 (430N) 는 고 주파수 RF 전력을 절연하고 그리고 저 주파수 내지 중간 주파수 필터 박스 (435N) 는 스테이션 N으로 전달된 저 주파수 내지 중간 주파수 RF 전력을 절연한다.
도 4b는 일 실시 예에 따른, 하나 이상의 MRCC 시스템들을 사용하여 QSM (quad station module) 플라즈마 프로세싱 시스템으로 RF 전력의 분배, 또는 RF 전력의 목표되거나 가중된 분배의 자동 밸런싱을 위해 구성된 RF 전력 시스템 (400B) 의 블록도를 예시한다. 시스템 (400B) 은 도 4a의 멀티-스테이션 플라즈마 시스템 (400A) 내에서 구현될 수 있다.
복수의 전력 생성기가 제공된다. 예를 들어, RF 듀얼 소스 전력 생성기는 LF/MF 전력을 제공하는 저 주파수 내지 중간 주파수 RF 전력 생성기 (405), 및 고 주파수의 HF 전력을 제공하는 고 주파수 RF 전력 생성기 (401) 를 포함할 수도 있다.
이에 더하여, SIRF (split input RF) 분배 박스 (420) 는 저 주파수 내지 중간 주파수 RF 전력 생성기 (405) 로부터 LF/MF 전력을 수신하고 고 주파수 RF 전력 생성기 (401) 로부터 HF 전력을 수신하도록 구성된다. SIRF 분배 박스 (420) 는 LF/MF 전력 및 HF 전력 중 적어도 하나를 하나 이상의 분할된 RF 출력들로서 결합하고 분배하도록 더 구성되고, 각각은 대응하는 분할된 RF 입력부로서 대응하는 MRCC 모듈 (415) 에 제공된다. 예를 들어, SIRF 분배 박스 (420) 는 조정된 RF 전력을 스테이션 1에 제공하는 MRCC 튜너 (415A) 로 RF 출력 1 (455A) 을 제공하고, 조정된 RF 전력을 스테이션 2에 제공하는 MRCC 튜너 (415B) 에 RF 출력 2 (455B) 를 제공하고, 조정된 RF 전력을 스테이션 3에 제공하는 MRCC 튜너 (415C) 에 RF 출력 3 (455C) 을 제공하고, 그리고 조정된 RF 전력을 스테이션 4에 제공하는 MRCC 튜너 (415D) 에 RF 출력 4 (455D) 를 제공한다.
이와 같이, RF 전력 시스템 (400B) 의 4 개의 MRCC 튜너들은 MRCC 튜너 (415) 각각이 RF 입력 및 RF 출력을 갖도록, SIRF 분배 박스 (420) 로부터 RF 전력들 (예를 들어, RF 출력들) 을 내부 RF 입력들로서 제공한다. 즉, MRCC 튜너 각각은 대응하는 스테이션에 대한 SIRF RF 출력에 연결된 RF 입력부를 갖는다. 또한, MRCC 튜너 각각은 스테이션, 페데스탈 또는 샤워헤드에 제공되는 하나의 RF 출력을 갖는다. 예를 들어, MRCC 튜너 (415A) 는 스테이션 1의 페데스탈 또는 샤워헤드 (420A) 에 튜닝된 RF 전력 1을 제공하고, MRCC 튜너 (415B) 는 스테이션 2의 페데스탈 또는 샤워헤드 (420B) 에 튜닝된 RF 전력 2를 제공하고, MRCC 튜너 (415C) 는 페데스탈 또는 샤워헤드 (420C) 에 튜닝된 RF 전력 3을 제공하고, 그리고 MRCC 튜너 (415D) 는 스테이션 4의 페데스탈 또는 샤워헤드 (420D) 에 튜닝된 RF 전력 4를 제공한다. 일 실시 예에서, 스테이션 각각으로 전달된 RF 전력은 밸런싱되고 그리고/또는 거의 동일하다. 또 다른 실시 예에서, 스테이션 각각으로 전달된 RF 전력은 목표된 분포에 따라 불균형하다.
이에 더하여, MRCC 제어기 (450) 는 MRCC 튜너들 (415A 내지 415D) 각각의 동작들을 제어한다 (예를 들어, 평형 분배, 수동 분배, 기술된 분배, 등을 위한 설정을 제공한다). 예를 들어, MRCC 제어기 (450) 는 대응하는 가변 커패시터의 값을 조정하기 위한 제어 신호들을 제공할 수도 있고, 가변 커패시터를 튜닝함으로써, 대응하는 MRCC 튜너의 RF 전력 출력이 조정될 수 있다.
특히, MRCC 튜너 (415) 각각은 도 5a에 이하에 기술된 바와 같이, 2 개의 병렬 회로 경로들을 갖는 RF 회로를 포함한다. 병렬 회로 경로 각각은 동작의 기본 주파수, LF/MF 또는 HF보다 높은 공진을 갖는 직렬 공진 회로를 포함한다. 또한, 두 개의 병렬 회로들은 가변 커패시터들의 값을 각각 조정함으로써 LF/MF 또는 HF의 전력을 조정하도록 설계된다. 조정에 대한 전력 응답은 스테이션의 플라즈마 부하 임피던스에 종속된다.
도 5a는 본 개시의 일 실시 예에 따른, RF 전력의 자동 튜닝을 위해 구성된 MRCC 회로도 (500A) 이고, MRCC 회로는 저 주파수 내지 중간 주파수 튜닝 회로 및 고 주파수 튜닝 회로를 포함한다. MRCC 회로도 (500) 는 최소 고 주파수 및 저 주파수 내지 중간 주파수 크로스 토크를 갖는 큰 튜닝 범위를 제공하는 넓은 커패시턴스 범위를 갖는다. 도시된 바와 같이, MRCC 회로 (500A) 는 (예를 들어, SIRF 분배 박스 (420) 로부터 분할된 RF 출력을 수신하고, 이어서 RF 입력으로서 내부적으로 제공되는) 대응하는 분할된 RF 입력을 제공하도록 구성된 대응하는 공통 노드 (510) 와 접지 사이에 HF 튜닝 회로 (702) 에 병렬로 커플링된 LF/MF 튜닝 회로 (701) 를 포함한다. 튜닝될 때 병렬 회로는 부하의 임피던스를 변화시킨다. 특히, MRCC 회로도 (500A) 를 RF 경로 내로 삽입하는 것은 대응하는 스테이션으로 가는 에너지 및/또는 전력을 증가시키거나 감소시킴으로써 부하를 방해한다. 또한, LF/MF 튜닝 회로 (701) 및 HF 튜닝 회로 (702) 는 하나가 조정될 때, 다른 회로가 영향을 받지 않도록 설계된다.
LF/MF 튜닝 회로 (701) 는 LF/MF 인덕터 (520) 와 직렬로 커플링되는 가변 LF/MF 커패시터 (530) 를 포함한다. LF/MF 튜닝 회로는 접지와 대응하는 공통 노드 (510) 사이에 커플링된다. 일 실시 예에서, LF/MF 튜닝 회로 (701) 의 공진은 기본 동작 주파수 (LF/MF 주파수) 이상이다. 일 실시 예에서, 가변 LF/MF 커패시터 (530) 는 5 내지 700 pf (picofarads) 의 값을 갖지만, 다른 실시 예들에서 이 값은 이 범위를 초과할 수도 있다. 일 실시 예에서, 가변 LF/MF 커패시터 (530) 는 15 내지 650 pf의 값을 갖지만, 다른 실시 예들에서 이 값은 이 범위를 초과할 수도 있다. 일 실시 예에서, 가변 LF/MF 커패시터 (530) 는 100 내지 400 pf의 값을 갖지만, 다른 실시 예들에서 이 값은 이 범위를 초과할 수도 있다. 일 실시 예에서, 가변 LF/MF 커패시터 (530) 는 200 내지 300 pf의 값을 갖지만, 다른 실시 예들에서 이 값은 이 범위를 초과할 수도 있다. LF/MF 튜닝 회로 (701) 는 LF/MF 커패시터 (530) 의 값을 가변함으로써 튜닝 및/또는 조정된다. 이와 같이, LF/MF 전력은 가변 LF/MF 커패시터 (530) 의 값을 조정함으로써 조정된다. 일 실시 예에서, LF/MF 인덕터 (520) 는 10 내지 40 μH의 범위 내에서 발견된 값을 갖는다. 일 실시 예에서, LF/MF 인덕터 (520) 는 24 μH의 값을 갖지만, 다른 실시 예들에서, 이 값은 상이할 수도 있다.
HF 튜닝 회로 (702) 는 HF 인덕터 (525) 와 직렬로 커플링된 가변 HF 커패시터 (535) 를 포함한다. HF 튜닝 회로 (702) 는 접지와 대응하는 공통 노드 사이에 커플링된다. 일 실시 예에서, HF 튜닝 회로 (702) 의 공진은 동작의 기본 주파수 (HF 주파수) 이상이다. 일 실시 예에서, 가변 HF 커패시터 (535) 는 2 내지 75 pf의 값을 갖지만, 다른 실시 예들에서 이 값은 범위를 초과할 수도 있다. 일 실시 예에서, 가변 HF 커패시터 (535) 는 5 내지 50 pf의 값을 갖지만, 다른 실시 예들에서 이 값은 이 범위를 초과할 수도 있다. 일 실시 예에서, 가변 HF 커패시터 (535) 는 10 내지 30 pf의 값을 갖지만, 다른 실시 예들에서 이 값은 이 범위를 초과할 수도 있다. 일 실시 예에서, 가변 HF 커패시터 (535) 는 15 내지 25 pf 의 값을 갖지만, 다른 실시 예들에서 이 값은 이 범위를 초과할 수도 있다. HF 튜닝 회로 (702) 는 HF 커패시터 (535) 의 값을 가변시킴으로써 튜닝 및/또는 조정된다. 이와 같이, HF 전력은 가변 HF 커패시터 (535) 의 값을 조정함으로써 조정된다. 일 실시 예에서, HF 인덕터 (525) 는 3 내지 30 μH 범위 내에서 발견된 값을 갖는다. 일 실시 예에서, HF 인덕터 (525) 는 5 내지 25 μH의 범위 내에서 발견된 값을 갖는다. 일 실시 예에서, HF 인덕터 (525) 는 16 μH의 값을 갖지만, 다른 실시 예들에서, 값은 상이할 수도 있다. 일 실시 예에서, HF 인덕터 (525) 의 값은 LF/MF 인덕터 (520) 의 값보다 작다.
이전에 기술된 바와 같이, LF/MF 튜닝 회로 (701) 는 LF/MF 커패시터 (530) 의 값을 가변함으로써 튜닝 및/또는 조정되고, HF 튜닝 회로 (702) 는 HF 커패시터 (535) 의 값을 가변함으로써 튜닝 및/또는 조정된다. LF/MF 커패시터 (530) 및 고 주파수 커패시터 (535) 의 요구된 값들은 반응기 내의 프로세스 조건들에 종속된다. 예를 들어, LF/MF 튜닝 회로 (701) 및/또는 HF 튜닝 회로 (702) 의 튜닝은 대응하는 반응기에 제공된 전압의 튜닝 및/또는 대응하는 반응기로 전달된 전력의 튜닝을 제공한다. 구체적으로, MRCC 회로도 (500A) 는 특정한 소스 주파수에 대한 임피던스 변화를 제공하기 위해 위상 시프터로서 복수의 병렬 회로들 (예를 들어, LF/MF 튜닝 회로 (701) 및 HF 튜닝 회로 (702)) 을 사용한다. 이와 같이, 임피던스의 변화는 특정한 부하 (스테이션) 로 전달된 전력을 변화시킬 것이다. 이러한 방식으로, 부하가 변화 (예를 들어, 플라즈마가 프로세싱 동안 임피던스를 동적으로 변화) 함에 따라 MRCC 회로는 부하에 적절한 전력 (예를 들어, 밸런싱된 전력, 목표된 전력, 등) 을 전달하도록 임피던스를 자동으로 조정하도록 구성된다. 게다가, 실시 예들에서, LF/MF 커패시터 (530) 및 HF 커패시터 (535) 의 값들은 전체 프로세싱 시퀀스에 대한 일 튜닝을 통해 또는 프로세싱 시퀀스 내의 복수의 임계 단계들에서 튜닝을 통해 프로세스 조건들의 변화들과 동기화된다.
MRCC 회로도 (500A) 를 튜닝한 후, 대응하는 공통 노드 (510) 는 대응하는 스테이션에 대응하는 RF 출력을 제공하도록 구성된다. 즉, RF 입력은 이제 조정되고 RF 출력으로서 대응하는 스테이션에 제공된다.
실시 예들에서, LF/MF 튜닝 회로 (701) 및 HF 튜닝 회로 (702) 는 각각의 소스 주파수들 사이의 간섭을 방지하도록 절연을 갖도록 설계된다. 이에 더하여, 이들 회로들은 일 스테이션으로의 전류의 급격한 상승을 방지하기 위해 조정 범위를 통한 공진을 방지한다. 이하는 MRCC 회로도 (500A) 의 임피던스 방정식이다.
Figure 112021039248047-pct00001
(1)
도 5aa은 본 개시의 일 실시 예에 따른, 도 5a의 MRCC 회로도의 LF/MF 튜닝 회로와 HF 튜닝 회로 사이의 교차 병렬 절연을 예시한다. 특히, HF 튜닝 회로는 가변 LF/MF 커패시터를 조정할 때 LF/MF 튜닝 회로로부터 절연된다. 또한, LF/MF 튜닝 회로는 가변 HF 커패시터를 조정할 때 HF 튜닝 회로로부터 절연된다. 구체적으로, 가변 LF/MF 커패시터 또는 가변 HF 커패시터를 조정할 때 LF/MF 튜닝 회로의 LF/MF 인덕터와 HF 튜닝 회로의 HF 인덕터 사이에서 교차 병렬 절연이 발생한다. 도시된 바와 같이, LF/MF 커패시터 (530) 가 조정될 때, HF 튜닝 회로 (702) 에 대한 병렬 회로의 HF 인덕터 (525) 는 고 임피던스를 제공하도록 동작하고, 이에 따라 LF/MF 튜닝 회로 (701) (예를 들어, LF/MF 커패시터 (530)) 가 조정될 때 HF 튜닝 회로 (702) 를 절연한다. 또한, HF 커패시터 (535) 가 조정될 때, LF/MF 튜닝 회로 (701) 에 대한 병렬 회로의 LF/MF 인덕터 (520) 는 고 임피던스를 제공하도록 동작하고, 이에 따라 HF 튜닝 회로 (702) (예를 들어, HF 커패시터 (535)) 가 조정될 때 LF/MF 튜닝 회로 (701) 를 절연한다. 즉, 저 주파수 내지 중간 주파수 인덕터 및 고 주파수 인덕터 각각은 반대되는 또는 교차하는 튜닝 회로의 반대되는 커패시터를 조정할 때 교차 병렬 절연을 제공한다.
도 5b 및 도 5c는 1 ㎾ 입력 전력 (예를 들어, 내부 RF 입력) 을 갖는 MRCC 회로도 (500A) 를 사용하여 전력을 조정하는 예들을 예시한다. 기술된 바와 같이, 전력은 LF/MF 커패시터 (530) 및 HF 커패시터 (535) 중 하나 이상을 가변함으로써 조정된다. 도 5b 및 도 5c에서, LF/MF 커패시터 (530) 및 HF 커패시터 (535) 의 값들은 커패시턴스에 대해 총 가용한 값들의 범위 백분율로서 표현된다. 특히, 도 5b 및 도 5c는 1 ㎾ 입력 전력과 함께, 고 주파수에 대해 13.56 ㎒ 및 저 주파수 내지 중간 주파수로서 400 ㎑를 사용한 시뮬레이션 결과들을 도시한다. 도시된 바와 같이, 도 5b 및 도 5c는 주파수 각각에 대한 회로들 사이의 조정 가능성 및 절연을 입증한다.
특히, 도 5b는 본 개시의 일 실시 예에 따른, 복수의 스테이션들로의 RF 전력 분배의 자동 밸런싱 또는 RF 전력의 목표된 분배를 위해 구성된 MRCC 회로의 고 주파수 튜닝 회로의 커패시터 (예를 들어, HF 튜닝 회로 (702) 의 HF 커패시터 (535)) 의 값과 무관한 저 주파수 내지 중간 주파수 튜닝 회로 (예를 들어, LF/MF 튜닝 회로 (701) 의 LF/MF 커패시터 (530)) 를 조정함으로써 MRCC 회로를 사용한 RF 전력의 튜닝을 도시하는 3 차원 (3D) 그래프 (500B) 를 예시한다. 그래프 (500B) 는 전력을 도시하는 Z-축 (541), LF/MF 커패시터 (530) 의 값을 도시하는 X-축 (542), 및 HF 커패시터 (535) 의 값을 도시하는 Y-축 (542) 을 포함한다. 특히, LF/MF 튜닝 회로 (701) 와 HF 튜닝 회로 (702) 사이의 절연은 MRCC 회로도 (500A) 를 튜닝할 때 달성된다. 일 실시 예에서, HF 튜닝 회로 (702) 는 가변 LF/MF 커패시터 (530) 를 조정할 때 LF/MF 튜닝 회로 (701) 로부터 절연된다. 예를 들어, 대응하는 분할된 RF 입력이 저 주파수 내지 중간 주파수 컴포넌트 (예를 들어, 400 ㎑의 저 주파수 내지 중간 주파수) 를 가질 때, HF 인덕터 (525) 는 가변 LF/MF 커패시터 (530) 를 조정할 때 LF/MF 튜닝 회로 (701) 로부터 HF 튜닝 회로 (702) 를 효과적으로 절연시키는 대응하는 분할된 RF 입력에 고 임피던스를 제공한다. 도시된 바와 같이, LF/MF 커패시터 (530) 의 특정한 값에 대해, 전력 레벨은 HF 커패시터 (543) 의 값과 상관없이 일정하다. 즉, HF 커패시터 (543) 가 LF/MF 커패시터 (530) 의 특정한 값에 대한 값이 변화할 수도 있지만, 전력 레벨은 일정하다.
도 5c는 본 개시의 일 실시 예에 따른, 다중 스테이션들로의 RF 전력의 분배의 자동 밸런싱을 위해 구성된 MRCC 회로의 저주파수 튜닝 회로의 커패시터의 값과 독립적인 고 주파수 튜닝 회로의 커패시터를 조정함으로써 MRCC 회로를 사용한 RF 전력의 튜닝을 도시하는 3D 그래프 (500C) 를 예시한다. 그래프 (500C) 는 도 5b의 그래프 (500B) 와 동일한 축을 포함하고, 그리고 전력을 도시하는 Z-축 (541), LF/MF 커패시터 (530) 의 값을 도시하는 X-축 (542), 및 HF 커패시터 (535) 의 값을 도시하는 Y-축 (542) 을 포함한다. 특히, 예를 들어, LF/MF 튜닝 회로 (701) 와 HF 튜닝 회로 (702) 사이의 절연은 MRCC 회로도 (500A) 를 튜닝할 때 달성된다. 일 실시 예에서, LF/MF 튜닝 회로 (701) 는 가변 HF 커패시터 (535) 를 조정할 때 HF 튜닝 회로 (702) 로부터 절연된다. 예를 들어, 대응하는 분할된 RF 입력이 고 주파수 컴포넌트 (예를 들어, 13.56 ㎒의 고 주파수) 를 가질 때, LF/MF 인덕터 (520) 는 가변 HF 커패시터 (535) 를 조정할 때 HF 튜닝 회로 (702) 로부터 LF/MF 튜닝 회로 (701) 를 효과적으로 절연시키는 대응하는 분할된 RF 입력에 고 임피던스를 제공한다. 도시된 바와 같이, HF 커패시터 (535) 의 특정한 값에 대해, 전력 레벨은 LF/MF 커패시터 (530) 의 값과 상관 없이 일정하다. 즉, LF/MF 커패시터 (530) 가 HF 커패시터 (535) 의 특정한 값에 대해 값이 변화할 수도 있지만, 전력 레벨은 일정하다.
도 5d 내지 도 5f는 일 실시 예에서, 저 주파수 RF 전력 및/또는 고 주파수 RF 전력을 캘리브레이팅하도록 구성된 레시피 제어된 캘리브레이션 회로 (500D), 및 캘리브레이션 회로 (500D) 에서 커패시터들을 조정할 때 전력 응답을 도시하는 시뮬레이션 결과들을 예시한다.
특히, 도 5d는 본 개시의 일 실시 예에 따른, 복수의 스테이션들로 전달된 RF 전력을 분할하기 위한 직렬 엘리먼트들을 포함하는 레시피 제어된 캘리브레이션 회로 (500D) 를 예시한다. 회로 (500D) 는 저 주파수 RF_IN을 수신하기 위한 노드 1을 포함한다. 노드 1은 가변 LF 커패시터 (LF Cap) (502) 에 병렬로 커플링되는 LF 인덕터 (501) 에 커플링되고, 이들 모두 노드 2에 커플링된다. 노드 2는 저 주파수 커패시터들 (503 및 504) 에 병렬로 커플링된다. 병렬 회로는 모두 노드 1과 노드 2 사이에 병렬로 커플링된 저 주파수 인덕터 (505), 커패시터 (506), 커패시터 (507), 및 커패시터 (508) 를 포함한다. 커패시터 (516) 는 고 주파수 RF_IN을 수신하기 위해 구성된 노드 1과 노드 4 사이에 커플링된다. 가변 HF 커패시터 (HF Cap) (515) 는 노드 2와 접지 사이에 커플링된다. 인덕터 (517) 는 RF_OUT을 제공하는 노드 1과 노드 5 사이에 커플링된다.
또한, 도 5e 및 도 5f는 레시피 제어된 캘리브레이션 회로 (500D) 가 완전히 절연되지 않았다는 것을 암시하는 시뮬레이션들을 도시한다. 특히, 도 5e는 본 개시의 일 실시 예에 따른, 저 주파수 튜닝 회로를 조정할 때 고 주파수 튜닝 회로에 대한 효과를 도시하는 도 5d의 레시피 제어된 캘리브레이션 시스템을 사용하여 RF 전력의 튜닝을 도시하는 3D 그래프를 예시한다. 예를 들어, 도 5e에서, 회로 (500D) 의 저 주파수 컴포넌트 (예를 들어, 커패시터) 가 조정될 때, 저 주파수 커패시터에 대한 특정한 값에서 전력은 고 주파수 커패시터의 값에 따라 가변할 것이다. 즉, 저 주파수 컴포넌트 및 고 주파수 컴포넌트는 서로 영향을 주고 절연되지 않는다. 유사하게, 도 5f는 본 개시의 일 실시 예에 따른, 고 주파수 튜닝 회로를 조정할 때 저 주파수 튜닝 회로에 대한 효과를 도시하는 도 5d의 레시피 제어된 캘리브레이션 시스템을 사용하여 RF 전력의 튜닝을 도시하는 3D 그래프를 예시한다. 도 5f에서, 회로 (500D) 의 고 주파수 컴포넌트 (예를 들어, 커패시터) 가 조정될 때, 고 주파수 커패시터에 대한 특정한 값에서 전력은 저 주파수 커패시터의 값에 따라 가변할 것이다. 이와 같이, 다시 저 주파수 컴포넌트 및 고 주파수 컴포넌트는 서로 영향을 주고 절연되지 않는다. 이와 같이, 도 5a의 회로 (500A) 는 저 주파수 내지 중간 주파수 컴포넌트 및 고 주파수 컴포넌트가 각각의 주파수들에서 동작할 때 서로 절연되기 때문에 도 5d의 회로 (500D) 에 대한 개선을 제공한다.
도 6은 본 개시의 일 실시 예에 따른, 하나 이상의 MRCC 튜닝 시스템들을 사용하여 다중 스테이션들로의 RF 전력의 분배의 자동 밸런싱을 위해 구성된 시스템 (600) 의 사시도이다. 도시된 바와 같이, 시스템 (600) 은 쿼드 스테이션 모듈 툴을 지지하는데 필요한 MRCC 튜너 모듈들의 쿼드세트를 포함한다. 즉, 쿼드세트는 MRCC 튜너들 (415A 내지 415D) 을 포함하는 4 개의 개별 MRCC 튜너들을 포함하고, MRCC 튜너 각각은 대응하는 스테이션으로의 전력 전달을 제어하도록 구성된다. MRCC 튜너 각각은 유사하게 구성되고, 이하에 제공된 MRCC 튜너 (415A) 의 논의는 모든 MRCC 튜너들을 나타낸다. 특히, 도 7a는 대응하는 스테이션으로 밸런싱된 전력 및/또는 목표된 전력을 전달하도록 구성된 MRCC 튜너 (415) (예를 들어, 415A) 의 사시도 및 개방도를 제공한다.
일 실시 예에서, 시스템 (600) 은 미래의 대칭적인 저 주파수 내지 중간 주파수 RF 시스템들과 순방향 호환 가능하고, 따라서 고유한 스테이션 밸런싱 성능을 개선하는 대칭 설계를 도시한다. 특히, 4 개의 MRCC 튜닝 시스템들 (415A 내지 415D) 은 중심 개구부 (690) 둘레에 대칭 방식으로 배치된다. 일 실시 예에서, 대칭으로 배치된 MRCC 튜닝 시스템들 (415A 내지 415D) 은 하나 이상의 페데스탈들에 전력을 제공하도록 쿼드 스테이션 프로세싱 시스템 (예를 들어, 도 2 및 도 3에 도시된 시스템) 아래에 구성된다. 또 다른 실시 예에서, 대칭적으로 배치된 MRCC 튜닝 시스템들 (415A 내지 415D) 은 하나 이상의 샤워헤드들에 전력을 제공하도록 쿼드 스테이션 프로세싱 시스템 (예를 들어, 도 2 및 도 3에 도시된 시스템) 위에 구성된다.
MRCC 튜너 (415) 각각은 이전에 기술된 바와 같이 LF/MF 튜닝 회로 (701) 및 HF 튜닝 회로 (702) 를 포함한다. 예를 들어, LF/MF 튜닝 회로는 LF/MF 인덕터 (520) 및 LF/MF 커패시터를 포함하고, LF/MF 튜닝 회로는 대응하는 LF/MF 커패시터 (530) 를 조정함으로써 튜닝된다. 또한, HF 튜닝 회로는 HF 인덕터 (525) 및 HF 커패시터 (535) 를 포함하고, HF 튜닝 회로는 HF 커패시터 (535) 를 조정함으로써 튜닝된다.
MRCC 튜너들 각각은 유사하게 구성된다. 예를 들어, MRCC 튜너 (415A) 는 MRCC 튜너 내의 컴포넌트들의 냉각을 제공하기 위한 팬 (630) 을 포함한다. 이에 더하여, MRCC 튜너들 (415) 의 튜닝 회로 각각은 대응하는 가변 커패시터를 조정하기 위해 구성된 액추에이터 (610), 및 가변 커패시터의 값을 측정하기 위한 인코더 (620) 를 포함한다. 액추에이터는 대응하는 커패시터의 값을 변화시키도록 구성된다. 예를 들어, 액추에이터는 가변 커패시터의 값을 변화시키도록 제어되는 모터 (예를 들어, 스텝퍼, 서보, 등) 일 수도 있다. 예를 들어, LF/MF 튜닝 회로 (701) 는 액추에이터 (610A) 및 인코더 (620A) 에 커플링된다. 유사하게, HF 튜닝 회로 (702) 는 액추에이터 (610B) 및 인코더 (620B) 에 커플링된다.
유사한 구성 때문에, MRCC 튜너들은 모듈형 방식으로 채용될 수 있고, 하나의 MRCC 튜너 (415) 는 하나의 스테이션과 연관된다. 모듈화 (modularity) 는 기존 SIRF (pre-existing split input RF) 분배 박스로부터 물리적으로 분리될 수 있지만 부착되는 MRCC 튜너 (415) 를 제공함으로써 구현된다. 특히, MRCC 튜너 (415) 각각은 반응기에 가깝게 또는 소스에 가깝게 또는 문제의 RF 경로 상의 임의의 곳에 배치될 수 있다. 이와 같이, MRCC 튜너 (415) 를 RF 경로 내로 삽입함으로써, 부하는 특정한 스테이션으로 가는 에너지를 증가시키거나 감소시키도록 방해된다 (예를 들어, 변화된다).
일 실시 예에서, MRCC 튜너 (415A) 는 대응하는 커패시터 각각의 위치를 추적하기 위해 절대 인코더들 (620) 을 사용한다. 위치 정보는 제어기에 피드백으로서 제공될 수도 있다. 절대 인코더들은 반복 가능한 포지셔닝 및 따라서 반복 가능한 스테이션 간 전력 조정을 보장하기 위해 보다 정확한 포지셔닝 및 포지셔닝 검증을 가능하게 한다. 이전에, 인코더들은 위치 검증을 위해 사용되지 않았고, 값들 및 위치들의 이러한 내부 검증은 검증 목적들을 위해 수행될 수 없었다.
더욱이, 절대 인코더들을 사용할 때, 대응하는 인코더에 의해 결정된 위치 정보는 손실되지 않는다. 즉, 절대 인코더는 원점 복귀 (homing), 리미트 스위치 또는 하드 스톱 (hard stop) 발견 루틴을 사용하여 위치를 리셋할 필요 없이 전력 사이클을 통해 위치가 공지되게 한다. 예를 들어, 가변 커패시터의 기계적 단부 한계들 및 중간 지점들은 절대 인코더에 의해 결정되고 학습될 수 있다. 이는 전력 사이클에 따라 변하지 않는 보다 일관된 프로세스 결과들을 허용한다. 또한, 대응하는 커패시터가 (예를 들어, 기계적 단부 한계들을 결정하기 위해) 한번만 캘리브레이팅될 필요가 있기 때문에, 절대 인코더들의 사용은 커패시터에 변형을 가하지 않는다. 이와 같이, 통합된 절대 인코더들은 실제 위치를 추적하고 모터들이 캐패시턴스 변화를 최소화하면서 위치를 안다는 것을 보장하도록 구성될 수 있다. 이는 고 응력 원점 복귀를 수행할 필요성을 제거한다.
특히, 절대 인코더들 (620) 의 사용은 대응하는 커패시터의 정확한 프로파일을 생성하는 능력을 허용한다. 커패시터의 2 개의 단부들은 (모터로 전송된 스텝들/펄스들에 기초하여) 모터의 인지된 위치를 보고 (절대 인코더에 기초하여) 모터의 실제 위치와 비교함으로써 발견될 수 있어서 일 실시 예에서, 하드 스톱이 일 완전한 스텝보다 크게 동기에서 벗어날 때 (1.8°) 발견된다고 결정한다. 이 결정된 한계는 하드 스톱을 "해머링 (hammering)"하지 않고 정지함으로써 하드 스톱에 보다 적은 응력을 허용한다. 예를 들어, 스텝퍼 모터는 반-사인파 힘 (예를 들어, 역기전력 (back electromotive force) [EMF] 펄스) 을 가하고, 신속하게 중지되지 않으면 보다 많은 손상을 유발할 수 있는 "해머링" 운동을 유발한다. 모터와 인코더 사이의 일 완전한 스텝 (또는 그 이하) 오정렬에 대해 체크하는 것은 스텝퍼 모터로 하여금 하드 스톱과의 제 1 콘택트 동안에만 정지하게 한다. 후속하여, 모터는 커패시터를 조정할 때 하드 스톱으로 돌아가는 것을 방지할 수도 있다. 하드 스톱을 반복적으로 치는 (hit) 것은 커패시터의 기능을 유해하게 변화시킬 수 있고, 잠재적으로 매 전력 사이클 후에 시스템 레시피로 하여금 리튜닝되게 (retune) 한다.
구체적으로, 하나의 하드 스톱을 발견하는 것은 좌표계가 확립되게 할 것이다. 커패시터 건전성은 또한 미리 결정된 커패시터에 대한 전체 범위의 튜닝을 보장하도록 다른 하드 스톱 (예를 들어, 다른 단부) 를 발견함으로써 체크될 수 있다. 하드 스톱들 모두를 발견함으로써 결정된 바와 같은 인지된 회전 수는 제작사에 의해 제공된 예상되는 회전 수와 비슷할 수 있다. 감지된 회전 수가 예상된 것과 매칭하지 않으면, 실시 예들에서, 커패시터 및 모터의 미끄러짐 (slipping), 고장난 커패시터, 부정확한 커패시터 등의 문제들을 지적할 수 있다. 캘리브레이션 이전에 그리고 MRCC 튜너의 수명 내내 주기적으로 이러한 문제들을 검출하는 것은 예방적 유지 보수를 제공한다.
도 7b는 본 개시의 일 실시 예에 따른, 프로세싱 스테이션으로의 RF 전력의 튜닝을 위해 구성된 MRCC 튜너 (415) 의 클램쉘 외부 (750) 의 사시도이다. 클램쉘 인클로저 설계는 어셈블러 (assembler) 로 하여금 3 개의 측면들을 통한 액세스를 획득하게 하여 어셈블리 시간 및 비용을 감소시키고, 컴포넌트들의 어셈블리 시간을 보다 짧게 하여, 노동 비용을 감소시킨다. 예를 들어, 도 7a 및 도 7b 모두를 참조하면, 클램쉘 인클로저 (750) 는 적어도 LF/MF 튜닝 회로 (701) 및 HF 튜닝 회로 (702) 를 홀딩하도록 구성된 섀시 (730) 에 부착된다. 클램쉘 인클로저 (750) 는 또한 일 측면 상의 튜닝 회로들 (예를 들어, LF/MF 튜닝 회로 (701) 및 HF 튜닝 회로 (702)) 과 다른 측면 상의 모터 (610) 및 인코더 (620) 사이의 인터페이스로서 작용하는 면 (735) 에 부착된다.
보다 구체적으로, 인클로저 (780) 는 섀시 또는 베이스 (730), 전면 (735), 및 클램쉘 외부 (750) 를 포함한다. 인클로저 (780) 는 LF/MF 튜닝 회로 (701) 및 HF 튜닝 회로 (702) 를 둘러싸도록 구성된다. 클램쉘 외부 (750) 는 상단부 (751) 및 복수의 측벽들을 포함한다. 예를 들어, 클램쉘 외부 (750) 는 전면 (735) 에 인접한 측벽들 (752A 및 752B), 및 부착될 때 전면 (735) 에 대향하는 측벽 (752C) 을 포함한다. 이에 더하여, 브래킷 (781) 이 전면 (735) 에 부착되거나 커플링된다.
도 7a에 도시된 바와 같이, 본 개시의 일 실시 예에 따라, 도 7a의 MRCC 튜너 (415) 는 저 주파수 튜닝 회로 (701) 또는 고 주파수 튜닝 회로 (702) 의 커패시터들과 인터페이싱하기 위해 면 (735) 에 부착된 하나 이상의 플로팅 모터 마운트들 (710) (예를 들어, 마운트들 (710A 및 710B)) 을 포함한다. 예를 들어, 플로팅 모터 마운트 (710A) 는 LF/MF 액추에이터 (610A) 와 LF/MF 커패시터 (530) 사이에 플로팅 인터페이스를 제공하고, 플로팅 모터 마운트 (710B) 는 HF 액추에이터 (610B) 와 HF 커패시터 (535) 사이에 플로팅 인터페이스를 제공한다.
예시로서, 플로팅 모터 마운트 (710B) 로 나타낸 바와 같이, 플로팅 모터 마운트 (710) 의 상단부는 스크루들 (740A 및 740B) 을 통해 연장부 (736B) 에 부착된다. 연장부 (736B) 는 전면 (735) 에 부착되는 브래킷 (781) 에 부착된다. 확대도로 도시된 바와 같이, (마운트 (710B) 로 나타낸 바와 같이) 플로팅 모터 마운트 (710) 의 하단부는 섀시 (730) 내의 슬롯들 (미도시) 을 통해 마운트 (710) 의 탭들 (720A 및 720B) 을 삽입함으로써 섀시 (730) 와 느슨하게 정렬된다. 플로팅 모터 마운트 (710B) 는 액추에이터/모터 (610B) 와 대응하는 커패시터 (HF 커패시터 (535)) 사이의 축 방향 오정렬에 대응하도록 구성된다. 적절한 정렬은 커패시터의 베어링들 상 또는 커패시터와 모터를 결합하는 커플러 (미도시) 의 축방향 압력으로 인해 커패시터가 고정되는 것을 방지한다. 이에 더하여, 플로팅 모터 마운트 (710) 는 모터 및 커패시터를 정렬하기 위한 모터 마운트로서 사용되는 기계 가공되고 단단한 알루미늄 블록을 대체할 수 있어서, 비용을 감소시키고 설치의 용이성을 증가시킨다.
플로팅 모터 마운트 (710A) 는 마운트 (710B) 와 유사하게 구성된다. 특히, 플로팅 모터 마운트 (710A) 는 스크루들을 통해 연장부 (736A) 에 부착된다. 연장부 (736A) 는 전면 (735) 에 부착되는 브래킷 (781) 에 부착된다. 플로팅 모터 마운트 (710A) 의 하단부는 섀시 (730) 내의 슬롯들을 통해 탭들을 삽입함으로써 섀시 (730) 와 느슨하게 정렬된다. 플로팅 모터 마운트 (710A) 는 액추에이터/모터 (610A) 와 대응하는 커패시터 (LF/MF 커패시터 (530)) 사이의 축방향 오정렬에 대응하도록 구성된다. 적절한 정렬은 커패시터의 베어링들 또는 커패시터와 모터를 결합하는 커플러 (미도시) 상의 축방향 압력으로 인해 커패시터 (530) 가 고정되는 것을 방지한다.
도 7c는 본 개시의 일 실시 예에 따른, 프로세싱 스테이션으로의 RF 전력의 튜닝을 위해 구성된 MRCC 튜너 시스템의 사시도이다. MRCC 튜너 시스템은 LF/MF 튜닝 회로 (701) 및 섀시 (730) 에 장착된 HF 튜닝 회로 (702) 를 포함한다. MRCC 튜너의 클램쉘 외부 (750) 는 LF/MF 튜닝 회로 (701) 의 LF/MF 커패시터 (530) 및 LF/MF 인덕터 (520) 를 보여 주고, HF 튜닝 회로 (702) 의 HF 커패시터 (535) 및 HF 인덕터 (525) 를 보여 주도록 투명하다. 클램쉘 외부 (750) 는 섀시 (730) 및 면 (735) 에 부착되고, 팬 (fan) (630) 이 또한 클램쉘 외부 (750) 에 부착된다. 이전에 기술된 바와 같이, 면 (735) 은 모터 (610)/인코더 (620) 와 대응하는 튜닝 회로 사이의 인터페이스로서 분리되고 작용한다. 인코더들 (620) 은 대응하는 커패시터의 위치를 조정하기 위해 모터 (610) 를 제어하는 제어기에 위치 정보를 다시 제공한다. 또한, RF 출력 (780) 은 대응하는 스테이션으로 RF 전력을 전달하는 것으로 도시된다.
도 8은 상기 기술된 시스템들을 제어하기 위한 제어 모듈 (800) 을 도시한다. 예를 들어, 제어 모듈 (800) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (800) 은 부분적으로 센싱된 값들에 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (800) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (802), 필터 가열기들 (804), 펌프들 (806), 및 기타 디바이스들 (808) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (800) 은 단지 예를 들어, 압력 마노미터들 (810), 플로우 미터들 (812), 온도 센서들 (814), 및/또는 기타 센서들 (816) 로부터 센싱된 값들을 수신한다. 제어 모듈 (800) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (800) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (800) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (800) 은 프로세스 타이밍, 전달 시스템 온도, 및 필터들에 걸친 압력 차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 기판 척 또는 페데스탈 포지션, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (800) 은 또한 압력 차를 모니터링할 수도 있고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 증기 전구체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈 (800) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시 예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (800) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (818) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (820) 을 포함 할 수도있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 내지 중간 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브 루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램이 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램이 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 챔버 내 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램이 측정된 차(들)를 미리 결정된 값(들)과 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램이 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 가열기 제어 프로그램은 전구체 전달 시스템, 기판 및/또는 시스템의 다른 부분들 내의 컴포넌트들을 가열하기 위해 가열 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들 (810) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 열전대들, 페데스탈 또는 척, 및 도 9a 내지 도 9c의 상태 센서들 (920) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 본 개시의 실시 예들의 구현 예를 기술한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 “제어기”로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 “클라우드” 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스 시작하도록 시스템에 대한 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 시스템에 프로세스 레시피들을 제공할 수 있다.
원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
실시 예들의 전술한 기술은 예시 및 기술의 목적으로 제공되었다. 이는 본 개시를 포괄하거나 제한하도록 의도되지 않는다. 특정한 실시 예의 개별적인 엘리먼트들 또는 피처들은 일반적으로 특정한 실시 예로 제한되지 않고, 구체적으로 도시되거나 기술되지 않더라도, 적용 가능한 경우, 상호 교환 가능하고, 선택된 실시 예에서 사용될 수 있다. 동일한 것이 또한 많은 방식들로 가변될 수도 있다. 이러한 변형들은 본 개시로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들은 본 개시의 범위 내에 포함되도록 의도된다.
전술한 실시예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 따라서, 본 실시 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 실시 예들은 본 명세서에 제공된 상세들로 제한되지 않고, 이들의 범위 및 청구항들의 등가물 내에서 수정될 수도 있다.

Claims (20)

  1. RF (radio frequency) 전력을 튜닝하는 회로에 있어서,
    저 주파수 (LF) 내지 중간 주파수 (MF) 인덕터와 직렬로 커플링된 가변 저 주파수 내지 중간 주파수 (LF/MF) 커패시터를 포함하는 LF/MF 튜닝 회로로서, 상기 LF/MF 튜닝 회로는 접지와 선택가능한 RF 전력을 공급하는 RF 입력을 수신하도록 구성된 공통 노드 사이에 커플링되고, 상기 LF/MF 튜닝 회로에서 상기 LF/MF 인덕터는 상기 공통 노드 및 상기 가변 LF/MF 커패시터에 커플링되고, 상기 LF/MF 튜닝 회로에서 상기 가변 LF/MF 커패시터는 상기 LF/MF 인덕터 및 상기 접지에 커플링되는, 상기 LF/MF 튜닝 회로; 및
    접지와 상기 공통 노드 사이에서 상기 LF/MF 튜닝 회로에 병렬로 커플링된 고 주파수 (HF) 튜닝 회로로서, 상기 HF 튜닝 회로는 HF 인덕터와 직렬로 커플링된 가변 HF 커패시터를 포함하고, 상기 HF 튜닝 회로에서 상기 HF 인덕터는 상기 공통 노드 및 상기 가변 HF 커패시터에 커플링되고, 상기 HF 튜닝 회로에서 상기 가변 HF 커패시터는 상기 HF 인덕터 및 상기 접지에 커플링되는, 상기 HF 튜닝 회로를 포함하고,
    상기 가변 LF/MF 커패시터 또는 상기 가변 HF 커패시터를 조정할 때 상기 LF/MF 튜닝 회로의 상기 LF/MF 인덕터와 상기 HF 튜닝 회로의 상기 HF 인덕터 사이에서 교차 병렬 절연이 발생하고,
    상기 공통 노드는 RF 출력을 제공하도록 구성된 출력 노드에 커플링되는, RF 전력을 튜닝하는 회로.
  2. 제 1 항에 있어서,
    상기 RF 입력에서 공급된 상기 RF 전력은 상기 저 주파수 내지 중간 주파수의 LF/MF 전력 및 상기 고 주파수의 HF 전력 중 적어도 하나를 제공하는 RF 듀얼 소스 전력 생성기에 의해 제공되는, RF 전력을 튜닝하는 회로.
  3. 제 1 항에 있어서,
    상기 RF 입력에서 공급된 상기 RF 전력이 저 주파수 컴포넌트 내지 중간 주파수 컴포넌트를 가질 때, 상기 HF 인덕터는 상기 가변 LF/MF 커패시터를 조정할 때 상기 LF/MF 튜닝 회로로부터 상기 HF 튜닝 회로를 효과적으로 절연하는 상기 RF 입력에 고 임피던스를 제공하는, RF 전력을 튜닝하는 회로.
  4. 제 1 항에 있어서,
    상기 RF 입력에서 공급된 상기 RF 전력이 고 주파수 컴포넌트를 가질 때, 상기 LF/MF 인덕터는 상기 가변 HF 커패시터를 조정할 때 상기 HF 튜닝 회로로부터 상기 LF/MF 튜닝 회로를 효과적으로 절연하는 고 임피던스를 상기 RF 입력에 제공하는, RF 전력을 튜닝하는 회로.
  5. 제 1 항에 있어서,
    상기 출력 노드는 튜닝 후에 상기 RF 출력을 대응하는 프로세싱 스테이션에 제공하도록 구성되는, RF 전력을 튜닝하는 회로.
  6. 제 1 항에 있어서,
    상기 가변 LF/MF 커패시터에 커플링되고 상기 가변 LF/MF 커패시터를 조정하도록 구성된 LF/MF 액추에이터;
    상기 가변 LF/MF 커패시터의 값을 결정하기 위해 구성된 LF/MF 절대 인코더;
    상기 가변 HF 커패시터에 커플링되고 상기 가변 HF 커패시터를 조정하기 위해 구성된 HF 액추에이터; 및
    상기 가변 HF 커패시터의 값을 결정하기 위해 구성된 HF 절대 인코더를 더 포함하는, RF 전력을 튜닝하는 회로.
  7. 제 1 항에 있어서,
    상기 LF/MF 인덕터는 저 주파수 내지 중간 주파수 또는 고 주파수에서 동작할 때 상기 LF/MF 튜닝 회로와 상기 HF 튜닝 회로 사이의 절연을 제공하도록 상기 HF 인덕터보다 높은 값을 갖는, RF 전력을 튜닝하는 회로.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020217009958A 2018-09-04 2019-08-28 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration) KR102310300B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217031612A KR20210122324A (ko) 2018-09-04 2019-08-28 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/121,408 US10991550B2 (en) 2018-09-04 2018-09-04 Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
US16/121,408 2018-09-04
PCT/US2019/048658 WO2020051045A1 (en) 2018-09-04 2019-08-28 Modular recipe controlled calibration (mrcc) apparatus used to balance plasma in multiple station system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217031612A Division KR20210122324A (ko) 2018-09-04 2019-08-28 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration)

Publications (2)

Publication Number Publication Date
KR20210041113A KR20210041113A (ko) 2021-04-14
KR102310300B1 true KR102310300B1 (ko) 2021-10-07

Family

ID=69641551

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217009958A KR102310300B1 (ko) 2018-09-04 2019-08-28 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration)
KR1020217031612A KR20210122324A (ko) 2018-09-04 2019-08-28 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration)

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217031612A KR20210122324A (ko) 2018-09-04 2019-08-28 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration)

Country Status (6)

Country Link
US (3) US10991550B2 (ko)
JP (3) JP7254181B2 (ko)
KR (2) KR102310300B1 (ko)
CN (2) CN115602519A (ko)
TW (1) TW202040626A (ko)
WO (1) WO2020051045A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
JP7437981B2 (ja) * 2020-03-06 2024-02-26 東京エレクトロン株式会社 プラズマ処理装置
JPWO2023008448A1 (ko) * 2021-07-30 2023-02-02

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160260584A1 (en) 2015-03-02 2016-09-08 Lam Research Corporation Impedance matching circuit for operation with a kilohertz rf generator and a megahertz rf generator to control plasma processes
US20160343548A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US20170084432A1 (en) 2012-02-22 2017-03-23 Lam Research Corporation Multiple control modes
US20170365907A1 (en) 2016-06-17 2017-12-21 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4217573B2 (ja) 2003-09-25 2009-02-04 シャープ株式会社 4相電力分配器および4相電力合成器および高周波通信装置
CN107093995A (zh) * 2008-04-25 2017-08-25 维斯普瑞公司 可调谐匹配网络电路拓扑选择
KR101002624B1 (ko) 2008-10-13 2010-12-20 서울시립대학교 산학협력단 고주파 전력 분배기
KR20100078589A (ko) 2008-12-30 2010-07-08 주식회사 동부하이텍 전력 분배기
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US20110209995A1 (en) 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
US8655299B2 (en) * 2010-06-03 2014-02-18 Broadcom Corporation Saw-less receiver with RF frequency translated BPF
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
KR101351693B1 (ko) 2012-11-26 2014-01-15 주식회사 에이알디텍 모놀리식 집적회로를 채용하는 고주파 전력 분배기
US9236892B2 (en) * 2013-03-15 2016-01-12 Dockon Ag Combination of steering antennas, CPL antenna(s), and one or more receive logarithmic detector amplifiers for SISO and MIMO applications
US9831071B2 (en) 2013-05-09 2017-11-28 Lam Research Corporation Systems and methods for using multiple inductive and capacitive fixtures for applying a variety of plasma conditions to determine a match network model
US9008601B2 (en) * 2013-07-26 2015-04-14 Broadcom Corporation Single differential-inductor VCO with implicit common-mode resonance
US11082014B2 (en) * 2013-09-12 2021-08-03 Dockon Ag Advanced amplifier system for ultra-wide band RF communication
US9876480B2 (en) * 2013-10-22 2018-01-23 Infineon Technologies Ag System and method for a tunable capacitance circuit
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10431424B2 (en) * 2015-02-18 2019-10-01 Reno Technologies Inc. Parasitic capacitance compensation circuit
US10340879B2 (en) * 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) * 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US10043690B2 (en) * 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
WO2017043155A1 (ja) * 2015-09-09 2017-03-16 株式会社村田製作所 周波数可変lcフィルタ、高周波フロントエンド回路
US10044338B2 (en) * 2015-10-15 2018-08-07 Lam Research Corporation Mutually induced filters
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations
US9872373B1 (en) * 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CA3054684A1 (en) * 2017-03-01 2018-09-07 Scanmed, Llc Dual tuned mri resonator, coil package, and method
US10546724B2 (en) * 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load
US10396601B2 (en) * 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US10269540B1 (en) * 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US10304663B1 (en) * 2018-07-19 2019-05-28 Lam Research Corporation RF generator for generating a modulated frequency or an inter-modulated frequency
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170084432A1 (en) 2012-02-22 2017-03-23 Lam Research Corporation Multiple control modes
US20160260584A1 (en) 2015-03-02 2016-09-08 Lam Research Corporation Impedance matching circuit for operation with a kilohertz rf generator and a megahertz rf generator to control plasma processes
US20160343548A1 (en) 2015-05-19 2016-11-24 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US20170365907A1 (en) 2016-06-17 2017-12-21 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations

Also Published As

Publication number Publication date
KR20210122324A (ko) 2021-10-08
CN112997269B (zh) 2022-07-19
US20210202208A1 (en) 2021-07-01
US20230245853A1 (en) 2023-08-03
KR20210041113A (ko) 2021-04-14
JP7389285B2 (ja) 2023-11-29
US11594397B2 (en) 2023-02-28
JP2024020431A (ja) 2024-02-14
US20200075289A1 (en) 2020-03-05
JP2023052669A (ja) 2023-04-11
US10991550B2 (en) 2021-04-27
WO2020051045A1 (en) 2020-03-12
JP2022508341A (ja) 2022-01-19
TW202040626A (zh) 2020-11-01
CN115602519A (zh) 2023-01-13
CN112997269A (zh) 2021-06-18
JP7254181B2 (ja) 2023-04-07

Similar Documents

Publication Publication Date Title
KR102310300B1 (ko) 복수의 스테이션 시스템에서 플라즈마의 밸런싱을 위해 사용된 mrcc (modular recipe controlled calibration)
US11258421B2 (en) Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
US11183406B2 (en) Control of wafer bow in multiple stations
CN107093547B (zh) 用于半导体制造的陶瓷基座的公共端子加热器
CN112868084B (zh) 衬底处理系统和用于操作衬底处理系统的方法
US20230246624A1 (en) Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
US20220319810A1 (en) Filter box for a substrate processing system
WO2022072370A1 (en) High temperature pedestal with extended electrostatic chuck electrode
KR20210109640A (ko) 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템
CN114761616A (zh) 具有集成式rf滤波器的衬底支撑件

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant