JP2023052669A - 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置 - Google Patents

複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置 Download PDF

Info

Publication number
JP2023052669A
JP2023052669A JP2023010576A JP2023010576A JP2023052669A JP 2023052669 A JP2023052669 A JP 2023052669A JP 2023010576 A JP2023010576 A JP 2023010576A JP 2023010576 A JP2023010576 A JP 2023010576A JP 2023052669 A JP2023052669 A JP 2023052669A
Authority
JP
Japan
Prior art keywords
circuit
capacitor
power
variable
mrcc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2023010576A
Other languages
English (en)
Other versions
JP7389285B2 (ja
Inventor
ジュコ・エラー・ワイ.
Y Juco Eller
リーサー・カール・フレデリック
Frederick Leeser Karl
フレンチ・デヴィッド
French David
カプール・サニル
kapoor Sunil
ビンガム・アーロン
Bingham Aaron
メッツ・デヴィッド・アラン
Alan Metz David
ヘルツィヒ・ブレット
Herzig Brett
ヒエスター・ジェイコブ・エル.
L Hiester Jacob
ナイト・ブライアン
Knight Brian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023052669A publication Critical patent/JP2023052669A/ja
Priority to JP2023194898A priority Critical patent/JP2024020431A/ja
Application granted granted Critical
Publication of JP7389285B2 publication Critical patent/JP7389285B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】半導体処理システムにおける複数のステーション全体で、プラズマを平準化するために使用されるモジュール式レシピ制御較正(MRCC)装置を提供する。【解決手段】RF電力を調整する回路を含む。この回路は、低周波数(LF)/中周波数(MF)インダクタと直列に結合された可変LF/MFコンデンサを含む低周波数から中周波数の(LF/HF)調整回路を含む。LF/MF調整回路は、接地と、RF入力を受け取るように構成された共通ノードとの間に結合される。この回路は、接地と共通ノードとの間に、LF/MF調整回路と並列に結合された高周波数(HF)調整回路を含む。HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含む。可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。【選択図】図4B

Description

本実施形態は、半導体基板処理機器ツール、より具体的には、半導体処理システムにおける複数のステーション全体で、プラズマを平準化するために使用されるモジュール式レシピ制御較正(MRCC)装置に関する。
堆積を実行するものを含むマルチステーション半導体処理システムでは、入力RF電力は分割され、複数のステーションに分配される。特に、入力RF電力は、すべてのステーションに対して1つのモジュールまたはボックスを使用して分割される。つまり、RF電力を分割した後は、ステーションごとに利用可能な調整を行うことはできない。単一の制御ボックスを使用すると、各ステーションに供給されるRF電力を制御して、(平準化された、または平準化されていない)任意の望ましい電力分配を達成することは不可能である。
それに加えて、RF電力を分割するために使用されるモジュール内のリアルエステートは制限されてよく、モジュールは、入力RF電力を分割し、複数のステーションに分配する。これは、クリアランスと沿面距離の制限を満たすことがますます困難になり、それによって内部回路内でアークが発生するリスクが生じるため、問題となり得る。
さらに、現在の技術は、直列素子を使用して、低周波数から中周波数のRF電力および/または高周波数RF電力を介して供給される出力RF電力を調節調整する。しかしながら、トポロジの性質上、出力RF電力を調整する場合、調整に使用される回路素子間の絶縁はない。つまり、低周波数から中周波数のRF電力を調整すると、高周波数RF電力に影響があり、逆に、高周波数RF電力を調整すると、低周波数から中周波数のRF電力に影響がある。絶縁の欠如に対応するには、追加の回路素子が必要とされ得る。しかしながら、これには、RF電力の分割に使用されるモジュールの体積を増やす必要があり、これは常に可能であるとは限らない。また、追加の回路は、直列共振のために、非常に高い電圧のリスクを生み出すおそれがある。
それに加えて、現在の技術は、RF電力を分割するために使用されるモジュール内の容量性素子のマニュアル調整を実施し、モジュールは、入力RF電力を分割し、複数のステーションに分配する。しかしながら、容量性素子が設定されると、コンデンサの位置(および値)は、それ以上監視されない。つまり、容量性素子が設定されると、RF電力の能動的な調整はない。さらに、通信が、システムまたは電源サイクルから切断された場合、最後のコンデンサの位置はわからない。
本明細書で提供される背景説明は、本開示の文脈を一般的に示すことを目的とする。現在指名されている発明者の研究は、この背景技術に説明されている限り、出願時に先行技術としてみなされない説明の態様と同様、明示的または暗黙的に、本開示に対する先行技術として認めなくてもよい。
本開示の実施形態が生じるのは、この文脈においてである。
本実施形態は、関連技術に見られる1つまたは複数の問題を解決すること、具体的には、レシピ制御された無線周波数(RF)電力調節を、モジュール方式で、処理チャンバの各ステーションに提供することに関する。本開示のいくつかの発明の実施形態を以下に説明する。
本開示の実施形態は、RF電力を調整する回路を含む。この回路は、低周波数(LF)/中周波数(MF)インダクタと直列に結合された可変LF/MFコンデンサを含む低周波数(LF)から中周波数(MF)の調整回路を含む。LF/MF調整回路は、低周波数範囲で約5kHz(キロヘルツ)から400kHzの間、中周波数範囲で300kHzから3MHz(メガヘルツ)以上で動作するように構成される。LF/MF調整回路は、接地と、RF入力を受け取るように構成された共通ノードとの間に結合される。この回路は、接地と共通ノードとの間に、LF/MF調整回路と並列に結合された高周波数(HF)調整回路を含む。HFインダクタと直列に結合された可変HFコンデンサを含むHF調整回路。可変LF/MFコンデンサを調節する場合、HF調整回路は、LF/MF調整回路から絶縁される。それに加えて、可変HFコンデンサを調節する場合、LF/MF調整回路はHF調整回路から絶縁される。つまり、可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。
本開示の他の実施形態は、RF電力を調整するための装置を含む。この装置は、低周波数から中周波数でLF/MF電力を提供するLF/MF電力生成器と、高周波数でHF電力を提供するHF電力生成器とを含むRFデュアルソース電力生成器を含む。たとえば、LF/MF電力生成器は、その構成に応じて、低周波数範囲で約5kHz(キロヘルツ)から400kHzの間、および/または中周波数範囲で300kHzから3MHz以上(メガヘルツ)の間で動作するように構成される。この装置は、LF/MF電力を受け取り、HF電力を受け取るように構成された分割入力RF(SIRF)分配ボックスを含む。SIRF分配ボックスは、LF/MF電力およびHF電力のうちの少なくとも一方を、1つまたは複数の分割RF入力として、組み合わせて分配するようにさらに構成される。この装置は、1つまたは複数の処理ステーション用の1つまたは複数のモジュール式遠隔制御較正(MRCC)回路を含む。各MRCC回路は、接地と、対応する分割RF入力を受け取るように構成された対応する共通ノードとの間に、HF調整回路に並列に結合されたLF/MF調整回路を含む。LF/MF調整回路は、LF/MFインダクタと直列に結合された可変LF/MFコンデンサを含み、LF/MF調整回路は、接地と、対応する共通ノードとの間に結合される。HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含み、HF調整回路は、接地と、対応する共通ノードとの間に結合される。対応する共通ノードは、調整後に、対応するステーションに、対応するRF出力を提供するように構成される。可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。
本開示の別の実施形態は、ウェーハ上に膜を堆積するためのプロセスチャンバで使用するためのアセンブリを含む。アセンブリは、低周波数から中周波数でLF/MF電力を提供するLF/MF生成器と、高周波数でHF電力を提供するHF電力生成器とを含むRFデュアルソース電力生成器を含む。たとえば、LF/MF電力生成器は、その構成に応じて、低周波数範囲で約5kHz(キロヘルツ)から400kHzの間、および/または中周波数範囲で300kHzから3MHz以上(メガヘルツ)の間で動作するように構成される。アセンブリは、LF/MF電力を受け取り、HF電力を受け取るように構成された分割入力RF(SIRF)分配ボックスを含む。SIRF分配ボックスはさらに、LF/MF電力およびHF電力のうちの少なくとも一方を、第1の分割RF入力、第2の分割RF入力、第3の分割RF入力、および第4の分割RF入力として組み合わせて分配するように構成される。アセンブリは、第1の処理ステーション用の第1のMRCC回路、第2の処理ステーション用の第2のMRCC回路、第3の処理ステーション用の第3のMRCC回路、および第4の処理ステーション用の第4のMRCC回路を含む。各MRCC回路は、接地と、対応する分割RF入力を受け取るように構成された対応する共通ノードとの間に、HF調整回路に並列に結合されたLF/MF調整回路を含む。LF/MF調整回路は、LF/MFインダクタと直列に結合された可変LF/MFコンデンサを含み、LF/MF調整回路は、接地と、対応する共通ノードとの間に結合される。HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含み、HF調整回路は、接地と、対応する共通ノードとの間に結合される。対応する共通ノードは、調整後、対応するステーションに、対応するRF出力を提供するように構成される。可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。
これらおよび他の利点は、明細書および特許請求の範囲の全体を読むことで、当業者によって理解されるであろう。
実施形態は、添付図面と併せて採用される以下の説明を参照することによって最もよく理解されて差し支えない。
図1Aは、本開示の1つの実施形態にしたがって、ウェーハを処理して、たとえば、その上に膜を形成するために使用されるペデスタルへのRF電力の印加を示す基板処理システムを示す図である。
図1Bは、本開示の1つの実施形態にしたがって、ウェーハを処理して、たとえば、その上に膜を形成するために使用されるシャワーヘッドへのRF電力の印加を示す基板処理システムを示す図である。
図2は、本開示の1つの実施形態にしたがって、4つの処理ステーションが提供されるマルチステーション処理ツールの上面図である。
図3は、本開示の1つの実施形態にしたがって、インバウンドロードロックおよびアウトバウンドロードロックを備えたマルチステーション処理ツールの実施形態の概略図である。
図4Aは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配の自動平準化のために構成された例示的な化学気相成長(CVD)システムを示す図である。
図4Bは、1つの実施形態にしたがって、1つまたは複数のモジュール式遠隔制御較正(MRCC)システムを使用する、複数のステーションへのRF電力の分配の自動平準化を示す図である。
図5Aは、本開示の1つの実施形態にしたがって、低周波数から中周波数の調整回路および高周波数調整回路を含む、RF電力の自動調整のために構成されたMRCC回路の図である。
図5A-1は、本開示の1つの実施形態にしたがって、図5AのMRCC図のLF/MF調整回路とHF調整回路との間の交差並列絶縁を示す図である。
図5Bは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配の自動平準化のために構成されたMRCC回路の高周波数調整回路のコンデンサの値に依存しない低周波数から中周波数の調整回路のコンデンサを調節することによってMRCC回路を使用するRF電力の調整を示す3次元(3D)グラフを示す図である。
図5Cは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配の自動平準化のために構成されたMRCC回路の低周波数から中周波数の調整回路のコンデンサの値に依存しない高周波数調整回路のコンデンサを調節することによってMRCC回路を使用するRF電力の調整を示す3Dグラフを示す図である。
図5Dは、本開示の1つの実施形態にしたがって、複数のステーションに供給されるRF電力を分割するための直列素子を含むレシピ制御された較正システムを示す図である。
図5Eは、本開示の1つの実施形態にしたがって、低周波数から中周波数の調整回路を調節する場合の高周波数調整回路への影響を示す図5Dのレシピ制御された較正システムを使用するRF電力の調整を示す3Dグラフを示す図である。
図5Fは、本開示の1つの実施形態にしたがって、高周波数調整回路を調節する場合の低周波数から中周波数の調整回路への影響を示す図5Dのレシピ制御された較正システムを使用するRF電力の調整を示す3Dグラフを示す図である。
図6は、本開示の1つの実施形態にしたがって、1つまたは複数のMRCCシステムを使用する複数のステーションへのRF電力の分配の自動平準化のために構成されたシステムの斜視図である。
図7Aは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成され、低周波数から中周波数の調整回路または高周波数調整回路のコンデンサと接するためのフローティングモータマウントを含むMRCCシステムの斜視図である。
図7Bは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成されたMRCCシステムのクラムシェル外形の斜視図である。
図7Cは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成されたMRCCシステムのクラムシェル外形と、クラムシェル外形によって囲まれているMRCCシステムの内部構成要素とを例示する処理ステーションへのRF電力の調整のために構成されたMRCCシステムの斜視図である。
図8は、上記のシステムを制御するための制御モジュールを示す図である。
以下の詳細な説明は、例示の目的で多くの特定の詳細を含むが、当業者は、以下の詳細に対する多くの変形および変更が、本開示の範囲内にあることを理解するであろう。したがって、以下に説明する本開示の態様は、この説明に続く特許請求の範囲に、一般性を失うことなく、限定を課すことなく説明されている。
一般的に言えば、本開示の様々な実施形態は、複数のステーションへのRF電力の平準化された分配を提供するシステムおよび方法を説明する。特に、プラズマ化学気相成長(PECVD)マルチステーションプラズマモジュールは、RFエネルギを使用して、ウェーハ(たとえば、300mmウェーハなど)に膜を堆積またはエッチングする。各ステーションは、高周波数のみ、高周波数と、低周波数から中周波数のエネルギの組合せ、またはそれらの組合せのいずれかで供給される。プラズマの性質上、そのインピーダンスは動的であるため、プラズマに供給されるRF電力を平準化するために、ステーションへのRF電力を能動的に調整する必要がある。つまり、(負荷として機能する)プラズマのインピーダンスは、RF電力の供給に影響を与える。実施形態では、モジュール式遠隔制御較正(MRCC)システムは、マルチステーションプラズマシステムにおけるステーションのおのおのへ、RF電力の平準化された分配を達成する。
本開示の実施形態のRF電力供給システムの利点は、マルチステーション処理システムの各ステーションへのRF電力の供給および調節へのモジュール式アプローチを含む。特定のステーションにおけるプラズマのインピーダンスは絶えず変化しているため、複数のステーションに供給するためにRF電源から入力RF電力を分割しても、平準化された電力は得られない。各ステーションにおいて(たとえば、実施形態のMRCC回路/モジュールを介して)RF電力調整能力を提供することにより、電力供給を、必要に応じて増加または減少させることができる。このように、各ステーションへの電力および/または電圧の個別の制御が提供される。それに加えて、本開示の実施形態は、LF/MF周波数またはHF周波数のいずれかを調節する場合、RF電力調節の絶縁を提供する。特に、MRCCモジュールは、1つは低周波数から中周波数の調節用で、もう1つは高周波数調節用である、2つの並列回路を使用して、負荷(たとえば、プラズマ)のインピーダンスを変化させる。これらの並列回路は、一方の周波数を調節しても、もう一方の周波数が影響を受けないように設計される。さらに、本開示の実施形態は、アブソリュートエンコーダを使用して、各コンデンサの位置を追跡する。そのようにして、コンデンサの位置情報は失われない。それに加えて、本開示の実施形態は、アセンブラが、モジュールの3つの側面の内部構成要素にアクセスすることを可能にする、MRCCモジュールのクラムシェル設計を使用する。これにより、組立時間が短縮され、構築コストが削減される。さらに、本開示の実施形態は、フローティングモータマウントを使用して、コンデンサと、コンデンサを回転させるアクチュエータとの間の軸方向の誤整列に対抗する。これにより、誤整列によるコンデンサの焼き付きを防ぐ。
様々な実施形態の上記の一般的な理解により、実施形態の例示的な詳細が、様々な図面を参照して説明される。1つまたは複数の図面において同様の番号が付けられた要素および/または構成要素は、一般に、同じ構成および/または機能を有することを意図される。さらに、図面は、一定の縮尺で描かれていなくてよいが、新しい概念を説明および強調することを意図される。本実施形態は、これらの特定の詳細の一部またはすべてが無くても実施してよいことが明らかであろう。他の例では、本実施形態を不必要に不明瞭にしないために、周知のプロセス動作は詳細に説明されていない。
本開示の実施形態は、プラズマ化学気相成長(PECVD)および原子層堆積(ALD)プロセスで使用されるものなどのプラズマプロセスモジュールにおける電力供給に関する。本開示の実施形態は、様々なプロセスモジュール構成で実施されてよい。さらに、本開示の実施形態は、本明細書で提供される例に限定されず、異なる構成、形状、およびプラズマ生成技術を適用する異なるプラズマ処理システム(たとえば、誘導結合システム、容量結合システム、電子サイクロトロン共鳴システム、マイクロ波システムなど)で実現されてよい。プラズマ処理システムおよびプラズマ処理モジュールの例は、共同所有されている米国特許第8,862,855号、第8,847,495号、第8,485,128号、および米国特許出願第15/369,110号に開示される。
図1Aは、反応器システム100Aを示しており、これは、CVD(たとえば、PECVD)または原子層堆積(ALD)プロセスで形成されたものなど、基板上に膜を堆積するために使用されてよい。膜の堆積は、好ましくは、PECVDシステムで実施される。図1Aの構成に示されるように、RF電力は、ペデスタル140に供給されるが、他の実施形態では、電力は、シャワーヘッドを介するなど、他の手法で供給されてよい。これらの反応器は、2つ以上のヒータを利用してよく、この例示的な反応器では、共通のターミナル構成を使用して、均一性またはカスタム設定のために温度を制御してよい。より具体的には、図1Aは、ウェーハ101を処理するために使用される基板処理システム100Aを示す。このシステムは、下部チャンバ部102bおよび上部チャンバ部102aを有するチャンバ102を含む。中央支柱は、1つの実施形態では電力供給電極であるペデスタル140を支持するように構成される。ペデスタル140は、マッチネットワーク106を介してRF電源104に電気的に結合される。電源は、制御モジュール110、たとえば、コントローラによって制御される。制御モジュール110は、プロセス入力および制御108を実行することによって、基板処理システム100Aを動作させるように構成される。プロセス入力および制御108は、電力レベル、タイミングパラメータ、プロセスガス、ウェーハ101の機械的な動きなどのプロセスレシピを含んでよく、たとえば、ウェーハ101上に膜を堆積または形成する。
基板処理システム100Aは、複数の処理ステーションを含んでよい。たとえば、チャンバ102は、複数の処理ステーションを含んでよく、各ステーションは、ウェーハ101を支持するペデスタルを有する。マッチングネットワーク106によって供給されるRF電力および周波数は、分配システム420によって分割および分配される。各ステーションに供給されるRF電力の量を調節するために、1つまたは複数のMRCCチューナ415が、MRCCチューナ415とステーションとの間に1対1の関係で提供される。たとえば、1つまたは複数のMRCCチューナ415は、1つの実施形態では、各ステーションが、等しい量のRF電力を受け取るように、各ステーション間で平準化された調整を提供するように構成できる。別の実施形態では、1つまたは複数のMRCCチューナ415は、ステーションのおのおのに、所望のRF電力を提供するように構成することができ、ステーションのおのおのに供給される電力は、必ずしも等しい必要はない。
1つの方法は、既知の良好な構成要素およびシステムを用いてシステムを較正することを含む。電圧プローブ(たとえば、VIプローブ417)を使用して、フィードバックが、対応するMRCCチューナ(たとえば、チューナ415)に戻され、対応するステーションへのRF電力供給を調節する方法を決定する。たとえば、1つのVIプローブ417は、対応するステーションの電流および電圧を決定するために使用される。このように、動作中、VIプローブ107は、プラズマのインピーダンスの変化による電圧変動を測定でき、処理中、ステーションへのRF電力の所望の供給を達成するために、RF電力を能動的に調節できる。
中央支柱はまた、リフトピン(図示せず)を含み、これらのおのおのは、リフトピン制御122によって制御されるように、対応するリフトピン作動リング120によって作動される。リフトピンは、ペデスタル140からウェーハ101を持ち上げて、エンドエフェクタが、ウェーハを拾い上げることを可能にし、エンドエフェクタによって配置された後にウェーハ101を下げるために使用される。基板処理システム100Aはさらに、プロセスガス114、たとえば、施設からのガス化学供給に接続されたガス供給マニホールド112を含む。実行される処理に応じて、制御モジュール110は、ガス供給マニホールド112を介して、プロセスガス114の供給を制御する。選択されたガスは、その後、シャワーヘッド150に流し込まれ、そのウェーハ101に面するシャワーヘッド150の面と、ペデスタル140上にあるウェーハ101との間に画定された空間体積に分配される。ALDプロセスでは、ガスは、吸収、または吸収された反応物との反応のために選択された反応物である可能性がある。
さらに、ガスは、予混合されても、されなくてもよい。適切なバルブおよびマスフロー制御メカニズムを適用して、プロセスの堆積およびプラズマトリートメント段階中に、正しいガスが確実に供給されるようにしてよい。プロセスガスは、出口からチャンバを出る。真空ポンプ(たとえば、1段または2段の機械式ドライポンプおよび/またはターボ分子ポンプ)は、プロセスガスを引き出し、スロットルバルブまたは振り子バルブなど、閉ループ制御の流量制限デバイスにより、反応器内を適切に低圧力に維持する。
また、ペデスタル140の外側領域を取り囲むキャリアリング200も示される。キャリアリング200は、ペデスタル140の中心にあるウェーハ支持領域からステップダウンした、キャリアリング支持領域上に位置するように構成される。キャリアリングは、そのディスク構造の外縁側、たとえば、外半径と、ウェーハ101が位置する場所に最も近いそのディスク構造のウェーハ縁側、たとえば、内半径とを含む。キャリアリングのウェーハ縁側は、キャリアリング200がスパイダフォーク180によって持ち上げられたときにウェーハ101を持ち上げるように構成された複数の接触支持構造を含む。したがって、キャリアリング200は、ウェーハ101とともに持ち上げられ、たとえば、マルチステーションシステムにおいて、別のステーションへ回転される。他の実施形態では、チャンバは、単一のステーションチャンバである。
図1Bは、本開示の1つの実施形態にしたがって、ウェーハを処理して、たとえば、その上に膜を形成するために使用されるシャワーヘッドへのRF電力の印加を示す基板処理システム100Bを示す。反応器システム100Bは、CVD(たとえば、PECVD)または原子層堆積(ALD)プロセスで形成されたもののような膜を、基板上に堆積するために使用されてよい。図1Bの構成に示されるように、RF電力は、シャワーヘッド150に供給されるが、他の実施形態では、電力は、図1Aにおけるようなペデスタル140を介するなど、他の手法で供給されてよい。
基板処理システム100Bは、RF電力の供給場所を除いて、システム100Aと同様である。同様の番号の要素は、同様の機能を実行し、同様の構成を有する。たとえば、システム100Bは、下部チャンバ部102bおよび上部チャンバ部102aを有するチャンバ102を含む。中央支柱は、1つの実施形態では接地された電極であるペデスタル140を支持するように構成される。
シャワーヘッド150は、RFマッチネットワーク106を介して電源(たとえば、1つまたは複数のRF電力生成器50)に電気的に結合される。電源は、制御モジュール110、たとえば、コントローラによって制御される。制御モジュール110は、前述したように、プロセス入力および制御108を実行することによって、基板処理システム100Aを動作させるように構成される。実行される処理に依存して、制御モジュール110は、ガス供給マニホールド112を介したプロセスガス114の供給を制御する。選択されたガスは、その後、シャワーヘッド150に流し込まれ、ウェーハ101に面するシャワーヘッド面と、ペデスタル140上にあるウェーハとの間に画定された空間体積に分配される。
基板処理システム100Bは、複数の処理ステーションを含んでよい。たとえば、チャンバ102は、複数の処理ステーションを含んでよく、各ステーションは、ウェーハ101を支持するためのペデスタルを有する。RFマッチネットワーク106は、システム100Bに電力を供給するRF分配システム420に結合されてよい。たとえば、マッチングネットワーク106によって供給されるRF電力および周波数は、分配システム420によって分割され、ステーションのおのおのに分配される。また、ステーションに供給されるRF電力は、動作中にシャワーヘッドの電圧を感知するVIプローブ417を通過する。そのようにして、RF電力は、平準化された電力供給のために、または所望の電力供給のために、各ステーションにおいて調節されてよい。
各ステーションに供給されるRF電力の量を調節するために、1つまたは複数のMRCCチューナ415が、MRCCチューナ415とステーションとの間に1対1の関係で提供される。たとえば、1つまたは複数のMRCCチューナ415は、1つの実施形態では、各ステーションが、等しい量のRF電力を受け取るように、ステーションのおのおのの間で平準化された調整を提供するように構成できる。別の実施形態では、1つまたは複数のMRCCチューナ415は、ステーションのおのおのに、所望のRF電力を提供するように構成でき、ステーションのおのおのに供給される電力は、必ずしも等しくなる必要はない。
図2は、4つの処理ステーションが提供されるマルチステーション処理ツールの上面図を示す。この上面図は、(たとえば、例示のために、上部チャンバ部102aが取り外されている)下部チャンバ部分102bのものであり、4つのステーションは、スパイダフォーク226によってアクセスされる。各スパイダフォーク、またはフォークは、第1および第2のアームを含み、アームのおのおのは、ペデスタル140の各側の一部の周りに配置される。この図では、スパイダフォーク226は、それらがキャリアリング200の下にあることを伝えるために破線で描かれている。係合および回転機構220を使用するスパイダフォーク226は、キャリアリング200をステーションから同時に(すなわち、キャリアリング200の下面から)上げて、持ち上げ、その後、(キャリアリングのうちの少なくとも1つがウェーハ101を支持するところである)キャリアリング200を、次の位置に下げる前に、少なくとも1つまたは複数のステーションを回転させるように構成され、それにより、さらなるプラズマ処理、トリートメント、および/または膜堆積が、それぞれのウェーハ101上で生じ得る。
図3は、インバウンドロードロック302およびアウトバウンドロードロック304を備えたマルチステーション処理ツール300の実施形態の概略図を示す。大気圧において、ロボット306は、ポッド308を介してロードされたカセットから、大気ポート310を介してインバウンドロードロック302に基板を移動するように構成される。インバウンドロードロック302は、真空源(図示せず)に結合されているので、大気ポート310が閉じられた場合に、インバウンドロードロック302はポンプダウンされてよい。インバウンドロードロック302はまた、処理チャンバ102bと接するチャンバ輸送ポート316を含む。したがって、チャンバ輸送316が開かれた場合、別のロボット(図示せず)が、処理のために、基板を、インバウンドロードロック302から、第1のプロセスステーションのペデスタル140に移動させてよい。
図示された処理チャンバ102bは、図3に示される実施形態では1から4まで番号が付けられた4つのプロセスステーションを含む。いくつかの実施形態では、処理チャンバ102bは、低圧環境を維持するように構成されてよく、これによって、基板は、真空破壊および/または空気曝露を受けることなく、プロセスステーション間でキャリアリング200を使用して移送されてよい。図3に示される各プロセスステーションは、(ステーション1について318において示される)プロセスステーション基板ホルダおよびプロセスガス供給ライン入口を含む。
図3はまた、処理チャンバ102b内で基板を移送するためのスパイダフォーク226を示す。スパイダフォーク226は回転し、あるステーションから別のステーションへのウェーハの移送を可能にする。移送は、スパイダフォーク226がキャリアリング200を外側の下面から持ち上げることを可能にすることによって起こり、これによって、ウェーハを持ち上げ、ウェーハとキャリアとをともに次のステーションに回転させる。1つの構成では、スパイダフォーク226は、処理中の高レベルの熱に耐えるために、セラミック材料から作られる。
図4Aは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の所望の分配(たとえば、自動平準化、加重分配など)のために構成された例示的なマルチステーションプラズマシステム400A(たとえば、化学蒸着システム)を示す。PECVDマルチステーションプラズマシステムは、RFエネルギを使用して、1つまたは複数のウェーハに、膜を堆積またはエッチングする。
たとえば、マルチステーションプラズマシステム400Aは、各ステーションのプラズマ反応器(動的であることが知られている)間の分配を平準化するために、各ステーションのRF電力の能動的調整を提供する。示されるように、マルチステーションプラズマシステム400は、高周波数RF電力を生成するためのHF生成器401と、低周波数から中周波数のRF電力を生成するためのLF/MF生成器405とを含む、RF生成システムを含む。高周波数電力は、高周波数(たとえば、約13.56MHz、10~20MHzの範囲、5~50MHzの範囲、5~100MHzの範囲)で動作する。低周波数電力は、低周波数(たとえば、360kHzから440kHzの範囲、200kHzから700kHzの範囲、および100kHzから900kHzの範囲)で動作する。中周波数電力は、中周波数(たとえば、200kHzから500kHzの範囲、400kHzから800kHzの範囲、500kHzから1MHzの範囲、800kHzから2MHzの範囲、および1.5MHzから3.5MHzの範囲)で動作する。各生成器は、複数の分配チャネルに分割され、各チャネルは、プラズマ反応器(たとえば、ステーション)に電力を供給する。各ステーションにおける消費電力は、各反応器の状態(たとえば、動的であるプラズマインピーダンス)によって異なる。たとえば、高周波数RF生成器401は、マッチングネットワーク402に接続される。マッチングネットワーク402によって供給される電力および周波数は、分割入力無線周波数(SIRF)分配システム410に供給される。また、低周波数から中周波数のRF生成器405は、マッチングネットワーク406に接続される。マッチングネットワーク406によって供給される電力および周波数は、SIRF分配システム410に供給される。
SIRF分配システム410は、高周波数RF電力および低周波数から中周波数のRF電力のおのおのを分割し、チャネル(たとえば、1つからN個のチャネル)に分配する。各ステーションは、高周波数RF電力のみ、低周波数から中周波数のRF電力のみ、または高周波数RF電力と、低周波数から中周波数のRF電力との組合せ、のいずれかをソースとされる。1つの実施形態では、SIRF分配システム410のRF電力出力は、チャネルのおのおのに均等に分配される。
図4Aに示されるように、各チャネルは、容量結合プラズマ(CCP)反応器に電力を提供する。たとえば、第1のチャネルは、ステーション1のペデスタル425-1を囲む反応器に電力を提供し、第2のチャネルは、ステーション2のペデスタル425-2を囲む反応器に電力を提供し、第Nのチャネルは、ステーションNのペデスタル425-Nを囲む反応器に電力を提供する。消費電力は、対応するステーションの反応器の状態によって異なる。CCP反応器は動的であることが知られているので、本開示の実施形態は、反応器間の分配を平準化するために、または各ステーションに所望の電力レベルを提供するために、各ステーションへのRF電力の能動的調整を提供する。特に、各チャネルは、対応するチャネルを介して、対応するステーションに供給されるRF電力を調整するように構成されたMRCCチャネルを含む。さらに、各チャネルは、対応するステーションに供給されるRF電力の電圧を測定するためのVIプローブ417を含む。その電圧は、ステーションに供給される適切な電圧および/または電力を決定するためのフィードバックとして使用されてよい。つまり、1つの実施形態では、MRCCチューナを、対応するVIプローブに接続することによって、そして十分なロジックを用いて、マルチステーションRF自動マッチングを実行して、ステーション電力を能動的に平準化してよい。また、平準化された分配の代わりに、所望のおよび/または較正された不平準も達成されて差し支えない。たとえば、第1のチャネルでは、VIプローブ417Aは、MRCCチューナ415Aによってステーション1に供給されるRF電力を測定するように構成され、第2のチャネルでは、VIプローブ417Bは、MRCCチューナ415Bによってステーション2に供給されるRF電力を測定するように構成され、第Nのチャネルでは、VIプローブ417Nは、MRCCチューナ415NによってステーションNに供給されるRF電力を測定するように構成される。
図4Aに示すように、各ステーションは、1つまたは複数のフィルタボックスで構成される。一般に、ペデスタルの中央支柱を介して、様々な構成要素(たとえば、ヒータと、ヒータの温度を測定するために、熱電対から電圧信号を受信するコントローラなど)に交流(AC)または直流(DC)電力を供給する電源は、RF電力(低周波数から中周波数および/または高周波数)をACまたはDC電力と結合させるチャネル部分の前後のポイントにおいて、フィルタボックス(たとえば、RFフィルタ)を使用することにより、中央支柱からも供給されるRF電力から保護される。たとえば、高周波数RF電力が、ステーションに供給された(たとえば、ペデスタルの中央支柱を介してチャックに供給された)場合、中央支柱にも存在する他の任意の電気回路構成からの高周波数RF電力を絶縁するために、高周波数フィルタボックス430も提供される。たとえば、ペデスタルの中央支柱も通過するACまたはDC経路ラインを使用して、ペデスタルのチャック内の発熱素子を制御してよい。このように、高周波数フィルタボックス430は、AC経路ラインを、中央支柱を介して供給される高周波数RF電力から絶縁する。同様に、低周波数から中周波数のフィルタボックス435は、AC経路ラインを、中央支柱を介して供給される低周波数から中周波数のRF電力から絶縁する。より具体的には、高周波数フィルタボックス430Aは、高周波数RF電力を絶縁し、低周波数から中周波数のフィルタボックス435Aは、ステーション1に供給される低周波数から中周波数のRF電力を絶縁し、高周波数フィルタボックス430Bは、高周波数RF電力を絶縁し、低周波数から中周波数のフィルタボックス435Bは、ステーション2に供給される低周波数から中周波数のRF電力を絶縁し、・・・高周波数フィルタボックス430Nは、高周波数RF電力を絶縁し、低周波数から中周波数のフィルタボックス435Nは、ステーションNに供給される低周波数から中周波数のRF電力を絶縁する。
図4Bは、1つの実施形態にしたがって、1つまたは複数のMRCCシステムを使用するクワッドステーションモジュール(QSM)プラズマ処理システムへの、RF電力の分配、またはRF電力の所望のまたは加重分配の自動平準化のために構成されたRF電力システム400Bのブロック図を示す。システム400Bは、図4Aのマルチステーションプラズマシステム400A内で実施できる。
複数の電力生成器が提供される。たとえば、RFデュアルソース電力生成器は、LF/HF電力を提供する低周波数から中周波数のRF電力生成器405と、高周波数でHF電力を提供する高周波数RF電力生成器401とを含んでよい。
それに加えて、分割入力RF(SIRF)分配ボックス420は、低周波数から中周波数のRF電力生成器405から、LF/MF電力を受け取り、高周波数RF電力生成器401からHF電力を受け取るように構成される。SIRF分配ボックス420は、LF/MF電力およびHF電力のうちの少なくとも一方を、1つまたは複数の分割RF出力として組み合わせて分配するようにさらに構成され、これら電力のおのおのは、対応する分割RF入力として、対応するMRCCモジュール415に提供される。たとえば、SIRF分配ボックス420は、ステーション1に、調節されたRF電力を提供するMRCCチューナ415AにRF出力1(455A)を提供し、ステーション2に、調節されたRF電力を提供するMRCCチューナ415BにRF出力2(455B)を提供し、ステーション3に、調節されたRF電力を提供するMRCCチューナ415CにRF出力3(455C)を提供し、ステーション4に、調節されたRF電力を提供するMRCCチューナ415DにRF出力4(455D)を提供する。
このように、RF電力システム400Bの4つのMRCCチューナは、各MRCCチューナ415が、RF入力およびRF出力を有するように、SIRF分配ボックス420からRF電力(たとえば、RF出力)を、内部RF入力として提供する。つまり、各MRCCチューナは、対応するステーションのSIRF RF出力に接続されたRF入力を有する。また、各MRCCチューナは、ペデスタルまたはシャワーヘッドのいずれかのステーションに提供される1つのRF出力を有する。たとえば、MRCCチューナ415Aは、ステーション1のペデスタルまたはシャワーヘッド420Aに調整されたRF電力1を提供し、MRCCチューナ415Bは、ステーション2のペデスタルまたはシャワーヘッド420Bに調整されたRF電力2を提供し、MRCCチューナ415Cは、ステーション3のペデスタルまたはシャワーヘッド420Cに調整されたRF電力3を提供し、MRCCチューナ415Dは、ステーション4のペデスタルまたはシャワーヘッド420Dに調整されたRF電力4を提供する。1つの実施形態では、各ステーションに供給されるRF電力は、平準化されている、および/または、ほぼ等しい)。別の実施形態では、各ステーションに供給されるRF電力は、所望の分配にしたがって平準化されていない。
それに加えて、MRCCコントローラ450は、MRCCチューナ415A~415Dのおのおのの動作を制御する(たとえば、平準化された分配、手動分配、説明された分配などのための設定を提供する)。たとえば、MRCCコントローラ450は、対応する可変コンデンサの値を調節するための制御信号を提供してよく、可変コンデンサを調節することによって、対応するMRCCチューナのRF電力出力を調節できる。
特に、各MRCCチューナ415は、以下の図5Aに説明されるように、2つの並列回路経路を有するRF回路を含む。各並列回路経路は、LF/MFまたはHFのいずれかの基本動作周波数を超える共振を伴う直列共振回路を含む。さらに、両並列回路は、それぞれ可変コンデンサの値を調節することにより、LF/MFまたはHFのいずれかの電力を調節するように設計される。調節に対する電力応答は、ステーションのプラズマ負荷インピーダンスに依存する。
図5Aは、RF電力の自動調整のために構成されたMRCC回路図500Aであり、MRCC回路は、本開示の1つの実施形態にしたがって、低周波数から中周波数の調整回路および高周波数調整回路を含む。MRCC回路図500は、最小の高周波数および低周波数から中周波数のクロストークを伴う広い調整範囲を提供する広い静電容量範囲を有する。示されるように、MRCC回路500Aは、接地と、対応する分割RF入力を提供するように構成された対応する共通ノード510との間に、HF調整回路702に並列に結合されたLF/MF調整回路701を含む(たとえば、RF入力として内部的に提供されるSIRF分配ボックス420から出力される分割RF出力を受け取る)。並列回路は、調整された場合、負荷のインピーダンスを変化させる。特に、MRCC回路図500AをRF経路に挿入することは、対応するステーションに向かうエネルギおよび/または電力を増加または減少させることによって負荷を妨げる。さらに、LF/MF調整回路701およびHF調整回路702は、一方が調節された場合に他方の回路が影響を受けないように設計される。
LF/MF調整回路701は、LF/MFインダクタ520と直列に結合された可変LF/MFコンデンサ530を含む。LF/MF調整回路は、接地と、対応する共通ノード510との間に結合される。1つの実施形態では、LF/MF調整回路701の共振は、動作の基本周波数(LF/MF周波数)を超える。1つの実施形態では、可変LF/MFコンデンサ530は、5~700ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変LF/MFコンデンサ530は、15~650ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変LF/MFコンデンサ530は、100~400ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変LF/MFコンデンサ530は、200~300ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。LF/MF調整回路701は、LF/MFコンデンサ530の値を変化させることによって調整および/または調節される。このように、LF/MF電力は、可変LF/MFコンデンサ530の値を調節することによって調節される。1つの実施形態では、LF/MFインダクタ520は、10から40マイクロヘンリ(uH)の間の範囲内に見られる値を有する。1つの実施形態では、LF/MFインダクタ520は、24マイクロヘンリ(uH)の値を有するが、他の実施形態では、値は異なってよい。
HF調整回路702は、HFインダクタ525と直列に結合された可変HFコンデンサ535を含む。HF調整回路702は、接地と、対応する共通ノードとの間に結合される。1つの実施形態では、HF調整回路702の共振は、動作の基本周波数(HF周波数)を超える。1つの実施形態では、可変HFコンデンサ535は、2~75ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変HFコンデンサ535は、5~50ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変HFコンデンサ535は、10~30ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変HFコンデンサ535は、15~25ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。HF調整回路702は、HFコンデンサ535の値を変化させることによって調整および/または調節される。このように、HF電力は、可変HFコンデンサ535の値を調節することによって調節される。1つの実施形態では、HFインダクタ525は、3から30マイクロヘンリ(uH)の範囲内に見られる値を有する。1つの実施形態では、HFインダクタ525は、5から25マイクロヘンリ(uH)の間の範囲内に見られる値を有する。1つの実施形態では、HFインダクタ525は、16マイクロヘンリ(uH)の値を有するが、他の実施形態では、この値は異なってよい。1つの実施形態では、HFインダクタ525の値は、LF/MFインダクタ520の値よりも小さい。
前述したように、LF/MF調整回路701は、LF/MFコンデンサ530の値を変化させることによって調整および/または調節され、HF調整回路702は、HFコンデンサ535の値を変化させることによって調整および/または調節される。LF/MFコンデンサ530および高周波数コンデンサ535の必要な値は、反応器内のプロセス条件に依存する。たとえば、LF/MF調整回路701および/またはHF調整回路702の調整は、対応する反応器に示される電圧の調整、および/または、対応する反応器に供給される電力の調整を提供する。具体的には、MRCC回路図500Aは、特定のソース周波数に対してインピーダンス変化を提供するために、位相シフタとして複数の並列回路(たとえば、LF/MF調整回路701およびHF調整回路702)を使用する。このように、インピーダンスが変化すると、特定の負荷(ステーション)に供給される電力が変化する。そのようにして、負荷が変化すると(たとえば、プラズマが、処理中に、そのインピーダンスを動的に変化させると)、MRCC回路は、負荷に適切な電力(たとえば、平準化された電力、必要な電力など)を供給するためにインピーダンスを自動的に調整するように構成される。さらに、実施形態では、LF/MFコンデンサ530およびHFコンデンサ535の値は、処理シーケンス全体に対する1つの調整を通じて、または処理シーケンス内の複数の重要なステップにおける調整を通じて、プロセス条件の変化と同期される。
MRCC回路図500Aを調整した後、対応する共通ノード510は、対応するRF出力を、対応するステーションに提供するように構成される。つまり、RF入力が調節され、対応するステーションへのRF出力として提供される。
実施形態では、LF/MF調整回路701およびHF調整回路702は、それらのそれぞれのソース周波数間の干渉を回避するために、絶縁を有するように設計されている。それに加えて、これらの回路は、調整範囲全体における共振を回避し、1つのステーションへの電流の急激な増加を防ぐ。以下は、MRCC回路図500Aのインピーダンス式である。
Figure 2023052669000002
図5A-1は、本開示の1つの実施形態にしたがって、図5AのMRCC図のLF/MF調整回路とHF調整回路との間の交差並列絶縁を示す。特に、可変LF/MFコンデンサを調節する場合、HF調整回路は、LF/MF調整回路から絶縁される。また、可変HFコンデンサを調節する場合、LF/MF調整回路はHF調整回路から絶縁される。具体的には、可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。示されるように、LF/MFコンデンサ530が調節される場合、HF調整回路702の並列回路内のHFインダクタ525は、高いインピーダンスを示すように動作し、それによって、LF/MF調整回路701(たとえば、LF/MFコンデンサ530)が調節される場合、HF調整回路702を絶縁する。また、HFコンデンサ535が調節される場合、LF/MF調整回路701の並列回路内のLF/MFインダクタ520が動作して、高いインピーダンスを示し、それによって、HF調整回路702(たとえば、HFコンデンサ535)が調節される場合、LF/MF調整回路701を絶縁する。つまり、低周波数から中周波数のインダクタ、および高周波数インダクタのおのおのは、反対側の、すなわち交差調整回路の反対側のコンデンサを調節する場合、交差並列絶縁を提供する。
図5Bおよび図5Cは、1KWの入力電力(たとえば、内部RF入力)を有するMRCC回路図500Aを使用して電力を調節する例を示す。説明したように、電力は、LF/MFコンデンサ530およびHFコンデンサ535のうちの1つまたは複数を変化させることによって調節される。図5Bおよび図5Cにおいて、LF/MFコンデンサ530およびHFコンデンサ535の値は、静電容量のために利用可能な合計値の範囲パーセンテージとして表される。特に、図5Bおよび図5Cは、1kWの入力電力で、高周波数のために13.56MHz、低周波数から中周波数のために400kHzを使用したシミュレーション結果を示す。示されるように、図5Bおよび図5Cは、各周波数の回路間の調整可能性および絶縁を示す。
特に、図5Bは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配、またはRF電力の所望の分配の自動平準化のために構成されたMRCC回路の高周波数調整回路のコンデンサ(たとえば、HF調整回路702のHFコンデンサ535)の値とは無関係に、低周波数から中周波数の調整回路のコンデンサ(たとえば、LF/MF調整回路701のLF/MFコンデンサ530)を調節することによって、MRCC回路を使用するRF電力の調整を示す3次元(3D)グラフ500Bを示す。グラフ500Bは、電力を示すZ軸541、LF/MFコンデンサ530の値を示すX軸542、およびHFコンデンサ535の値を示すY軸542を含む。特に、LF/MF調整回路701とHF調整回路702との間の絶縁は、MRCC回路図500Aを調整する場合に達成される。1つの実施形態では、HF調整回路702は、可変LF/MFコンデンサ530を調節する場合、LF/MF調整回路701から絶縁される。たとえば、対応する分割RF入力が、低周波数から中周波数の成分(たとえば、400kHzの低周波数から中周波数)を有する場合、可変LF/MFコンデンサ530を調節する場合、HFインダクタ525は、対応する分割RF入力に対して高いインピーダンスを示し、HF調整回路702をLF/MF調整回路701から効果的に絶縁する。示されるように、LF/MFコンデンサ530の特定の値について、電力レベルは、HFコンデンサ543の値に関係なく一定である。つまり、HFコンデンサ543は、LF/MFコンデンサ530の特定の値に対して値が変化してよいが、電力レベルは一定である。
図5Cは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配の自動平準化のために構成されたMRCC回路の低周波数から中周波数の調整回路のコンデンサの値と独立した高周波数調整回路のコンデンサを調節することによって、MRCC回路を使用するRF電力の調整を示す3Dグラフ500Cを示す。グラフ500Cは、図5Bのグラフ500Bと同じ軸を含み、電力を示すZ軸541と、LF/MFコンデンサ530の値を示すX軸542と、HFコンデンサ535の値を示すY軸542とを含む。特に、LF/MF調整回路701とHF調整回路702との間の絶縁は、たとえば、MRCC回路図500Aを調整する場合に達成される。1つの実施形態では、LF/MF調整回路701は、可変HFコンデンサ535を調節する場合、HF調整回路702から絶縁される。たとえば、対応する分割RF入力が、高周波数成分(たとえば、13.56MHzの高周波数)を有する場合、LF/MFインダクタ520は、対応する分割RF入力に対して高いインピーダンスを示し、可変HFコンデンサ535を調節する場合、LF/MF調整回路701を、HF調整回路702から効果的に絶縁する。示されるように、HFコンデンサ535の特定の値について、電力レベルは、LF/MFコンデンサ530の値に関係なく一定である。つまり、LF/MFコンデンサ530は、HFコンデンサ535の特定の値に対して値が変化してよいが、電力レベルは一定である。
図5D~図5Fは、1つの実施形態において、低周波数RF電力および/または高周波数RF電力を較正するように構成されたレシピ制御較正回路500Dと、較正回路500D内のコンデンサを調節する場合の電力応答を示すシミュレーション結果とを示す。
特に、図5Dは、本開示の1つの実施形態にしたがって、複数のステーションに供給されるRF電力を分割するための直列素子を含むレシピ制御較正回路500Dを示す。回路500Dは、低周波数RF_INを受け取るためのノード1を含む。ノード1は、LFインダクタ501に結合され、LFインダクタ501は、可変LFコンデンサ(LF Cap)502に並列に結合され、その両方がノード2に結合される。ノード2は、低周波数コンデンサ503、504に並列に結合される。並列回路は、低周波数インダクタ505、コンデンサ506、コンデンサ507、およびコンデンサ508を含み、これらはすべて、ノード1とノード2との間に並列に結合される。コンデンサ516は、高周波数RF_INを受け取るように構成され、ノード1とノード4との間に結合される。可変HFコンデンサ(HF Cap)515は、ノード2と接地との間に結合される。インダクタ517は、ノード1とノード5との間に結合され、RF_OUTを提供する。
また、図5Eおよび図5Fは、レシピ制御較正回路500Dが、完全に絶縁されていないことを示唆するシミュレーションを示す。特に、図5Eは、本開示の1つの実施形態にしたがって、低周波数調整回路を調節する場合の高周波数調整回路への影響を示す図5Dのレシピ制御較正システムを使用したRF電力の調整を示す3Dグラフを示す。たとえば、図5Eでは、回路500Dの低周波数成分(たとえば、コンデンサ)が調節される場合、低周波数コンデンサの特定の値において、電力は、高周波数コンデンサの値に応じて変化する。つまり、低周波数成分と高周波数成分とは互いに影響を及ぼし、絶縁されない。同様に、図5Fは、本開示の1つの実施形態にしたがって、高周波数調整回路を調節する場合の低周波数調整回路への影響を示す図5Dのレシピ制御較正システムを使用したRF電力の調整を示す3Dグラフを示す。図5Fにおいて、回路500Dの高周波数成分(たとえば、コンデンサ)が調節される場合、高周波数コンデンサの特定の値において、電力は、低周波数コンデンサの値に応じて変化する。このように、ここでも、低周波数成分と高周波数成分とは互いに影響を及ぼし、絶縁されない。このように、低周波数から中周波数の成分、および高周波数成分は、それぞれの周波数で動作している場合、互いに絶縁されるので、図5Aの回路500Aは、図5Dの回路500Dに対する改善を提供する。
図6は、本開示の1つの実施形態にしたがって、1つまたは複数のMRCC調整システムを使用して、複数のステーションへのRF電力の供給の自動平準化のために構成されたシステム600の斜視図である。示されるように、システム600は、クワッドステーションモジュールツールをサポートするために必要とされるMRCCチューナモジュールのクワッドセットを含む。つまり、クワッドセットは、MRCCチューナ415A~415Dを含む4つの個別のMRCCチューナを含み、各MRCCチューナは、対応するステーションへの電力供給を制御するように構成される。各MRCCチューナは同様に構成され、以下に提供されるMRCCチューナ415Aの議論は、すべてのMRCCチューナを代表している。特に、図7Aは、対応するステーションへの平準化された、および/または、所望の電力を供給するように構成されたMRCCチューナ415(たとえば、415A)の斜視図および開放図を提供する。
1つの実施形態では、システム600は、将来の対称的な低周波数から中周波数のRFシステムと上位互換性がある対称設計を示し、このように、固有のステーション平準化性能を改善する。特に、4つのMRCC調整システム415A~415Dは、中央開口部690の周りに対称的に配置される。1つの実施形態では、対称的に配置されたMRCC調整システム415A~415Dは、1つまたは複数のペデスタルに電力を提供するために、クワッドステーション処理システム(たとえば、図2~図3に示されるシステム)の下に構成される。別の実施形態では、対称的に配置されたMRCC調整システム415A~415Dは、1つまたは複数のシャワーヘッドに電力を提供するために、クワッドステーション処理システム(たとえば、図2~図3に示されるシステム)の上に構成される。
各MRCCチューナ415は、前述したように、LF/MF調整回路701およびHF調整回路702を含む。たとえば、LF/MF調整回路は、LF/MFインダクタ520およびLF/MFコンデンサを含み、LF/MF調整回路は、対応するLF/MFコンデンサ530を調節することによって調整される。また、HF調整回路は、HFインダクタ525およびHFコンデンサ535を含み、HF調整回路は、HFコンデンサ535を調節することによって調整される。
MRCCチューナのおのおのは、同様に構成される。たとえば、MRCCチューナ415Aは、MRCCチューナ内の構成要素の冷却を提供するためのファン630を含む。それに加えて、MRCCチューナ415内の各調整回路は、対応する可変コンデンサを調整するように構成されたアクチュエータ610と、可変コンデンサの値を測定するためのエンコーダ620とを含む。アクチュエータは、対応するコンデンサの値を変更するように構成される。たとえば、アクチュエータは、可変コンデンサの値を変化させるように制御されるモータ(たとえば、ステッパ、サーボなど)であってよい。たとえば、LF/MF調整回路701は、アクチュエータ610Aおよびエンコーダ620Aに結合される。同様に、HF調整回路702は、アクチュエータ610Bおよびエンコーダ620Bに結合される。
その類似の構成のために、MRCCチューナは、モジュール方式で使用することができ、1つのMRCCチューナ415が、1つのステーションに関連付けられる。モジュール性は、既存の分割入力RF(SIRF)分配ボックスから物理的に絶縁できるが、取り付けることができるMRCCチューナ415を提供することによって実施される。特に、各MRCCチューナ415は、反応器の近く、またはソースの近く、またはそのことに関するRFの経路上の任意の場所に配置できる。このように、MRCCチューナ415をRF経路に挿入することによって、負荷は、特定のステーションに向かうエネルギを増加または減少することを妨げられる(たとえば、変化される)。
1つの実施形態では、MRCCチューナ415Aは、アブソリュートエンコーダ620を使用して、対応する各コンデンサの位置を追跡する。位置情報は、コントローラへのフィードバックとして提供されてよい。アブソリュートエンコーダは、より正確な位置決めと、位置決め検証とを可能にし、繰り返し可能な位置決め、したがって、繰り返し可能なステーション間の電力調節を保証する。以前は、エンコーダは、位置検証に使用されていなかったため、値と位置の内部検証を、検証目的で実行できなかった。
さらに、アブソリュートエンコーダを使用する場合、対応するエンコーダによって決定された位置情報は失われない。つまり、アブソリュートエンコーダを使用すると、原点復帰、リミットスイッチ、またはハードストップ検索ルーチンを使用して、位置をリセットしなくても、電源を入れ直して位置を知ることができる。たとえば、可変コンデンサの機械的なエンドリミットおよび中間点は、アブソリュートエンコーダによって決定および学習できる。これにより、電源サイクルで変化しない、より一貫性のあるプロセス結果が可能になる。また、アブソリュートエンコーダを使用すると、(たとえば、機械的なエンドリミットを決定するために)対応するコンデンサを1回だけ較正すればよいので、コンデンサに負荷がかからない。そのため、統合されたアブソリュートエンコーダは、実際の位置を追跡し、静電容量の変化を最小限に抑えて、モータがどこにあるかを確実に認識できるように構成できる。これにより、高応力の原点復帰を実行する必要がなくなる。
特に、アブソリュートエンコーダ620の使用は、対応するコンデンサの正確なプロファイルを作成する能力を可能にする。コンデンサの両端は、1つの実施形態では、(アブソリュートエンコーダに基づく)モータの実際の位置と比較された(モータに送信されたステップ/パルスに基づく)モータの知覚位置を見て、それらが完全なステップの同期(1.8度)を超える場合に見つけられるハードストップを決定することによって見つけることができる。この決定されたリミットにより、ハードストップを「ハンマリング」するのではなく、停止させることで、ハードストップへの応力を軽減する。たとえば、ステッパモータは、何かに詰まると、半正弦波の力(たとえば、逆起電力[EMF]パルス)をかけ、「ハンマ」運動を引き起こす。これは、すばやく停止しないと、より多くの損傷を引き起こす可能性がある。モータとエンコーダとの間の誤整列のフルステップ(またはそれ以下)をチェックすると、ハードストップとの最初の接触中にのみステッピングモータを停止できる。その後、コンデンサを調節する場合に、モータがハードストップに戻らなくてもよい。ハードストップを繰り返し押すと、コンデンサの機能が著しく変化し、電源を入れ直すたびに、システムレシピを再調整する必要が生じる可能性がある。
具体的には、1つのハードストップを見つけることにより、座標系を確立することが可能になる。コンデンサの健全性は、所与のコンデンサの調整の全範囲を確保するために、他方のハードストップ(たとえば、他端)を見つけることによってチェックすることもできる。両ハードストップを見つけることによって決定される知覚される回転数は、製造業者によって提供される、予想される回転数と比較できる。知覚される回転数が予想と一致しない場合、実施形態では、コンデンサとモータとのスリップ、コンデンサの破損、誤ったコンデンサなどの問題を示している可能性がある。較正前に、そしてMRCCチューナの寿命を通じて定期的に、これらの問題を検出することは、予防保守を提供する。
図7Bは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成されたMRCCチューナ415のクラムシェル外形750の斜視図である。クラムシェルエンクロージャ設計により、アセンブラは、3側面からアクセスできるため、組立時間とコストが低減され、構成要素の組立時間が短縮されるため、人件費が削減される。たとえば、図7Aと図7Bとの両方を参照して示すように、クラムシェルエンクロージャ750は、少なくともLF/MF調整回路701およびHF調整回路702を保持するように構成されたシャーシ730に取り付けられる。クラムシェルエンクロージャ750はまた、一方の側の調整回路(たとえば、LF/MF調整回路701およびHF調整回路702)と、他方の側のモータ610およびエンコーダ620との間の境界として機能する面735に取り付けられる。
より具体的には、エンクロージャ780は、シャーシまたはベース730、前面735、およびクラムシェル外形750を含む。エンクロージャ780は、LF/MF調整回路701およびHF調整回路702を囲むように構成される。クラムシェル外形750は、上部751および複数の側壁を含む。たとえば、クラムシェル外形750は、前面735に隣接する側壁752A、752Bと、取り付けられた場合に前面735に対向する側壁752Cとを含む。さらに、ブラケット781は、前面735に取り付けられるか、または結合される。
図7Aに示すように、図7AのMRCCチューナ415は、本開示の実施形態にしたがって、低周波数から中周波数の調整回路701または高周波数調整回路702のコンデンサと接するために、面735に取り付けられた1つまたは複数のフローティングモータマウント710(たとえば、マウント710Aおよび710B)を含む。たとえば、フローティングモータマウント710Aは、LF/MFアクチュエータ610AとLF/MFコンデンサ530との間にフローティング境界を提供し、フローティングモータマウント710Bは、HFアクチュエータ610BとHFコンデンサ535との間にフローティング境界を提供する。
例示として、フローティングモータマウント710Bによって表されるように、フローティングモータマウント710の上部は、ねじ740A、740Bを介して延長部736Bに取り付けられる。延長部736Bは、前面735に取り付けられたブラケット781に取り付けられる。吹出に示されているように、(マウント710Bによって表される)フローティングモータマウント710の底部は、マウント710のタブ720A、720Bを、シャーシ730のスロット(図示せず)に挿入することによって、シャーシ730と緩く位置合わせされる。フローティングモータマウント710Bは、アクチュエータ/モータ610Bと、対応するコンデンサ(HFコンデンサ535)との間の軸方向の誤整列に対抗するように構成される。適切な位置合わせにより、コンデンサの、またはコンデンサとモータとを結合するカプラ(図示せず)のベアリングに対する軸方向圧力による、コンデンサの焼き付きを防ぐ。それに加えて、フローティングモータマウント710は、モータとコンデンサとを位置合わせするためのモータマウントとして使用される機械加工された中実のアルミニウムブロックを置き換えることができ、それによって、コストを削減し、設置の容易性を高める。
フローティングモータマウント710Aは、マウント710Bと同様に構成される。特に、フローティングモータマウント710Aは、ねじを介して延長部736Aに取り付けられる。延長部736Aは、前面735に取り付けられたブラケット781に取り付けられる。フローティングモータマウント710Aの底部は、シャーシ730のスロットにタブを挿入することによって、シャーシ730と緩く位置合わせされる。フローティングモータマウント710Aは、アクチュエータ/モータ610Aと、対応するコンデンサ(LF/MFコンデンサ530)との間の軸方向の誤整列に対抗するように構成される。適切な位置合わせは、コンデンサの、またはコンデンサとモータとを結合するカプラ(図示せず)のベアリングに対する軸方向圧力による、コンデンサ530の焼き付きを防ぐ。
図7Cは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成されたMRCCチューナシステムの斜視図である。MRCCチューナシステムは、シャーシ730に取り付けられたLF/MF調整回路701およびHF調整回路702を含む。MRCCチューナのクラムシェル外形750は、透明であり、LF/MF調整回路701のLF/MFコンデンサ530およびLF/MFインダクタ520を示し、HF調整回路702のHFコンデンサ535およびHFインダクタ525を示す。クラムシェル外形750は、シャーシ730および面735に取り付けられ、ファン630もまた、クラムシェル外形750に取り付けられる。面735は、前述したように、モータ610/エンコーダ620と、対応する調整回路とを分離し、その間の境界として機能する。エンコーダ620は、対応するコンデンサの位置を調整するために、モータ610を制御するコントローラに位置情報を戻す。また、RF出力780は、対応するステーションにRF電力を供給するように示される。
図8は、上記のシステムを制御するための制御モジュール800を示す。たとえば、制御モジュール800は、プロセッサ、メモリ、および1つまたは複数のインターフェースを含んでよい。制御モジュール800は、感知された値に部分的に基づいて、システム内のデバイスを制御するために適用されてよい。たとえば、制御モジュール800は、感知された値および他の制御パラメータに基づいて、バルブ802、フィルタヒータ804、ポンプ806、および他のデバイス808のうちの1つまたは複数を制御してよい。制御モジュール800は、たとえば、圧力計810、流量計812、温度センサ814、および/または他のセンサ816からのみ、感知された値を受け取る。制御モジュール800はまた、前駆体の供給および膜の堆積中のプロセス条件を制御するために適用されてよい。制御モジュール800は、通常、1つまたは複数のメモリデバイスおよび1つまたは複数のプロセッサを含む。
制御モジュール800は、前駆体供給システムおよび堆積装置のアクティビティを制御してよい。制御モジュール800は、プロセスタイミング、供給システム温度、およびフィルタ間の圧力差、バルブ位置、ガスの混合、チャンバ圧力、チャンバ温度、基板温度、RF電力レベル、基板チャックまたはペデスタル位置、および他の特定のプロセスのパラメータを制御するための一連の命令を含むコンピュータプログラムを実行する。制御モジュール800はまた、圧力差を監視し、蒸気前駆体供給を、1つまたは複数の経路から、1つまたは複数の他の経路に自動的に切り替えてよい。いくつかの実施形態では、制御モジュール800に関連付けられたメモリデバイスに格納された他のコンピュータプログラムを適用してよい。
通常、制御モジュール800に関連付けられたユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイ818(たとえば、装置および/またはプロセス条件のディスプレイ画面および/またはグラフィカルソフトウェアディスプレイ)、およびポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイス820を含んでよい。
プロセスシーケンスにおける前駆体、堆積、および他のプロセスの供給を制御するためのコンピュータプログラムは、たとえば、アセンブリ言語、C、C++、Pascal、Fortranなど任意の従来のコンピュータ可読プログラミング言語で書くことができる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムで識別されたタスクを実行するためにプロセッサによって実行される。
制御モジュールパラメータは、たとえば、フィルタの圧力差、プロセスガスの組成と流量、温度、圧力、RF電力レベルや低周波数から中周波数のRF周波数などのプラズマ条件、冷却ガス圧力、およびチャンバ壁温度などのプロセス条件に関連する。
システムソフトウェアは、多くの異なる手法で設計または構成されてよい。たとえば、本発明の堆積プロセスを実行するために必要なチャンバ構成要素の動作を制御するために、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトを書き込んでよい。この目的のためのプログラムまたはプログラムのセクションの例は、基板位置決めコード、プロセスガス制御コード、圧力制御コード、ヒータ制御コード、およびプラズマ制御コードを含む。
基板位置決めプログラムは、基板をペデスタルまたはチャックにロードするために、および、基板と、ガス入口および/またはターゲットなどのチャンバの他の部分との間の間隔を制御するために使用されるチャンバ構成要素を制御するためのプログラムコードを含んでよい。プロセスガス制御プログラムは、ガス組成および流量を制御するためのコード、および任意選択で、チャンバ内の圧力を安定させるために堆積前にチャンバにガスを流すためのコードを含んでよい。フィルタ監視プログラムは、測定された差分を、所定の値と比較するコード、および/または、経路を切り替えるためのコードを含む。圧力制御プログラムは、たとえば、チャンバの排気システムのスロットルバルブを規制することによって、チャンバ内の圧力を制御するためのコードを含んでよい。ヒータ制御プログラムは、前駆体供給システム、基板、および/またはシステムの他の部分の構成要素を加熱するための加熱ユニットへの電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、ヘリウムなどの熱伝達ガスの基板チャックへの供給を制御してよい。
堆積中に監視され得るセンサの例は、マスフロー制御モジュール、圧力計810などの圧力センサ、供給システムに配置された熱電対、ペデスタルまたはチャック、図9A~図9Cにおける状態センサ920を含むが、これらに限定されない。適切にプログラムされたフィードバックおよび制御アルゴリズムを、これらのセンサからのデータとともに使用して、望ましいプロセス条件を維持してよい。前述は、単一またはマルチチャンバ半導体処理ツールにおける本開示の実施形態の実施を説明する。
いくつかの実施では、コントローラは、システムの一部であり、これは、上記の例の一部であってよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または、特定の処理構成要素(基板ペデスタル、ガスフローシステムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウェーハまたは基板の処理前、処理中、および処理後の動作を制御するために、電子機器と統合されてよい。電子機器は、「コントローラ」と称されてよく、システムの様々な構成要素またはサブパーツを制御してよい。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(たとえば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)生成器設定、RFマッチング回路設定、周波数設定、流量設定、流体供給設定、位置および操作設定、ツールおよび他の転送ツールとの間の基板転送、および/または、特定のシステムに接続された、または接触するロードロックを含む、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてよい。
大まかに言えば、コントローラは、命令を受け取り、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなど、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つまたは複数のマイクロプロセッサ、またはプログラム命令を実行するマイクロコントローラ(たとえばソフトウェア)を含んでよい。プログラム命令は、半導体基板上において、または半導体基板に対して、またはシステムに対して、特定のプロセスを実行するための操作パラメータを定義する、様々な個別の設定(またはプログラムファイル)の形式でコントローラに通知される命令であってよい。操作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化ケイ素、面、回路、および/またはウェーハのダイの製造中に、1つまたは複数の処理ステップを達成するために、プロセスエンジニアによって定義されたレシピの一部であってよい。
コントローラは、いくつかの実施では、システムと統合されるか、システムに結合されるか、そうでなければシステムにネットワーク化されるか、またはそれらの組合せであるコンピュータの一部であるか、そのコンピュータに結合されてよい。たとえば、コントローラは、ファブホストコンピュータシステムの全部または一部の「クラウド」にあってよく、これは、基板処理へのリモートアクセスを可能にできる。コンピュータは、システムへのリモートアクセスを可能にして、製造操作の現在の進行状況を監視し、過去の製造操作の履歴を調べ、複数の製造操作から傾向または性能測定基準を調べ、現在の処理のパラメータを変化させ、現在の処理にしたがう処理ステップを設定するか、または、新しい処理を開始してよい。いくつかの例では、リモートコンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを介して、システムに、プロセスレシピを提供できる。
リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを含んでよく、これらパラメータおよび/または設定は、その後、リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、1つまたは複数の操作中に実行される各処理ステップのおのおののパラメータを指定するデータの形式で命令を受け取る。パラメータは、実行されるプロセスのタイプ、およびコントローラがインターフェースまたは制御するように構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上記のように、コントローラは、ともにネットワーク化され、本明細書に記載のプロセスおよび制御などの共通の目的に向かって動作する1つまたは複数の離散的なコントローラを備えるなどによって分散されてよい。そのような目的のための分散型コントローラの例は、チャンバにおいてプロセスを制御するように結合する、リモートに配置された(プラットフォームレベルにおける、または、リモートコンピュータの一部としてのような)1つまたは複数の集積回路と通信するチャンバ上の1つまたは複数の集積回路である。
限定されないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、および、半導体ウェーハの作製および/または製造に関連付けられ得る、または使用され得る他の任意の半導体処理システムを含んでよい。
上記で言及したように、ツールによって実行される1つまたは複数の処理ステップに応じて、コントローラは、1つまたは複数の他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近傍のツール、工場全体に配置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場におけるツールの場所、および/または、ロードポートとの間でウェーハのコンテナを移動する材料輸送に使用されるツールと通信し得る。
実施形態の前述の説明は、例示および説明の目的で提供される。網羅的であったり、または、開示を制限したりすることは意図されない。特定の実施形態の個々の要素または特徴は、一般に、その特定の実施形態に限定されないが、適用可能な場合、置換可能であり、具体的に示されていない、または説明されていない場合でも、選択された実施形態で使用できる。同じことが、多くの手法で変更されてよい。そのような変形は、開示からの逸脱と見なされるべきではなく、そのようなすべての修正は、開示の範囲内に含まれることが意図される。
前述の実施形態は、理解を明確にする目的でいくつかが詳細に説明されたが、特定の変更および修正が、添付の特許請求の範囲内で実施できることが明らかであろう。したがって、本実施形態は、例示的であり、限定的ではないと見なされるべきであり、実施形態は、本明細書に与えられる詳細に限定されるべきではなく、それらの範囲および特許請求の範囲の均等物の中で修正されてよい。
前述の実施形態は、理解を明確にする目的でいくつかが詳細に説明されたが、特定の変更および修正が、添付の特許請求の範囲内で実施できることが明らかであろう。したがって、本実施形態は、例示的であり、限定的ではないと見なされるべきであり、実施形態は、本明細書に与えられる詳細に限定されるべきではなく、それらの範囲および特許請求の範囲の均等物の中で修正されてよい。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1.
無線周波数(RF)電力を調整する回路であって、
低周波数(LF)/中周波数(MF)インダクタと直列に結合された可変LF/MFコンデンサを含む低周波数から中周波数の(LF/HF)調整回路であって、接地と、RF入力を受け取るように構成された共通ノードとの間に結合される、LF/HF調整回路と、
接地と前記共通ノードとの間に、前記LF/MF調整回路と並列に結合された高周波数(HF)調整回路であって、HFインダクタと直列に結合された可変HFコンデンサを含む、HF調整回路と、を備え、
前記可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、前記LF/MF調整回路の前記LF/MFインダクタと、前記HF調整回路の前記HFインダクタとの間で交差並列絶縁が発生する、回路。
適用例2.
適用例1の回路であって、前記RF電力は、前記低周波数から中周波数におけるLF/HF電力と、前記高周波数におけるHF電力とのうちの少なくとも一方を提供するRFデュアルソース電力生成器によって提供される、回路。
適用例3.
適用例1の回路であって、前記RF電力が、低周波数から中周波数の成分を有する場合、前記HFインダクタは、前記可変LF/MFコンデンサを調節する場合、前記HF調整回路を前記LF/MF調整回路から効果的に絶縁する前記RF入力に対して高いインピーダンスを示す、回路。
適用例4.
適用例1の回路であって、前記RF電力が、高周波数成分を有する場合、前記LF/MFインダクタは、前記可変HFコンデンサを調節する場合、前記LF/MF調整回路を前記HF調整回路から効果的に絶縁する前記RF入力に対して高いインピーダンスを示す、回路。
適用例5.
適用例1の回路であって、前記共通ノードは、調整後に、対応する処理ステーションに、対応するRF出力を提供するように構成される、回路。
適用例6.
適用例1の回路であって、
前記可変LF/MFコンデンサに結合され、前記可変LF/MFコンデンサを調節するように構成されたLF/MFアクチュエータと、
前記可変LF/MFコンデンサの値を決定するように構成されたLF/MFアブソリュートエンコーダと、
前記可変HFコンデンサに結合され、前記可変HFコンデンサを調節するように構成されたHFアクチュエータと、
前記可変HFコンデンサの値を決定するように構成されたHFアブソリュートエンコーダと、をさらに備える、回路。
適用例7.
適用例1の回路であって、前記LF/MFインダクタは、前記HFインダクタよりも高い値を有し、低周波数から中周波数で、または高周波数で動作する場合、前記LF/MF調整回路と前記HF調整回路との間の絶縁を提供する、回路。
適用例8.
無線周波数(RF)電力を調整するための装置であって、
低周波数(LF)から中周波数(MF)でLF/MF電力を提供する低周波数から中周波数の(LF/HF)電力生成器と、高周波数でHF電力を提供する高周波数(HF)電力生成器と、を含む無線周波数(RF)デュアルソース電力生成器と、
前記LF/MF電力を受け取り、前記HF電力を受け取るように構成された分割入力RF(SIRF)分配ボックスであって、前記LF/MF電力およびHF電力のうちの少なくとも一方を、1つまたは複数の分割RF入力として組み合わせて、対応するMRCC回路へ分配するようにさらに構成された、SIRF分配ボックスと、
1つまたは複数の処理ステーション用の1つまたは複数のMRCC回路であって、接地と、対応する分割RF入力を提供するように構成された対応する共通ノードとの間に、HF調整回路に並列に結合されたLF/MF調整回路を含む、1つまたは複数のMRCC回路と、を備え、
前記LF/MF調整回路は、LF/MFインダクタと直列に結合された可変LF/MFコンデンサを含み、前記LF/MF調整回路は、接地と、前記対応する共通ノードとの間に結合され、
前記HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含み、前記HF調整回路は、接地と、前記対応する共通ノードとの間に結合され、
前記対応する共通ノードは、調整後に、対応するステーションに、対応するRF出力を提供するように構成され、
前記可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、前記LF/MF調整回路の前記LF/MFインダクタと、前記HF調整回路の前記HFインダクタとの間で交差並列絶縁が発生する、装置。
適用例9.
適用例8の装置であって、対応する分割RF入力が、低周波数から中周波数の成分を有する場合、前記HFインダクタは、前記可変LF/MFコンデンサを調節する場合に前記HF調整回路を前記LF/MF調整回路から効果的に絶縁する前記対応する分割RF入力に対して、高いインピーダンスを示す、装置。
適用例10.
適用例8の装置であって、対応する分割RF入力が、高周波数成分を有する場合、前記LF/MFインダクタは、前記可変HFコンデンサを調節する場合に前記LF/MF調整回路を前記HF調整回路から効果的に絶縁する前記対応する分割RF入力に対して、高いインピーダンスを示す、装置。
適用例11.
適用例8の装置であって、前記対応するRF出力が、前記対応する処理ステーションの、対応するペデスタルに提供される、装置。
適用例12.
適用例8の装置であって、前記対応するRF出力が、前記対応する処理ステーションの、対応するシャワーヘッドに提供される、装置。
適用例13.
適用例8の装置であって、
対応するLF/MF調整回路の、前記対応する可変LF/MFコンデンサに結合され、前記可変LF/MFコンデンサを調節するように構成された、対応するLF/MFアクチュエータと、
前記対応する可変LF/MFコンデンサの値を決定するために構成された対応するLF/MFアブソリュートエンコーダと、
対応するHF調整回路の、前記対応する可変HFコンデンサに結合され、前記対応する可変HFコンデンサを調節するように構成された、対応するHFアクチュエータと、
前記対応する可変HFコンデンサの値を決定するために構成された、対応するHFアブソリュートエンコーダと、をさらに備える、装置。
適用例14.
適用例8の装置であって、前記各処理ステーションに供給されるRF電力は、同様の値である、装置。
適用例15.
ウェーハ上に膜を堆積するためのプロセスチャンバで使用するためのアセンブリであって、
低周波数(LF)から中周波数(MF)でLF/MF電力を提供する低周波数から中周波数の(LF/HF)電力生成器と、高周波数でHF電力を提供する高周波数(HF)電力生成器と、を含む無線周波数(RF)デュアルソース電力生成器と、
前記LF/MF電力を受け取り、前記HF電力を受け取るように構成された分割入力RF(SIRF)分配ボックスであって、前記LF/MF電力およびHF電力のうちの少なくとも一方を、第1の分割RF入力、第2の分割RF入力、第3の分割RF入力、および第4の分割入力として組み合わせて、対応するMRCC回路へ分配するようにさらに構成された、SIRF分配ボックスと、
第1の処理ステーション用の第1のMRCC回路と、
第2の処理ステーション用の第2のMRCC回路と、
第3の処理ステーション用の第3のMRCC回路と、
第4の処理ステーション用の第4のMRCC回路と、を備え、
各MRCC回路は、接地と、対応する分割RF入力を受け取るように構成された対応する共通ノードとの間に、HF調整回路に並列に結合されたLF/MF調整回路を含み、
前記LF/MF調整回路は、LF/MFインダクタと直列に結合された可変LF/MFコンデンサを含み、前記LF/MF調整回路は、接地と、前記対応する共通ノードとの間に結合され、
前記HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含み、前記HF調整回路は、接地と、前記対応する共通ノードとの間に結合され、
前記対応する共通ノードは、調整後、対応するステーションに、対応するRF出力を提供するように構成され、
前記可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、前記LF/MF調整回路の前記LF/MFインダクタと、前記HF調整回路の前記HFインダクタとの間で交差並列絶縁が発生する、アセンブリ。
適用例16.
適用例15のアセンブリであって、対応する分割RF入力が、低周波数から中周波数の成分を有する場合、前記HFインダクタは、前記可変LF/MFコンデンサを調節する場合に前記HF調整回路を前記LF/MF調整回路から効果的に絶縁する前記対応する分割RF入力に対して、高いインピーダンスを示す、アセンブリ。
適用例17.
適用例15のアセンブリであって、対応する分割RF入力が、高周波数成分を有する場合、前記LF/MFインダクタは、前記可変HFコンデンサを調節する場合に前記LF/MF調整回路を前記HF調整回路から効果的に絶縁する前記対応する分割RF入力に対して、高いインピーダンスを示す、アセンブリ。
適用例18.
適用例15のアセンブリであって、前記対応するRF出力が、前記対応する処理ステーションの、対応するペデスタルに提供される、アセンブリ。
適用例19.
適用例15のアセンブリであって、前記対応するRF出力が、前記対応する処理ステーションの、対応するシャワーヘッドに提供される、アセンブリ。
適用例20.
適用例15のアセンブリであって、
各MRCC回路において、対応するLF/MF調整回路の、前記対応する可変LF/MFコンデンサに結合され、前記可変LF/MFコンデンサを調節するように構成された、対応するLF/MFアクチュエータと、
各MRCC回路において、前記対応する可変LF/MFコンデンサの値を決定するために構成された、対応するLF/MFアブソリュートエンコーダと、
各MRCC回路において、対応するHF調整回路の、前記対応する可変HFコンデンサに結合され、前記対応する可変HFコンデンサを調節するように構成された、対応するHFアクチュエータと、
各MRCC回路において、前記対応する可変HFコンデンサの値を決定するために構成された、対応するHFアブソリュートエンコーダと、をさらに備える、アセンブリ。

Claims (20)

  1. 無線周波数(RF)電力を調整する回路であって、
    低周波数(LF)/中周波数(MF)インダクタと直列に結合された可変LF/MFコンデンサを含む低周波数から中周波数の(LF/HF)調整回路であって、接地と、RF入力を受け取るように構成された共通ノードとの間に結合される、LF/HF調整回路と、
    接地と前記共通ノードとの間に、前記LF/MF調整回路と並列に結合された高周波数(HF)調整回路であって、HFインダクタと直列に結合された可変HFコンデンサを含む、HF調整回路と、を備え、
    前記可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、前記LF/MF調整回路の前記LF/MFインダクタと、前記HF調整回路の前記HFインダクタとの間で交差並列絶縁が発生する、回路。
  2. 請求項1に記載の回路であって、前記RF電力は、前記低周波数から中周波数におけるLF/HF電力と、前記高周波数におけるHF電力とのうちの少なくとも一方を提供するRFデュアルソース電力生成器によって提供される、回路。
  3. 請求項1に記載の回路であって、前記RF電力が、低周波数から中周波数の成分を有する場合、前記HFインダクタは、前記可変LF/MFコンデンサを調節する場合、前記HF調整回路を前記LF/MF調整回路から効果的に絶縁する前記RF入力に対して高いインピーダンスを示す、回路。
  4. 請求項1に記載の回路であって、前記RF電力が、高周波数成分を有する場合、前記LF/MFインダクタは、前記可変HFコンデンサを調節する場合、前記LF/MF調整回路を前記HF調整回路から効果的に絶縁する前記RF入力に対して高いインピーダンスを示す、回路。
  5. 請求項1に記載の回路であって、前記共通ノードは、調整後に、対応する処理ステーションに、対応するRF出力を提供するように構成される、回路。
  6. 請求項1に記載の回路であって、
    前記可変LF/MFコンデンサに結合され、前記可変LF/MFコンデンサを調節するように構成されたLF/MFアクチュエータと、
    前記可変LF/MFコンデンサの値を決定するように構成されたLF/MFアブソリュートエンコーダと、
    前記可変HFコンデンサに結合され、前記可変HFコンデンサを調節するように構成されたHFアクチュエータと、
    前記可変HFコンデンサの値を決定するように構成されたHFアブソリュートエンコーダと、をさらに備える、回路。
  7. 請求項1に記載の回路であって、前記LF/MFインダクタは、前記HFインダクタよりも高い値を有し、低周波数から中周波数で、または高周波数で動作する場合、前記LF/MF調整回路と前記HF調整回路との間の絶縁を提供する、回路。
  8. 無線周波数(RF)電力を調整するための装置であって、
    低周波数(LF)から中周波数(MF)でLF/MF電力を提供する低周波数から中周波数の(LF/HF)電力生成器と、高周波数でHF電力を提供する高周波数(HF)電力生成器と、を含む無線周波数(RF)デュアルソース電力生成器と、
    前記LF/MF電力を受け取り、前記HF電力を受け取るように構成された分割入力RF(SIRF)分配ボックスであって、前記LF/MF電力およびHF電力のうちの少なくとも一方を、1つまたは複数の分割RF入力として組み合わせて、対応するMRCC回路へ分配するようにさらに構成された、SIRF分配ボックスと、
    1つまたは複数の処理ステーション用の1つまたは複数のMRCC回路であって、接地と、対応する分割RF入力を提供するように構成された対応する共通ノードとの間に、HF調整回路に並列に結合されたLF/MF調整回路を含む、1つまたは複数のMRCC回路と、を備え、
    前記LF/MF調整回路は、LF/MFインダクタと直列に結合された可変LF/MFコンデンサを含み、前記LF/MF調整回路は、接地と、前記対応する共通ノードとの間に結合され、
    前記HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含み、前記HF調整回路は、接地と、前記対応する共通ノードとの間に結合され、
    前記対応する共通ノードは、調整後に、対応するステーションに、対応するRF出力を提供するように構成され、
    前記可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、前記LF/MF調整回路の前記LF/MFインダクタと、前記HF調整回路の前記HFインダクタとの間で交差並列絶縁が発生する、装置。
  9. 請求項8に記載の装置であって、対応する分割RF入力が、低周波数から中周波数の成分を有する場合、前記HFインダクタは、前記可変LF/MFコンデンサを調節する場合に前記HF調整回路を前記LF/MF調整回路から効果的に絶縁する前記対応する分割RF入力に対して、高いインピーダンスを示す、装置。
  10. 請求項8に記載の装置であって、対応する分割RF入力が、高周波数成分を有する場合、前記LF/MFインダクタは、前記可変HFコンデンサを調節する場合に前記LF/MF調整回路を前記HF調整回路から効果的に絶縁する前記対応する分割RF入力に対して、高いインピーダンスを示す、装置。
  11. 請求項8に記載の装置であって、前記対応するRF出力が、前記対応する処理ステーションの、対応するペデスタルに提供される、装置。
  12. 請求項8に記載の装置であって、前記対応するRF出力が、前記対応する処理ステーションの、対応するシャワーヘッドに提供される、装置。
  13. 請求項8に記載の装置であって、
    対応するLF/MF調整回路の、前記対応する可変LF/MFコンデンサに結合され、前記可変LF/MFコンデンサを調節するように構成された、対応するLF/MFアクチュエータと、
    前記対応する可変LF/MFコンデンサの値を決定するために構成された対応するLF/MFアブソリュートエンコーダと、
    対応するHF調整回路の、前記対応する可変HFコンデンサに結合され、前記対応する可変HFコンデンサを調節するように構成された、対応するHFアクチュエータと、
    前記対応する可変HFコンデンサの値を決定するために構成された、対応するHFアブソリュートエンコーダと、をさらに備える、装置。
  14. 請求項8に記載の装置であって、前記各処理ステーションに供給されるRF電力は、同様の値である、装置。
  15. ウェーハ上に膜を堆積するためのプロセスチャンバで使用するためのアセンブリであって、
    低周波数(LF)から中周波数(MF)でLF/MF電力を提供する低周波数から中周波数の(LF/HF)電力生成器と、高周波数でHF電力を提供する高周波数(HF)電力生成器と、を含む無線周波数(RF)デュアルソース電力生成器と、
    前記LF/MF電力を受け取り、前記HF電力を受け取るように構成された分割入力RF(SIRF)分配ボックスであって、前記LF/MF電力およびHF電力のうちの少なくとも一方を、第1の分割RF入力、第2の分割RF入力、第3の分割RF入力、および第4の分割入力として組み合わせて、対応するMRCC回路へ分配するようにさらに構成された、SIRF分配ボックスと、
    第1の処理ステーション用の第1のMRCC回路と、
    第2の処理ステーション用の第2のMRCC回路と、
    第3の処理ステーション用の第3のMRCC回路と、
    第4の処理ステーション用の第4のMRCC回路と、を備え、
    各MRCC回路は、接地と、対応する分割RF入力を受け取るように構成された対応する共通ノードとの間に、HF調整回路に並列に結合されたLF/MF調整回路を含み、
    前記LF/MF調整回路は、LF/MFインダクタと直列に結合された可変LF/MFコンデンサを含み、前記LF/MF調整回路は、接地と、前記対応する共通ノードとの間に結合され、
    前記HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含み、前記HF調整回路は、接地と、前記対応する共通ノードとの間に結合され、
    前記対応する共通ノードは、調整後、対応するステーションに、対応するRF出力を提供するように構成され、
    前記可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、前記LF/MF調整回路の前記LF/MFインダクタと、前記HF調整回路の前記HFインダクタとの間で交差並列絶縁が発生する、アセンブリ。
  16. 請求項15に記載のアセンブリであって、対応する分割RF入力が、低周波数から中周波数の成分を有する場合、前記HFインダクタは、前記可変LF/MFコンデンサを調節する場合に前記HF調整回路を前記LF/MF調整回路から効果的に絶縁する前記対応する分割RF入力に対して、高いインピーダンスを示す、アセンブリ。
  17. 請求項15に記載のアセンブリであって、対応する分割RF入力が、高周波数成分を有する場合、前記LF/MFインダクタは、前記可変HFコンデンサを調節する場合に前記LF/MF調整回路を前記HF調整回路から効果的に絶縁する前記対応する分割RF入力に対して、高いインピーダンスを示す、アセンブリ。
  18. 請求項15に記載のアセンブリであって、前記対応するRF出力が、前記対応する処理ステーションの、対応するペデスタルに提供される、アセンブリ。
  19. 請求項15に記載のアセンブリであって、前記対応するRF出力が、前記対応する処理ステーションの、対応するシャワーヘッドに提供される、アセンブリ。
  20. 請求項15に記載のアセンブリであって、
    各MRCC回路において、対応するLF/MF調整回路の、前記対応する可変LF/MFコンデンサに結合され、前記可変LF/MFコンデンサを調節するように構成された、対応するLF/MFアクチュエータと、
    各MRCC回路において、前記対応する可変LF/MFコンデンサの値を決定するために構成された、対応するLF/MFアブソリュートエンコーダと、
    各MRCC回路において、対応するHF調整回路の、前記対応する可変HFコンデンサに結合され、前記対応する可変HFコンデンサを調節するように構成された、対応するHFアクチュエータと、
    各MRCC回路において、前記対応する可変HFコンデンサの値を決定するために構成された、対応するHFアブソリュートエンコーダと、をさらに備える、アセンブリ。
JP2023010576A 2018-09-04 2023-01-27 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置 Active JP7389285B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023194898A JP2024020431A (ja) 2018-09-04 2023-11-16 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/121,408 2018-09-04
US16/121,408 US10991550B2 (en) 2018-09-04 2018-09-04 Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
JP2021533134A JP7254181B2 (ja) 2018-09-04 2019-08-28 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021533134A Division JP7254181B2 (ja) 2018-09-04 2019-08-28 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023194898A Division JP2024020431A (ja) 2018-09-04 2023-11-16 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置

Publications (2)

Publication Number Publication Date
JP2023052669A true JP2023052669A (ja) 2023-04-11
JP7389285B2 JP7389285B2 (ja) 2023-11-29

Family

ID=69641551

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2021533134A Active JP7254181B2 (ja) 2018-09-04 2019-08-28 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置
JP2023010576A Active JP7389285B2 (ja) 2018-09-04 2023-01-27 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置
JP2023194898A Pending JP2024020431A (ja) 2018-09-04 2023-11-16 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021533134A Active JP7254181B2 (ja) 2018-09-04 2019-08-28 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023194898A Pending JP2024020431A (ja) 2018-09-04 2023-11-16 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置

Country Status (6)

Country Link
US (3) US10991550B2 (ja)
JP (3) JP7254181B2 (ja)
KR (2) KR102310300B1 (ja)
CN (2) CN112997269B (ja)
TW (1) TW202040626A (ja)
WO (1) WO2020051045A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
JP7437981B2 (ja) * 2020-03-06 2024-02-26 東京エレクトロン株式会社 プラズマ処理装置
CN117643180A (zh) * 2021-07-30 2024-03-01 东京毅力科创株式会社 等离子体处理系统以及等离子体处理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013521410A (ja) * 2010-03-01 2013-06-10 アプライド マテリアルズ インコーポレイテッド 可変容量性チューナおよびフィードバック回路を有する物理的気相堆積
JP2018022685A (ja) * 2016-07-25 2018-02-08 ラム リサーチ コーポレーションLam Research Corporation 複数のステーションにおけるウエハの反りの制御

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4217573B2 (ja) 2003-09-25 2009-02-04 シャープ株式会社 4相電力分配器および4相電力合成器および高周波通信装置
CN107093995A (zh) * 2008-04-25 2017-08-25 维斯普瑞公司 可调谐匹配网络电路拓扑选择
KR101002624B1 (ko) 2008-10-13 2010-12-20 서울시립대학교 산학협력단 고주파 전력 분배기
KR20100078589A (ko) 2008-12-30 2010-07-08 주식회사 동부하이텍 전력 분배기
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8655299B2 (en) * 2010-06-03 2014-02-18 Broadcom Corporation Saw-less receiver with RF frequency translated BPF
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
KR101351693B1 (ko) 2012-11-26 2014-01-15 주식회사 에이알디텍 모놀리식 집적회로를 채용하는 고주파 전력 분배기
US9236892B2 (en) * 2013-03-15 2016-01-12 Dockon Ag Combination of steering antennas, CPL antenna(s), and one or more receive logarithmic detector amplifiers for SISO and MIMO applications
US9720022B2 (en) * 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US9831071B2 (en) 2013-05-09 2017-11-28 Lam Research Corporation Systems and methods for using multiple inductive and capacitive fixtures for applying a variety of plasma conditions to determine a match network model
US9008601B2 (en) * 2013-07-26 2015-04-14 Broadcom Corporation Single differential-inductor VCO with implicit common-mode resonance
US11082014B2 (en) * 2013-09-12 2021-08-03 Dockon Ag Advanced amplifier system for ultra-wide band RF communication
US9876480B2 (en) * 2013-10-22 2018-01-23 Infineon Technologies Ag System and method for a tunable capacitance circuit
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10340879B2 (en) * 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) * 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US10431424B2 (en) * 2015-02-18 2019-10-01 Reno Technologies Inc. Parasitic capacitance compensation circuit
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10043690B2 (en) * 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
CN108028635B (zh) * 2015-09-09 2021-04-20 株式会社村田制作所 频率可变lc滤波器、高频前端电路
US10044338B2 (en) * 2015-10-15 2018-08-07 Lam Research Corporation Mutually induced filters
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US9872373B1 (en) * 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10761159B2 (en) * 2017-03-01 2020-09-01 Scanmed, Llc Dual tuned MRI resonator and coil package and method
US10546724B2 (en) * 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load
US10396601B2 (en) * 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US10269540B1 (en) * 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US10304663B1 (en) * 2018-07-19 2019-05-28 Lam Research Corporation RF generator for generating a modulated frequency or an inter-modulated frequency
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013521410A (ja) * 2010-03-01 2013-06-10 アプライド マテリアルズ インコーポレイテッド 可変容量性チューナおよびフィードバック回路を有する物理的気相堆積
JP2018022685A (ja) * 2016-07-25 2018-02-08 ラム リサーチ コーポレーションLam Research Corporation 複数のステーションにおけるウエハの反りの制御

Also Published As

Publication number Publication date
JP2022508341A (ja) 2022-01-19
CN115602519A (zh) 2023-01-13
JP7254181B2 (ja) 2023-04-07
WO2020051045A1 (en) 2020-03-12
US10991550B2 (en) 2021-04-27
US11594397B2 (en) 2023-02-28
US20200075289A1 (en) 2020-03-05
KR102310300B1 (ko) 2021-10-07
CN112997269A (zh) 2021-06-18
TW202040626A (zh) 2020-11-01
CN112997269B (zh) 2022-07-19
US20230245853A1 (en) 2023-08-03
JP2024020431A (ja) 2024-02-14
KR20210041113A (ko) 2021-04-14
JP7389285B2 (ja) 2023-11-29
US20210202208A1 (en) 2021-07-01
KR20210122324A (ko) 2021-10-08

Similar Documents

Publication Publication Date Title
JP7254181B2 (ja) 複数のステーションシステムにおいてプラズマを平準化するために使用されるモジュール式レシピ制御較正(mrcc)装置
JP7313528B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
US11258421B2 (en) Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
US10879044B2 (en) Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201611153A (zh) 具有射頻平衡之多站電漿反應器
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
US20210313152A1 (en) Rf power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations
TW201833379A (zh) 用以提供電漿反應器中寄生元件之分流取消的系統及方法
US20220319810A1 (en) Filter box for a substrate processing system
TWI840327B (zh) 射頻匹配電路控制系統及阻抗匹配的方法
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230222

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230222

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20230222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230404

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231017

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231116

R150 Certificate of patent or registration of utility model

Ref document number: 7389285

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150