KR20170095290A - 광학적 퓨필 대칭화를 위한 방법 및 장치 - Google Patents

광학적 퓨필 대칭화를 위한 방법 및 장치 Download PDF

Info

Publication number
KR20170095290A
KR20170095290A KR1020177018889A KR20177018889A KR20170095290A KR 20170095290 A KR20170095290 A KR 20170095290A KR 1020177018889 A KR1020177018889 A KR 1020177018889A KR 20177018889 A KR20177018889 A KR 20177018889A KR 20170095290 A KR20170095290 A KR 20170095290A
Authority
KR
South Korea
Prior art keywords
sub
prism
ops
optical
illumination
Prior art date
Application number
KR1020177018889A
Other languages
English (en)
Other versions
KR101982694B1 (ko
Inventor
예브게니이 콘스탄티노비치 스마레프
스타니스라프 스미노프
Original Assignee
에이에스엠엘 홀딩 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 홀딩 엔.브이. filed Critical 에이에스엠엘 홀딩 엔.브이.
Publication of KR20170095290A publication Critical patent/KR20170095290A/ko
Application granted granted Critical
Publication of KR101982694B1 publication Critical patent/KR101982694B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4738Diffuse reflection, e.g. also for testing fluids, fibrous materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/10Beam splitting or combining systems
    • G02B27/106Beam splitting or combining systems for splitting or combining a plurality of identical beams or images, e.g. image replication
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/04Prisms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70208Multiple illumination paths, e.g. radiation distribution devices, microlens illumination systems, multiplexers or demultiplexers for single or multiple projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/068Optics, miscellaneous

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Eyeglasses (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

검사 장치는 광학적 퓨필 대칭화기를 사용하여 기판 상의 타겟의 정밀한 OV 측정을 결정하여, 퓨필 평면에서의 조명 빔의 비대칭 및 비-균일성에 대한 검사 장치의 민감도를 감소시킬 수 있다. 검사 장치는, (1) 조명 빔을 서브-빔들로 분할하고, (2) 서브-빔들을 상이한 광학 브랜치를 따라 디렉팅하며, (3) 서브-빔들 중 적어도 하나를 2 차원에서 반전 또는 회전시키고, 조명 경로를 따라 서브-빔들을 재결합하여 세기 분포를 대칭화함으로써 대칭적 조명 퓨필을 형성하는 조명 시스템을 포함한다. 조명 시스템은, 제 1 서브-빔과 제 2 서브-빔이 적어도 하나의 광원의 시간적 코히어런스 길이보다 크고 대물 광학계의 퓨필 평면에서의 초점 깊이보다 적은 광로차를 가지게 하도록 더욱 구성된다.

Description

광학적 퓨필 대칭화를 위한 방법 및 장치{METHOD AND APPARATUSES FOR OPTICAL PUPIL SYMMETRIZATION}
관련 출원에 대한 상호 참조
본 출원은 2014 년 12 월 15 일에 출원된 US 출원 번호 제 62/092,003 의 우선권을 주장하며, 이것은 그 전체 내용이 원용되어 본원에 통합된다.
본 발명의 실시예들은 투영 시스템, 리소그래피 장치, 방사선 빔을 타겟 상에 투영하는 방법, 및 디바이스 제조 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 마스크 또는 레티클(reticle)로도 지칭되는 패터닝 장치가 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 영역들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각 타겟부가 조사(irradiate)되는 이른바 스텝퍼, 및 주어진 방향("스캐닝" 방향)으로 방사선 빔을 통해 패턴을 스캔하는 동시에 이러한 방향에 평행 또는 반-평행하게 기판을 스캔함으로써 각 타겟부가 조사되는 이른바 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 공정을 모니터하기 위해서는 패터닝된 기판의 파라미터를 측정한다. 이러한 파라미터에는 예컨대 패터닝된 기판 내에 형성되거나 또는 패터닝된 기판 상에 형성된 연속층 간의 오버레이 오차 및 현상된 감광성 레지스트의 임계 선폭(critical linewidth)이 포함될 수 있다. 이러한 측정은 제품 기판 및/또는 전용의 계측 타겟 상에서 수행될 수 있다. 리소그래피 공정으로 형성된 미세 구조물의 측정을 행하기 위한 다양한 기술이 있으며, 이들 기술에는 주사 전자 현미경(scanning electron microscope) 및 다양한 특수 기기를 사용하는 것이 포함된다. 신속하고 비침투식 형태의 특수 검사 기기인 산란계(scatterometer)는 방사선의 빔을 기판의 표면 상의 타겟으로 향하게 하여 산란 또는 반사된 빔의 성질을 측정한다. 빔이 기판에 의해 반사되거나 산란되기 전과 후의 빔의 특성을 비교함으로써, 기판의 특성을 결정할 수 있다. 이것은 예컨대 반사 빔을 공지의 기판 특성과 연계된 공지의 측정치의 라이브러리에 저장된 데이터와 비교함으로써 행해질 수 있다. 분광 산란계(spectroscopic scatterometer)는 광대역 방사선 빔을 기판 상으로 지향시키고 특정한 좁은 각도 범위로 산란된 방사선의 스펙트럼(예컨대, 파장을 함수로 하는 세기)을 측정한다. 반대로, 각도 분해 산란계(angularly resolved scatterometer)는 단색성 방사선 빔(monochromatic radiation beam)을 이용하고, 산란된 방사선의 세기를 각도를 함수로 하여 측정한다.
이러한 광학적 산란계는, 현상된 감광성 레지스트의 임계 치수 또는 패터닝된 기판 안이나 위에 형성된 두 층들 사이의 오버레이 오차(OV)와 같은 파라미터를 측정하기 위하여 사용될 수 있다. 기판의 특성은 조명 빔이 기판에 의해 반사되거나 산란되기 전과 후의 해당 빔의 속성들을 비교함으로써 결정될 수 있다. 타겟 격자의 형상이 비대칭이면 일반적으로 측정된 오버레이에 영향을 줄 것이다. 이러한 영향은 측정을 위해 사용되는 조명 설정에 따라 달라질 수 있다.
OV 측정의 이전의 기법은 회절 차수의 세기들의 차이를 평가했다. 이러한 기법은 US 2012/0013881 A1 에 상세히 설명되며, 해당 문헌은 그 전체가 원용되어 본원에 통합된다. 그 전체가 원용에 의해 본원에 포함되는 US 2001/0027704 A1 에 개시된 유사한 기법은 다수의 파장의 평행 조명을 사용한다. 양자 모두의 경우에, 결합된 조명 빔의 대칭성이 OV 측정 정확도에 대해서 중요하다. 회절 차수의 비대칭성은 타겟 상의 오버레이를 파악하는 한 가지 방안이다. 이러한 기법의 한 가지 문제점은 퓨필 평면에서의 조명 빔의 비-균일성 및 비대칭에 대해 매우 민감하다는 것이다. 일부 시스템은 퓨필 평면 내에 변형가능 애퍼쳐들의 세트를 사용하지만, 이러한 애퍼쳐들의 포지셔닝 정확도에 대한 요건은 매우 엄격하다. 리소그래피 계측 툴의 새로운 세대에 대해서 OV 정확도가 더욱 요구되기 때문에 이러한 문제점은 매우 중요해진다.
위에서 설명된 문제점들을 해결하기 위해서, 검사 장치는 광학적 퓨필 대칭화기(OPS)를 사용하여 기판 상의 타겟의 정밀한 OV 측정을 결정하여, 퓨필 평면에서의 조명 빔의 비대칭 및 비-균일성에 대한 장치의 민감도를 감소시킬 수 있다. 검사 장치는 더 균일한 세기 분포를 가지는 전자기 방사선의 복수 개의 파장을 제공하는 조명 시스템을 포함한다. OPS는, (1) 조명 빔을 서브-빔들로 분할하고, 서브-빔들을 상이한 광학 브랜치를 따라 디렉팅하며, (2) 서브-빔들 중 적어도 하나를 2 차원에서 반전 또는 회전시키고, (3) 조명 경로를 따라 서브-빔들을 재결합하여 세기 분포를 대칭화함으로써 대칭적 조명 퓨필을 형성한다. 따라서, 예를 들어, 초기 퓨필 세기가 함수 f(x,y)로 규정된다면, 새로운 세기는 f(x,y) + f(-x,-y)일 것이다. 퓨필 애퍼쳐 또는 조명 소스의 임의의 포지션 변동에 의해 생성되는 임의의 OV 오차를 자동적으로 보상하기 위해서, 이러한 새로운 세기 분포는 대칭적일 수 있다.
서브-빔들 사이의 간섭을 최소화하기 위해서, OPS의 상이한 광학 브랜치들은 조명 빔의 시간적 코히어런스 길이보다 더 크지만 검사 장치의 대물계의 퓨필 평면에서의 초점 깊이보다 더 적은 광로차를 가진다. OPS는 서브-빔들 사이에 간섭을 도입하지 않고서 OV 측정의 정확도를 개선한다. 방사선이 편광되거나 비편광되는지와 무관하게, 이러한 조명 시스템은 광대역 방사선과 함께 사용될 수 있다. OPS는 이전의 대칭화 시스템보다 비용이 저렴하고 더 견실하며, 따라서 메카트로닉(mechatronic) 기법에 의한 애퍼쳐 안정화 및 추적과 비교하여 더 적은 부피와 비용으로 OV 측정 정확도를 개선시킨다.
본 발명의 일 양태에 따르면, 전술된 바와 같은 방사선 빔을 기판 상에 투영하는 방법을 사용하여 패터닝된 방사선 빔을 기판 상에 투영하는 단계를 포함하는 디바이스 제작 방법이 제공된다.
첨부 도면은 청구되는 발명을 예시하며 한정하는 것이 아니다. 상세한 설명과 함께, 도면은 청구 발명의 원리를 설명하고 당업자(들)가 청구 발명을 생산하고 사용하게 하는 역할을 한다.
도 1 은 다른 리소그래피 장치를 도시한다.
도 2 는 리소그래피 장치 셀 또는 클러스터를 도시한다.
도 3 은 제 1 산란계를 도시한다.
도 4 는 제 2 산란계를 도시한다.
도 5 는 예시적인 일 실시예에 따른 검사 장치를 도시한다.
도 6 은 예시적인 실시예에 따른, 단일 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 1 개략도를 도시한다.
도 7 은 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 2 개략도를 도시한다.
도 8a 는 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 1 예시적 모놀리식 프리즘 시스템을 도시한다.
도 8b 는 예시적인 실시예에 따른, 두 개의 빔 스플리터와 두 개의 폴드 프리즘이 있는 광학적 퓨필 대칭화기의 제 2 예시적 모놀리식 프리즘 시스템을 도시한다.
도 9a 는 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 3 광학적 퓨필 대칭화기 시스템의 단면도를 도시한다.
도 9b 는 예시적인 실시예에 따른, 도 9a 의 프리즘 구성의 이미지 회전기의 기울어진 도면이다.
도 10 은 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 3 예시적 모놀리식 프리즘 시스템을 도시한다.
도 11 은 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 4 예시적 모놀리식 프리즘 시스템을 도시한다.
도 12a 는 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 5 예시적 모놀리식 프리즘 시스템을 도시한다.
도 12b 는 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 5 예시적 모놀리식 프리즘 시스템의 제 1 변형을 도시한다.
도 12c 는 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 5 예시적 모놀리식 프리즘 시스템의 제 2 변형을 도시한다.
도 13 은 예시적인 실시예에 따른, 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 6 예시적 모놀리식 프리즘 시스템을 도시한다.
도 14 는 예시적인 실시예에 따른, 단일 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 7 예시적 모놀리식 프리즘 시스템을 도시한다.
다른 특징과 장점은 도면과 함께 아래에서 진술되는 발명을 실시하기 위한 구체적인 내용으로부터 더욱 명백해질 것인데, 도면에서 유사한 참조 기호는 전체적으로 대응하는 요소들을 식별한다. 도면에서, 유사한 부재 번호는 동일하고 기능적으로 유사하며, 및/또는 구조적으로 유사한 요소를 일반적으로 표시한다. 도면에서 제일 먼저 나타나는 엘리먼트는 대응하는 참조 번호에서 첫 번째 숫자(들)에 의하여 표시된다.
본 명세서는 청구 발명의 특징을 포함하는 하나 이상의 실시예를 개시한다. 개시된 실시예(들)는 청구 발명을 단지 예시할 뿐이다. 청구 발명의 범위는 개시된 실시예(들)로 한정되지 않는다. 청구 발명은 첨부된 청구의 범위에 의해서만 규정된다.
설명된 실시예(들) 및 명세서에서, "일 실시예", "실시예", "예시적인 실시예" 등에 대한 언급은 기술된 실시예(들)가 특정 요소, 구조, 또는 특징을 포함할 수 있지만, 각각의 실시예가 이러한 특정 요소, 구조, 또는 특징을 반드시 포함하지 않을 수도 있음을 뜻한다. 더욱이, 이러한 문구들은 반드시 동일한 실시예를 지칭하는 것은 아니다. 나아가, 특정 요소, 구조, 또는 특징이 실시예와 관련하여 기술될 때, 명시적으로 기술되든 아니든, 다른 실시예와 관련하여 이러한 요소, 구조, 또는 특징을 구현하는 것도 당업자의 지식 범위 내에 속한다고 이해된다.
그러나, 이러한 실시예를 좀 더 상세하게 설명하기 이전에, 청구 발명의 실시예들이 구현될 수도 있는 일 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 본 발명의 일 실시예에 따르는 소스 콜렉터 모듈(SO)을 포함하는 리소그래피 장치(LAP)를 개략적으로 도시한다. 이러한 장치는: 방사선 빔(B)(예를 들어, EUV 방사)을 컨디셔닝하도록 구성되는 조명 시스템(조명기)(IL); 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고 패터닝 디바이스를 정확하게 포지셔닝하도록 구성되는 제 1 포지셔너(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 기판을 정확하게 포지셔닝하도록 구성되는 제 2 포지셔너(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 반사성 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절형, 반사형, 자기적, 전자기, 정전기 또는 다른 유형의 광 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.
지지 구조체는 패터닝 디바이스를 유지, 즉 이의 무게를 지탱한다. 이것은 패터닝 디바이스를, 패터닝 디바이스의 지향, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 홀딩한다. 지지 구조체는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조체는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 지지 구조체는 패터닝 디바이스가 예를 들어 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하는 것과 같이, 자신의 단면 내에 패턴을 가지는 방사선 빔을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 위상 천이 피처(phase shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정한 기능성 층에 대응할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수도 있다. 패터닝 장치의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능 LCD 패널을 포함한다. 마스크는 리소그래피에서 주지되며, 이진, 교번 위상-천이, 감쇄 위상-천이, 및 다양한 하이브리드 마스크 타입과 같은 마스크 타입을 포함한다. 프로그램가능 미러 어레이의 일 예는 소형 미러들의 매트릭스 정렬을 채용하는데, 이들 각각은 인입하는 방사선 빔을 상이한 방향으로 반사하기 위하여 개별적으로 틸팅될 수 있다. 틸팅된 미러는 미러 매트릭스에 의하여 반사된 방사선 빔 내에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 액침액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어가 임의로 사용되면 더 일반적인 용어인 "투영 시스템"과 같은 의미인 것으로 간주될 수도 있다.
도시된 것처럼, 장치는 투과형이다(예를 들어, 투과형 마스크를 채용). 또는, 장치는 반사형 타입(예를 들어 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다.
리소그래피 장치는 2개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2개 이상의 마스크 테이블)을 갖는 유형의 것일 수 있다. 그러한 "다중 스테이지" 머신에서, 부가적인 테이블은 평행하게 사용될 수 있으며, 또한 하나 이상의 다른 테이블들이 노광을 위해 사용되고 있는 동안 준비 단계들이 하나 이상의 테이블 상에 수행될 수 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 덮힐 수 있는 유형일 수 있다. 액침액은 또한 예컨대 마스크 및 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 가해질 수 있다. 액침 기법은 투영 시스템의 애퍼쳐(numerical aperture)를 증가시키기 위하여 당업계에 주지된다. 본 명세서에 사용된 바와 같은 "액침"이라는 용어는, 기판과 같은 구조체가 액체에 잠겨져야 하는 것을 의미하지 않고, 그보다는 노광 동안에 투영 시스템과 기판 사이에 액체가 위치된다는 것을 의미한다.
도 1 을 참조하면, 조명기(IL)는 방사선 빔을 방사원(SO)으로부터 수광한다. 예를 들어, 방사원이 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우들에서, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 방사원이 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.
조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하기 위한 조절기(AD)를 포함할 수도 있다. 일반적으로, 조명기(IL)의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 및/또는 내측 반경 범위(통상적으로, 각각 σ-외측 및 σ-내측이라 함)는 조절될 수 있다. 부가적으로, 조명기(IL)는 집속기(integrator)(IN) 및 집광기(condenser)(CO)와 같은 다양한 다른 컴포넌트들을 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기 분포를 가지도록 조정하기 위하여 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블(MT) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PL)을 통과한다. 제 2 포지셔너(PW) 및 위치 센서(IF)(예를 들어 간섭측정 측정 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 포지셔너 및 다른 위치 센서(도 1 에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 마스크(MA)를 정확하게 포지셔닝하기 위하여 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은, 제 1 포지셔너(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 정밀 위치 설정)을 이용하여 실현될 수도 있다. 마찬가지로, 기판 테이블(WT)의 이동은 제 2 포지셔너(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수도 있다. 스테퍼의 경우(스캐너에 반대됨), 마스크 테이블(MT)은 숏-스트로크 액추에이터에만 연결될 수도 있고, 또는 고정될 수도 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟 영역을 점유하지만, 이들은 타겟 영역 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 마스크(MA)에 두 개 이상의 다이가 제공되는 상황에서는, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다.
도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 마스크 테이블(MT) 및 기판 테이블(WT)이 본질적으로 정지 상태로 유지되는 동안, 방사선 빔에 부여된 전체 패턴이 한 번에 타겟부(C) 상에 투영된다(즉, 단일 정적 노광). 그러면, 상이한 타겟부(C)가 노광될 수 있도록 기판 테이블(WT)이 X 방향 및/또는 Y 방향으로 시프트된다. 스텝 모드에서는, 노광 필드의 최대 크기가 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서는, 마스크 테이블(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 마스크 테이블(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PL)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다. 스캔 모드에서는, 노광 필드의 최대 크기가 단일 동적 노광 시의 타겟부의 폭(스캐닝되지 않는 방향에서의)을 한정하는 한편, 스캐닝 모션의 길이는 타겟부의 높이(스캐닝 방향에서의)를 결정한다.
3. 다른 모드에서는, 프로그램가능 패터닝 디바이스를 홀딩하면서 마스크 테이블(MT)은 본질적으로 정지 상태로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 영역(C) 상에 투영되는 동안에 이동되거나 스캐닝된다. 이러한 모드에서, 일반적으로 펄스화된(pulsed) 방사선 소스가 채용되며, 프로그램가능한 패터닝 디바이스는 요구될 때, 기판 테이블(WT)의 각 이동 이후에 또는 스캔 도중의 연속적인 방사선 펄스들 사이에서 업데이트된다. 동작의 이러한 모드는 위에서 언급된 바와 같은 타입의 프로그램가능한 미러 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 용이하게 적용될 수 있다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
도 2 에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성하고, 이는 또한 기판 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 레지스트층을 침착시키기 위한 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 칠 플레이트(chill plate; CH), 및 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 검사가 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 노광을 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가의 노광이 수행될 수 있다.
기판의 특성, 및 구체적으로 상이한 기판의 특성 또는 동일 기판의 상이한 층의 특성이 층에 따라 어떻게 변화하는지를 결정하기 위해 검사 장치가 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수도 있고, 또는 독립형 장치일 수도 있다. 가장 신속한 측정을 할 수 있기 위해서는, 검사 장치가 노광 직후에 노광된 레지스트 층에서 특성을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상(latent image)이 매우 낮은 콘트라스트를 가지며 - 이 경우 방사선에 노광된 레지스트의 부분과 방사선에 노광되지 않은 부분 간에 단지 매우 작은 굴절률차가 있음 - 모든 검사 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 통상적으로 노광된 기판에 대해 수행되는 첫 번째 단계이고, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 단계인, 노광 후 베이크 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수 있다. 이 스테이지에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 또한, 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 행하는 것도 가능하며, 그 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 하나가 제거된다. 후자의 가능성은 오류가 있는 기판의 재작업에 대한 가능성은 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3 은 검사 장치로서 사용될 수 있는 일반적인 산란계를 도시한다. 산란계는 광대역(예를 들어, 400 내지 905 nm) 조명 소스(302)를 포함하고, 이것은 방사선을 기판(W) 상에 투영한다. 산란계는 분광계 검출기(304)를 더 포함하고, 이것은 기판(W)으로부터 정반사성으로 반사된 방사선 스펙트럼(309)(파장의 함수로서의 세기)을 측정한다. 이러한 데이터로부터, 예를 들어 엄밀 결합 파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해 또는 도 3 의 하단에 도시한 바와 같은 시물레이션된 스펙트럼(simulated spectra)의 라이브러리와의 비교에 의해, 검출된 스펙트럼을 초래하는 구조 또는 프로파일이 처리 유닛(PU)에 의해 재구성될 수도 있다. 일반적으로, 재구성을 위해서는, 그 구조의 전반적인 형태가 알려져 있으며 일부 파라미터는 이 구조를 제조하는 프로세스에 대한 정보로부터 가정되어, 이 구조의 소수의 파라미터만이 산란측정 데이터(scatterometry data)로부터 결정되도록 남게 된다. 이러한 산란계는 수직 입사(normal-incidence) 산란계 또는 경사 입사(oblique-incidence) 산란계로서 구성될 수 있다.
산란계의 다른 양태가 도 4 에 도시된다. 이러한 산란계에서, 광대역 조명 소스(402)에 의해 방출된 방사선은 시준 렌즈 시스템(412)을 이용하여 시준되고, 필터(413) 및 편광기(polarizer)(417)를 통하여 투과되며, 부분 반사 표면(16)에 의해 반사되고, 바람직하게는 적어도 약 0.9 및 더 바람직하게는 적어도 약 0.95 의 높은 개구수(numerical aperture; NA)를 가지는 현미경 대물 렌즈(415)를 통해 기판(W) 상에 포커스된다. 액침 산란계는 1 이 넘는 개구수의 렌즈를 가질 수 있다. 산란 스펙트럼(scatter spectrum)이 검출되게 하기 위하여, 반사된 방사선은 부분 반사 표면(416)을 통해 검출기(404)로 지나간다. 검출기(404)는 렌즈 시스템(415)의 초점 길이에 위치된 후면-투영된 퓨필 평면(411)에 위치될 수 있다. 또는, 퓨필 평면(411)은 그 대신에 보조 광학기(미도시)에 의해 검출기(404) 상에 재결상될 수 있다. 퓨필 평면은, 방사선의 방사 위치(radial position)가 입사각을 정하고, 각도 위치가 방사선의 방위각을 정하는 평면이다. 검출기(404)는 기판 타겟(403)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기인 것이 바람직하다. 검출기(404)는 예를 들어 CCD 또는 CMOS 센서의 어레이일 수 있으며, 예컨대 프레임당 40 ms의 노출 시간(integration time)을 사용할 수 있다. 그러나, 다른 적합한 센서가 사용될 수도 있다.
입사 방사선의 세기를 측정하기 위해, 예를 들어 레퍼런스 빔이 사용될 수 있다. 이를 위해, 빔 스플리터(416)에 입사되는 방사선 빔의 일부는 레퍼런스 미러(414)를 향하는 레퍼런스 빔으로서 빔 스플리터(416)를 통과한다. 레퍼런스 빔은 그 후 검출기(404)의 상이한 부분 상에 투영되거나 또는 이와 달리 상이한 검출기(도시하지 않음) 상으로 투영된다.
필터(413)는, 400 내지 905 nm의 스펙트럼 범위에서 관심 대상인 파장 또는 파대역, 예를 들어 10 nm 너비의 대역을 선택하는 간섭 필터의 세트를 포함할 수 있다. 필터(413)는 상이한 필터의 세트를 포함하기보다는 튜닝가능할 수도 있다. 간섭 필터 대신 격자(grating)가 이용될 수 있다.
검출기(404)는 단일 파장(또는 좁은 파장 범위)에서의 산란 광의 세기를 측정할 수도 있고, 여러 파장에서의 세기를 별도로 측정할 수도 있으며, 또는 일정 파장 범위에 걸쳐 통합된 세기를 측정할 수도 있다. 또한, 검출기(404)는 횡자기 편광광(transverse magnetic-polarized light) 및 횡전기 편광광(transverse electric-polarized light)의 세기, 및/또는 횡자기 편광광과 횡전기 편광광 간의 위상차를 별도로 측정할 수도 있다.
조명 소스(402)는 광대역 광원(즉, 넓은 범위의 광 주파수 또는 파장을 갖고 또한 그에 따라 넓은 범위의 컬러를 갖는 광원)일 수 있고, 이것은 커다란 에텐듀(large etendue)를 제공함으로써 복수의 파장들의 혼합(mixing)을 가능하게 한다. 광대역에서의 복수의 파장은 각각 Δλ의 대역폭 및 적어도 2ㆍΔλ(즉, 대역폭의 2배)의 간격을 가질 수 있다. 대역폭 Δλ는 예를 들어 10 nm일 수 있다. 방사선의 몇몇 "소스"는 광섬유 번들을 이용하여 분할된, 연장된 방사 소스의 상이한 부분일 수 있다. 섬유 번들은 공간적으로 분리되지만 출력 조명 빔들은 조명 시스템을 통해 평행으로 디렉팅될 수 있다. 이러한 방식으로, 각도 분해 산란 스펙트럼(angle resolved scatter spectra)이 복수의 파장에서 병렬로 측정될 수 있다. 3-D 스펙트럼(파장과 2개의 상이한 각도)이 측정될 수도 있으며, 이 3-D 스펙트럼은 2-D 스펙트럼보다 더 많은 정보를 담고 있다. 더 많은 정보가 측정될 수 있으면, 계측 프로세스 견실성(metrology process robustness)이 증가된다. 이것은 EP 1628164 A에 더 상세히 설명되고, 해당 문헌은 그 전체가 본 명세서에서 원용에 의해 통합된다.
기판(W) 상의 타겟(403)은 1-D 격자일 수도 있으며, 이 1-D 격자는 현상 후에 바(bar)가 고상의 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟(403)은 레지스트 내에 있는 고체 레지스트 필라 또는 비아로 형성된 2-D 격자일 수 있다. 바, 필러 또는 비아는 이와 달리 기판 내로 에칭될 수도 있다. 이러한 패턴은 투영 시스템(PL)에서의 색수차(chromatic aberration)에 민감하며, 그리고 조명 대칭성 및 이러한 수차의 존재는 프린트된 격자에서의 변동(variation)에서 명백하게 드러날 것이다. 이에 따라, 프린트된 격자의 산란 데이터가 격자를 재구성하는데 이용된다. 인쇄 단계 및/또는 다른 산란 프로세스의 지식으로부터, 라인 폭 및 라인 형상과 같은 1-D 격자의 파라미터 또는 필러 또는 비아의 폭, 길이 또는 형상과 같은 2-D 격자의 파라미터가 처리 유닛(PU)에 의해 수행되는 재구성 프로세스에 입력될 수 있다.
도 5 는 OPS(510)를 포함하는 검사 장치의 더 상세한 내용을 도시한다. 도 5 를 참조하면, 광대역 광원(502)은 방사선의 복수 개의 파장, 예를 들어, 파장 λ1, λ2, λ3, λ4를 제공한다. I비한정적인 예에서, 복수 개의 파장 λ1, λ2, λ3, λ4는 장치에 의한 고속 측정을 위해서 동시에 제공된다. 다른 실시예에서, 튜닝가능 광원은 상이한 시점에 상이한 파장을 제공한다. 광원(502)은, 예를 들어 백색-광 레이저 또는 복수 개의 파장 λ1, λ2, λ3, λ4로 분리되는 제논 램프를 포함할 수 있다. 다수의 섬유로부터의 광을 조명 경로 상에 커플링하기 위해서 빔 디렉팅 장치(520)가 사용될 수 있다. 조명을 조명 경로의 특정 사분면으로 한정하기 위해서 공간 필터(522)도 역시 사용될 수 있다. 조명기의 출구에 있는 조명 퓨필(506)은 조명 퓨필(506)의 하나의 사분면을 통과하는 하나의 조명 빔(508)을 가진다. 그러나, 사분면들의 임의의 조합이 동시에 또는 순차적으로 사용될 수도 있다. 예를 들어, 공간 필터(505)는 조명 시스템의 입력 퓨필 애퍼쳐의 4 개의 사분면 중 적어도 두 개에 있는 광을 차단하도록 구성된다.
조명 빔(508)은 OPS(510)를 통해 전송된다. OPS(510)는 빔 스플리터를 통해 조명 빔(508)을 서브-빔(508a, 508b)으로 분할한다. 예를 들어, 비-편광 하프-미러가 제 1 브랜치와 나란한 조명 빔(508)의 세기의 50%를 제 2 브랜치에 나란하게 디렉팅되는 나머지 50%로부터 분할하기 위해 사용될 수 있다. OPS(510)는 광축 중심으로 대칭인 두 개의 서브-빔을 생성한다. OPS(510)는 하나 또는 양자 모두의 서브-빔(508a, 508b)을 반전시킬 수 있다. 예를 들어, 서브-빔(508a, 508b)을 생성하기 위하여 후술되는 프리즘 인버터 시스템이 사용될 수 있다. 도 6 및 도 7 은 OPS(510)의 다른 개략적인 실시예를 도시한다. 대칭적 서브-빔(508a, 508b)의 결과, 조명 퓨필 평면(524)은 이제 원점에 대한 미러 이미지인(그리고 실질적으로 제로 공간 주파수를 가지는) 두 개의 서브-빔(508a, 508b)으로 조명된다.
OPS(510)는 서브-빔(508a, 508b) 사이에 조명 빔의 시간적 코히어런스 길이(L)보다 더 크지만 검사 장치의 대물계의 퓨필 평면에서의 초점 깊이보다 더 적은 광로차를 유도한다. 시간적 코히어런스 길이(L)는 다음 수학식에 의해 주어질 수 있다:
Figure pct00001
여기에서 λ는 조명의 파장이고, n은 매질의 굴절률 이며, Δλ는 조명의 대역폭(즉 스펙트럼 폭)이다. 예를 들어, OPD는 400 nm 내지 905 nm의 스펙트럼 범위와 10 nm의 대역폭에 대해서 50 μm 내지 100 μm의 범위 안에 있을 수 있다. 하나의 예가 다음 표 1 에 나열된다:
파장(λ)(μm 단위) .4 .85
대역폭(Δλ)(μm 단위) .01 .01
코히어런스 길이(L)(μm 단위) 16 72
OPS(510)는 서브-빔들 사이에 간섭을 도입하지 않고서 OV 측정의 정확도를 개선한다. 방사선이 편광되거나 비편광되는지와 무관하게, OPS(510)는 광대역 방사선과 함께 사용될 수 있다.
도 5 는 또한, 조명 퓨필(514)을 고-NA(개구수) 렌즈(L3)의 퓨필 평면(PP)내로 이미징하는 이중-텔레센트릭 시스템을 형성하는 렌즈(L1 및 L2)를 도시한다. 이러한 대물 렌즈(L3)는 미지의 제품 패턴으로 둘러싸인 작은 격자일 수 있는 타겟(503)을 조명한다. 입력 퓨필 애퍼쳐(506)는 대물 광학계의 퓨필 애퍼쳐에 공액이고, 릴레이 광학기(L1 및 L2)는 조명 소스의 중간 이미지를 형성하고 중간 이미지를 기판에서의 이미징을 위해 대물 광학계로 릴레이한다. 따라서, 렌즈(L1, L2 및 L3)는 대물 렌즈를 통해 타겟을 조명하는 광학계를 형성한다. 웨이퍼 상의 조명 스폿은 일반적으로 격자보다 훨씬 크게 선택된다. 통상적인 값들은, 예를 들어 웨이퍼 상에 투영된 30 μm의 스폿 직경 및 10×10 μm2의 격자 크기이다. 조명 스폿이 격자보다 작은 경우, 예를 들어 스크라이브 레인에 상대적으로 큰 격자가 있는 경우에도 이러한 실시예는 여전히 동작할 것이다.
타겟 격자(503) 및 주면 제품 영역에 의해 산란되는 조명 광은 렌즈(L3)에 의해 시준되고, 이중 텔레센트릭 시스템(L3 및 L4)은 격자 및 제품 환경의 확대된 이미지를 필드 스톱(FS)상에 생성한다. 필드 스톱(FS)은 대물 렌즈(L3 및 L4)를 포함하는 릴레이에 의해 형성된 이미지 평면에 배치된다. 필드 스톱(FS)의 목적은 중간 이미지의 공간적 범위를 한정하고 검출 광학기 내의 부유 광을 억제하는 것이다. 따라서, 공간 필터는 타겟에 인접한 기판의 표면으로부터 산란된 방사선을 공간적으로 필터링하여, 타겟에 의해 산란된 방사선을 선택한다.
렌즈(L4 및 L5)는 대물 퓨필 평면(PP)을 직교 웨지(QW) 상에 재이미징한다. 퓨필 평면의 이러한 이미지(526)는 기판 격자에서 0, -1, 0′ 및 +1′ 차로 회절된 광의 4 개의 성분을 가진다. 직교 웨지(QW)는 퓨필 평면(526)의 4 개의 사분면에 있는 광을 4 개의 상이한 방향으로 리디렉팅한다. 따라서, 직교 웨지(QW)는 기판으로부터 산란된 방사선의 회절 차수들을 분리하여 리디렉팅하도록 구성되는 광학적 디바이스이다. 직교 웨지(QW)는 4 개의 웨지를 포함할 수 있다. 직교 웨지(QW)의 결과, 렌즈(L6)는 이미지 평면(IP)에 필드 스톱(FS)을 투과한 광의 4 개의 공간적으로 분리된 서브 이미지를 생성한다. 백색 광이 사용되기 때문에, 웨지의 색수차를 감소시키기 위해서 직교 웨지는 무색성일 수 있다. 무색성 웨지는 투과형으로 제조될 수 있지만, 반사성 웨지도 본질적으로 무색성이기 때문에 적합하다. 웨지 각도는 4 개의 서브 이미지를 완전히 분리하기 위해 충분히 크다. 분리가 너무 작으면, 이미지는 중첩되어 제품 영역으로부터 격자 영역 내로 크로스토크를 초래할 것이다.
주어진 하나의 입사각에 대해서 센서(504)(예를 들어, 전하-결합 디바이스)에 의해 4 개의 신호가 측정된다. 당업자는, 조명 퓨필 평면 내의 조명 스폿의 위치를 변경함으로써 이것이 더 많은 입사각에 대해서 반복될 수 있다는 것을 이해할 것이다. 측정된 스펙트럼들의 세트가 이제 타겟 격자의 비대칭 특성을 계산하기 위해서 프로세서 유닛(PU)에 의해 사용될 수 있다. 계산된 오버레이 오차(두 개 이상의 중첩된 격자의 적층을 가지는 오버레이 타겟에 대해) 및 비대칭(단일 격자에 대해)과 같은 비대칭성 특성은 측정된 +1′ 및 -1 스펙트럼들을 회절 기초 오버레이 방법에서와 같이 비교함으로써 결정될 수 있다.
본 명세서에서 설명되는 OPS 실시예들 각각은 전술된 산란계와 함께 이용될 수 있다. 본 명세서에서 설명되는 모든 OPS 실시예들은, 제 1 서브-빔과 제 2 서브-빔이 적어도 하나의 광원의 코히어런스 길이보다 더 크고 대물 광학계의 초점 깊이보다 작은 OPD를 가지도록, 서브-빔들 사이의 광로차(OPD)를 유도한다. 또한, 본 명세서에서 개시된 각각의 OPS는 복수 개의 인접 프리즘으로 형성되는 모놀리식 프리즘 시스템으로서 구성될 수 있는데, 각각의 서브-빔의 광로는 각각의 계면에서 각각의 프리즘면에 대해 실질적으로 수직이다(즉, 수직에서 약 1 도 벗어남). 따라서, OPS의 입력 및 출력 페이스는 다소 틸팅되어 고스트 이미지를 감소시킨다. 더 나아가, OPS의 각각의 빔 스플리터는 비-편광 빔 스플리터, 예를 들어 하프-미러일 수 있다. 비록 개시된 실시예가 일반적으로 단일 조명 빔 경로 및 두 개의 서브-빔 경로를 도시하지만, 당업자는 이러한 OPS 예에서 다수의 조명 빔이 사용될 수 있다는 것을 이해할 것이다.
도 6 은 어떻게 단일 빔 스플리터를 이용하여 OPS가 구현될 수 있는지의 일 예를 도시한다. OPS(610)는 하나의 스플리터(641)와 두 개의 되반사기(retroreflector)(630x, 630y)를 포함한다. 예시적인 실시예에서, 빔 스플리터(641)는 조명 빔(608)을 각각 입사 조명 빔의 약 50% 세기인 두 개의 서브-빔으로 분할하는 비-편광 하프-미러일 수 있다. 더 나아가, 되반사기(630x, 630y)는 비-편광 하프 미러를 포함하는 빔 분할 큐브의 인접면들에 배치되는 포로(Porro) 프리즘일 수 있다. 각각의 포로 프리즘은 입사 조명 빔을 빔 스플리터(641)로 다시 반사할 때에 입사 빔을 x- 또는 y-축 중심으로 각각 반전한다. 따라서, 이러한 빔들이 재결합되면, 각각은 상이한 축 중심으로 반전된 것이다.
도 7 은 두 개의 빔 스플리터를 이용하는 OPS의 다른 구성을 예시한다. OPS(710)는 조명 빔(708)을 분할할 제 1 빔 스플리터(741) 및 조명 서브-빔들을 재결합할 제 2 빔 스플리터(745)를 포함한다. 예시적인 실시예에서, 제 1 빔 스플리터(741)는 조명 빔(708)을 각각 입사 조명 빔의 약 50% 세기인 두 개의 서브-빔으로 분할하는 비-편광 하프-미러일 수 있다. 제 1 빔 스플리터(741)를 통해 투과되는 조명 빔(708)의 일부가 서브-빔(708a)을 생성한다. 서브-빔(708a)은 서브-빔(708a)을 x-축 중심으로 회전시키는 제 1 이미지 회전기(732x)를 통해 디렉팅된다. 그러면, 서브-빔(708a)이 폴드 미러(744)에 의해 제 2 빔 스플리터(745)를 향해 반사된다. 제 1 빔 스플리터(741)에 의해 반사된 조명 빔(708)의 일부가 서브-빔(708b)을 생성한다. 서브-빔(708b)은 폴드 미러(742)에 의해, 서브-빔(708b)을 그 y-축 중심으로 회전시키는 제 2 이미지 회전기(732y)를 향해 반사된다. 그러면, 서브-빔(708b)이 제 2 빔 스플리터(745)를 향해 출력된다. 제 1 빔 스플리터(741)와 유사하게, 제 2 빔 스플리터(745)는 조명 서브-빔들을 재결합시키는 비-편광 하프-미러일 수 있다. 이러한 구성의 경우, 각각의 입사 조명 빔의 약 50% 세기인 두 개의 출력 빔들이 결과적으로 생긴다(비록 하나의 빔만이 도시되었지만).
제 1 및 제 2 이미지 회전기들은 다양한 구성을 가질 수 있다. 이미지 회전기의 예시적인 실시예들이 상세히 후술된다. 더 나아가, OPS(510, 610, 710)는 물리적으로 별개의 컴포넌트로 제작될 필요가 없고, 단일 모놀리식 유닛일 수 있다. 또한, 입력 및 출력 빔의 방향은 디자인 선택의 문제이기 때문에 개시된 실시예로 한정되지 않는다. 그러나, 후술되는 OPS의 예시적인 실시예들이 프리즘의 투과성 계면 표면들이 광축에 실질적으로 수직이 되도록(즉, 수직에서 약 1 도 벗어나도록) 특히 설계되었다는 것은 주목할만 하다. 본 명세서에서 설명되는 예시적인 실시형태들은 투과를 최대화하고 분산 및 플레어(flare)를 최소화한다.
도 8a 는 두 개의 빔 스플리터(841, 845)가 있는 OPS(810)의 제 1 예시적 모놀리식 프리즘 시스템을 도시한다. OPS(710)와 유사하게, OPS(810)는 빔 스플리터(841, 845) 및 빔 스플리터들 사이의 이미지 회전기 시스템(832x, 832y)을 포함한다. 이미지 회전기 시스템(832x, 832y)은 각각의 입사 서브-빔을 세 번 반사하고, 이를 통하여 서브-빔의 이미지들을 서로에 대해 180 도 회전시킨다. 이러한 이미지 회전기 시스템(832x, 832y)의 추가적인 세부사항은 도 9a 및 도 9b 에서 발견될 수 있다.
도 8b 는 모놀리식 프리즘 OPS(810)의 예시적인 실시예를 도시한다. 모놀리식 프리즘(810)은 8 개의 인접된 조각, 즉 두 개의 폴드 프리즘(90° 반사), 두 개의 빔 스플리터 프리즘(하프-미러 포함), 및 4 개의 내부 반사 웨지 프리즘(두 개의 이미지 회전 시스템을 형성함)을 포함한다. 따라서, 도 8b 는 도 8a 와 유사하지만 입력 I 및 출력 O1 에 45 도 폴드 프리즘이 있다. 제 1 폴드 프리즘은 입사 입력 조명 빔을 직각으로 제 1 빔 분할 프리즘을 향해 반사한다. 그러면 조명 빔의 일부(예를 들어, 50%)가 제 1 브랜치를 따라서 제 1 빔 스플리터(841)' 에 의해 반사되어 제 1 서브-빔(808a)을 형성한다. 잔여 부분은 제 1 빔 스플리터(841')를 통해 제 2 브랜치를 따라 투과되어 제 2 서브-빔(808b)을 형성한다. 제 1 서브-빔(808a) 및 제 2 서브-빔(808b)은 각각의 서브-빔을 실질적으로 수직 축들 주위에서 세 번 반사하는 이미지 회전기에 각각 진입한다. 빔 스플리터(845')는 출력(O1)을 형성하기 전에 서브-빔(808a, 808b)을 재결합한다. 대안적으로 또는 부가적으로, 프리즘 시스템은 출력 O2를 사용하도록 구현된다.
도시된 OPS(810)는 광학적으로 함께 결합된 다수의 프리즘을 포함한다. 특히, 프리즘 시스템은, 서브-빔들이 입사면에 실질적으로 수직으로 각각의 계면과 만나게 하도록 구현된다. 이러한 구성은 그렇지 않을 경우 생길 수 있는 색수차를 최소화한다.
측면도 도 9a 에 도시된 바와 같이, 3 개의 상이한 쇄선으로 식별되는 빔(908)의 3 개의 상이한 광선들이 빔 스플리터 프리즘(940)의 제 1 평평면에 실질적으로 수직으로 프리즘 시스템에 진입하는 광의 빔의 부분들의 경로를 추적한다. 빔 스플리터(941)는 입사 빔(908)을 서브-빔(908a, 908b)으로 분할한다. 간결성을 위하여, 908a의 광선들만이 추적된다. 당업자는 이러한 광선이 서브-빔(908b)에 대해서도 유사하게 추적될 수 있다는 것을 이해할 것이다. 서브-빔(908a)은 표면(961)에서 제 1 반사 프리즘(960)에 진입한다. 제 1 반사 프리즘(960)은 평평면(962)에서 서브-빔(908a)을 반사한다. 평평면(962)은, 서브-빔(908a)의 광선이 평평면(962)에서 내부 전반사(total internal reflection; TIR)를 겪고 평평면(964)을 향해 반사되도록 기울어진다. 평평면(964)은 서브-빔(908a)의 광선을 평평면(972)으로 반사한다. 빔 입사각이 내부 전반사를 위해 필요한 임계 각도보다 적다면, 표면에서부터의 반사율을 증가시키기 위해서 표면(964)이 코팅될 수 있다. 평평면(972)은, 서브-빔의 광선(908a)이 다시 TIR을 경험하고 평평면(973)에 실질적으로 수직으로 제 2 반사 프리즘(970)으로부터 나오도록 기울어진다. 서브-빔의 광선 908a)이 홀수 회의 반사를 경험하기 때문에, 서브-빔(908a)은 서브-빔(908a)의 광선의 입사 배향에 대해 반전되어 제 2 반사 프리즘(970)으로부터 나온다.
서브-빔(908b)은 제 1 반사 프리즘(980) 및 제 2 반사 프리즘(990)을 통과해서 유사한 경로를 따라 간다. 두 개의 서브-빔(908a 및 908b)은 제 2 빔 스플리터(945)에서 수렴한다. 도 9b 는 제 1 및 제 2 반사 프리즘(960, 970)의 기울어진 도면을 예시한다.
다른 예시적인 실시예에서, 도 10 의 OPS는 두 개의 빔 스플리터가 있는 광학적 퓨필 대칭화기의 제 3 예시적 모놀리식 프리즘 시스템을 도시한다.
또 다른 예시적인 실시예에서, OPS는 변형된 포로-아베(Porro-Abbe) 프리즘 시스템을 포함할 수 있다. 도 11 에 도시된 바와 같이, 조명 빔은 비-편광 빔 스플리터(NPBS1)에 의해 분할된다. 투과된 서브-빔은 변형된 포로-아베 프리즘에 진입하는데, 이것은 이미지 회전기로서 기능한다. 제 2 비-편광 빔 스플리터(NPBS2)가 마지막 반사면을 대체하기 때문에, 변형된 포로-아베프리즘은 종래의 포로-아베 프리즘과 상이하다.
제 1 비-편광 빔 스플리터(NPBS1)에 의해 반사된 조명 빔의 일부가 반사된 서브-빔을 형성한다. 반사된 서브-빔은, 이제 폴드 프리즘에 의해 포로-아베 프리즘을 향해 반사된다. 광학 슬래브를 통과한 이후에, 두 개의 서브-빔들이 제 2 빔 분할 큐브에 의해 재결합된다. 출력 빔은 평행하지만, 입력 빔과 비교할 때 "수평으로" 천이된다. ("수평으로"라는 용어는 도면의 자연 배향을 반영하려는 것이지 실제 구현형태 내에서의 배향을 반영하려는 의도가 아니다.)
도 11 에 도시된 예시적인 실시예의 변형된 버전에서, OPS는 폴드 프리즘 및 광학 슬래브 대신에 세 번-반사하는 포로 프리즘을 가질 수 있다. 도 12a 에서 묘사되는 바와 같이, 조명을 분할한 이후에, 조명 빔의 반사된 부분은 7-면 포로 프리즘의 일면에 진입한다. 그러면, 서브-빔은 빠져나가기 전에 3 개의 인접한 면들에 의해 세 번 반사되어, 반사된 서브-빔의 이미지를 회전시킨다. 반사된 서브-빔은, 도 11 에 대해서 전술된 바와 같은 변형된 포로-아베 프리즘에 의해 회전된 투과된 서브-빔과 재결합된다.
도 12b 는 도 12a 에 도시된 광학적 퓨필 대칭화기의 예시적인 실시예의 제 1 변형을 도시한다. 도 12b 의 실시예는 출력 빔의 광축이 입력 빔에 실질적으로 수직이라는 점에서 도 12a 의 실시예와 상이하다.
도 12c 는 도 12a 에 도시된 광학적 퓨필 대칭화기의 예시적인 실시예의 제 2 변형을 도시한다. 도 12a 의 유사한 실시예와 유사하게, 출력 빔은 입력 빔에 평행하다. 그러나, 도 12c 의 출력 빔은 수직과 수평으로 천이된다. 마름모눈(rhomboidal) 프리즘은 제 2 빔 스플리터 큐브와 통합되어 이러한 수직 천이를 구현한다.
또 다른 예시적인 실시예에서, 조명 빔의 일부를 회전시키기 위해서 다른 변형된 포로-아베 프리즘이 사용될 수 있다. 도 13 에서 묘사되는 바와 같이, 제 1 비-편광 빔 스플리터(NPBS1)는 조명 빔을 반사된 서브-빔 및 투과된 서브-빔으로 분할할 수 있다. 반사된 서브-빔은 제 2 비-편광 빔 스플리터(PBS2)에 진입하기 전에 포로-아베 프리즘을 통해 디렉팅된다. 투과된 서브-빔은 절단(truncated) 포로 프리즘 또는 도브 프리즘으로 지향되고, 이것은 제 2 비-편광 빔 스플리터(NPBS2)에 진입하기 전에 투과된 서브-빔을 두 번 반사한다. 그러면 서브-빔은 전술된 것처럼 재결합될 수 있다.
최종 예시적인 실시예에서, 조명 빔을 분할하고 재결합하기 위해서 단일 비-편광 빔 스플리터(NPBS1)가 사용된다. 도 14 에 도시된 바와 같이, 비-편광 빔 스플리터(NPBS1)는 조명 빔을 투과된 서브-빔과 반사된 서브-빔으로 분할한다. 투과된 서브-빔은 빔 스플리터 프리즘의 두 개의 기울어진 면들에 의해 내부로 반사된다. 그러면 투과된 서브-빔은 비-편광 빔 스플리터(NPBS1)에 의해 렌즈(L3)를 향해 반사된다. 반사된 서브-빔은 제 1 폴드 프리즘(90° 반사), 포로 프리즘(90° 만큼 두 번 반사), 제 2 폴드 프리즘, 제 3 폴드 프리즘(90° 반사), 및 제 4 프리즘(90° 반사)에 의해 연속적으로 반사된다. 이와 같이 반사될 이후에, 비-편광 빔 스플리터(NPBS1)에 의해 반사된 조명 빔의 부분은 이제 비-편광 빔 스플리터(NPBS1)를 통해 투과되고, 이를 통하여 원래 비-편광 빔 스플리터(NPBS1)에 의해 투과된 서브-빔의 부분과 적어도 재결합한다.
비록 특정한 참조가 위에서 광 리소그래피의 콘텍스트에서의 본 발명의 실시예의 사용에 대하여 이루어졌지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다. 위에서 언급된 바와 같이, 구동 시스템의 콘텍스트에서의 방사선이라는 용어는 마이크로파 방사선을 역시 망라할 수 있다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.
발명의 내용 및 요약서 섹션이 아니라 발명을 실시하기 위한 구체적인 내용 섹션이 청구항을 해석하기 위하여 사용되도록 의도된다는 것이 이해되어야 한다. 발명의 내용 및 요약서는 발명자(들)에 의하여 고찰되는 바와 같은 본 발명의 하나 이상의 그러나 전부가 아닌 예시적인 실시예들을 진술할 수도 있으며, 따라서 어떠한 경우에도 본 발명 및 첨부된 청구항을 한정하는 것으로 의도되지 않는다.
본 발명은 특정 기능부 및 이들의 관계에 대한 구현을 예시하는 기능적 구성 블록들을 이용하여 위에서 설명되었다. 이들 기능적 구성 블록들의 경계는 설명의 편의를 위해 본 명세서 내에서 임의적으로 정해진 것이다. 특정된 기능 및 이들의 관련성이 적절하게 수행되는 한 대안적 경계들이 정의될 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.

Claims (30)

  1. 오버레이 측정을 위한 산란계로서,
    적어도 하나의 방사선 빔을 전달하도록 구성되는 조명 시스템;
    적어도 하나의 빔을 기판 상에 포커싱하도록 구성되고, 퓨필 애퍼쳐(pupil aperture)를 가지는 대물 광학계; 및
    상기 기판으로부터 반사된 광을 검출하도록 구성되는 검출 시스템을 포함하고;
    상기 조명 시스템은, 상기 적어도 하나의 빔을 상기 퓨필 애퍼쳐에서 대칭화하도록 구성되는 광학적 퓨필 대칭화(optical pupil symmetrization; OPS) 시스템을 포함하며, 상기 OPS 시스템은,
    상기 적어도 하나의 빔을 제 1 서브-빔과 제 2 서브-빔으로 분할하고 상기 제 1 서브-빔과 제 2 서브-빔을 재결합하도록 구성되는 적어도 하나의 빔 스플리터; 및
    (i) 상기 제 1 서브-빔과 제 2 서브-빔을 각각 수광하고 반사하며, (ii) 제 2 서브-빔의 세기 분포가 반전되도록 적어도 상기 제 2 서브-빔을 회전시키고, (iii) 상기 제 2 서브-빔이 제 1 서브-빔에 대해 대칭이 되도록 상기 제 1 서브-빔을 제 2 서브-빔과 재결합도록 구성되는 적어도 두 개의 광학 브랜치를 포함하고,
    상기 OPS 시스템은, 상기 제 1 서브-빔과 제 2 서브-빔이, 적어도 하나의 광원의 시간적 코히어런스 길이보다 크고 상기 대물 광학계의 퓨필 평면에서의 초점 깊이보다 적은 광로차를 가지게 하도록 더욱 구성되는, 오버레이 측정용 산란계.
  2. 제 1 항에 있어서,
    상기 광로차는 약 50 μm 내지 약 100 μm의 범위 안에 있는, 오버레이 측정용 산란계.
  3. 제 1 항에 있어서,
    상기 조명 시스템은, 상기 대물 광학계의 퓨필 애퍼쳐에 공액 관계인 입력 퓨필 애퍼쳐 및 상기 적어도 하나의 광원의 중간 이미지를 형성하고 상기 중간 이미지를 상기 기판에서의 이미징을 위해 상기 대물 광학계로 릴레이하는 릴레이 광학기를 더 포함하는, 오버레이 측정용 산란계.
  4. 제 3 항에 있어서,
    상기 조명 시스템의 입력 퓨필 애퍼쳐는, 상기 조명 시스템의 입력 퓨필 애퍼쳐의 4 개의 사분면 중 적어도 두 개에서 광을 차단하도록 구성되는 공간 필터를 포함하는, 오버레이 측정용 산란계.
  5. 제 1 항에 있어서,
    상기 조명 시스템은 적어도 하나의 광원을 더 포함하고, 상기 광원은,
    400 nm 내지 905 nm의 범위 안에서 광을 방출하도록 구성되는 광대역 광원; 및
    방출광 중 10 nm 내지 40 nm의 너비를 가지는 광의 협대역을 투과시키고 잔여 방출광을 차단하도록 구성되는 튜닝가능 필터를 포함하는, 오버레이 측정용 산란계.
  6. 제 1 항에 있어서,
    상기 OPS 시스템은, 상기 제 1 서브-빔과 제 2 서브-빔이 광축 중심으로 서로에 대해 180° 회전되도록 상기 제 2 서브-빔을 회전시키도록 구성되는, 오버레이 측정용 산란계.
  7. 제 6 항에 있어서,
    상기 OPS 시스템은, 상기 제 1 서브-빔과 제 2 서브-빔이 광축 중심으로 각각 90° 회전되도록 상기 제 1 서브-빔과 제 2 서브-빔을 회전시키도록 더욱 구성되는, 오버레이 측정용 산란계.
  8. 제 1 항에 있어서,
    상기 OPS 시스템은 모놀리식(monolithic) 모듈인, 오버레이 측정용 산란계.
  9. 제 1 항에 있어서,
    상기 적어도 하나의 빔 스플리터는 비-편광 빔 스플리터인, 오버레이 측정용 산란계.
  10. 제 1 항에 있어서,
    상기 OPS 시스템은 복수 개의 인접하는 프리즘을 포함하고, 각각의 서브-빔의 광로는 각각의 계면에서 각각의 프리즘면에 실질적으로 수직인, 오버레이 측정용 산란계.
  11. 제 1 항에 있어서,
    상기 적어도 두 개의 광학 브랜치는 각각의 브랜치에 대해 적어도 하나의 이미지-회전 광학계를 포함하는, 오버레이 측정용 산란계.
  12. 제 11 항에 있어서,
    상기 OPS 시스템은,
    상기 적어도 하나의 빔을 상기 제 1 서브-빔과 제 2 서브-빔으로 분할하도록 구성되는 제 1 빔 스플리터 프리즘;
    상기 제 1 서브-빔을 세 번 반사하도록 구성되는 제 1 이미지-회전 프리즘 시스템;
    상기 제 2 서브-빔을 세 번 반사하도록 구성되는 제 2 이미지-회전 프리즘 시스템; 및
    상기 제 1 서브-빔과 제 2 서브-빔을 재결합하기 위한 제 2 빔 스플리터 프리즘을 더 포함하는, 오버레이 측정용 산란계.
  13. 제 11 항에 있어서,
    상기 제 1 및 제 2 이미지-회전 광학계는 7-면 프리즘을 각각 포함하고, 각각의 7-면 프리즘은 상기 제 1 또는 제 2 빔 스플리터 프리즘과 맞닿은 면을 가지는, 오버레이 측정용 산란계.
  14. 제 11 항에 있어서,
    상기 제 1 및 제 2 이미지-회전 프리즘 시스템은, 상기 제 1 또는 제 2 서브-빔을 내부 전반사에 의해 적어도 두 번 반사하도록 구성되는 두 개의 웨지(wedge) 프리즘을 각각 포함하는, 오버레이 측정용 산란계.
  15. 리소그래피 장치로서,
    패턴을 조명하도록 구성되는 제 1 조명 광학계;
    상기 패턴의 이미지를 기판 상에 투영하도록 구성되는 투영 광학계; 및
    상기 리소그래피 장치의 초점을 결정하도록 구성되는 산란계를 포함하고, 상기 산란계는,
    적어도 하나의 방사선 빔을 전달하도록 구성되는 조명 광학계;
    적어도 하나의 빔을 기판 상에 포커싱하도록 구성되고, 퓨필 애퍼쳐를 가지는 대물 광학계; 및
    상기 기판으로부터 반사된 광을 검출하도록 구성되는 검출 시스템을 포함하고,
    조명 시스템은, 상기 적어도 하나의 빔을 상기 퓨필 애퍼쳐에서 대칭화하도록 구성되는 광학적 퓨필 대칭화(optical pupil symmetrization; OPS) 시스템을 포함하며, 상기 OPS 시스템은,
    상기 적어도 하나의 빔을 제 1 서브-빔과 제 2 서브-빔으로 분할하고 상기 제 1 서브-빔과 제 2 서브-빔을 재결합하도록 구성되는 적어도 하나의 빔 스플리터; 및
    (i) 상기 제 1 서브-빔과 제 2 서브-빔을 각각 수광하고 반사하며, (ii) 제 2 서브-빔의 세기 분포가 반전되도록 적어도 상기 제 2 서브-빔을 회전시키고, (iii) 상기 제 2 서브-빔이 제 1 서브-빔에 대해 대칭이 되도록 상기 제 1 서브-빔을 제 2 서브-빔과 재결합도록 구성되는 적어도 두 개의 광학 브랜치를 포함하고,
    상기 OPS 시스템은, 상기 제 1 서브-빔과 제 2 서브-빔이, 적어도 하나의 광원의 시간적 코히어런스 길이보다 크고 상기 대물 광학계의 퓨필 평면에서의 초점 깊이보다 적은 광로차를 가지게 하도록 더욱 구성되는, 리소그래피 장치.
  16. 제 15 항에 있어서,
    상기 광로차는 약 50 μm 내지 약 100 μm의 범위 안에 있는, 리소그래피 장치.
  17. 제 15 항에 있어서,
    상기 조명 시스템은, 상기 대물 광학계의 퓨필 애퍼쳐에 공액 관계인 입력 퓨필 애퍼쳐 및 상기 적어도 하나의 광원의 중간 이미지를 형성하고 상기 중간 이미지를 상기 기판에서의 이미징을 위해 상기 대물 광학계로 릴레이하는 릴레이 광학기를 더 포함하는, 리소그래피 장치.
  18. 제 15 항에 있어서,
    상기 조명 시스템의 입력 퓨필 애퍼쳐는, 상기 조명 시스템의 입력 퓨필 애퍼쳐의 4 개의 사분면 중 적어도 두 개에서 광을 차단하도록 구성되는 공간 필터를 포함하는, 리소그래피 장치.
  19. 제 15 항에 있어서,
    상기 조명 시스템은 적어도 하나의 광원을 더 포함하고, 상기 광원은,
    400 nm 내지 905 nm의 범위 안에서 광을 방출하도록 구성되는 광대역 광원; 및
    방출광 중 10 nm 내지 40 nm의 너비를 가지는 광의 협대역을 투과시키고 잔여 방출광을 차단하도록 구성되는 튜닝가능 필터를 포함하는, 리소그래피 장치.
  20. 제 15 항에 있어서,
    상기 OPS 시스템은, 상기 제 1 서브-빔과 제 2 서브-빔이 광축 중심으로 서로에 대해 180° 회전되도록 상기 제 2 서브-빔을 회전시키도록 구성되는, 리소그래피 장치.
  21. 제 15 항에 있어서,
    상기 OPS 시스템은, 상기 제 1 서브-빔과 제 2 서브-빔이 광축 중심으로 각각 90° 회전되도록 상기 제 1 서브-빔과 제 2 서브-빔을 회전시키도록 더욱 구성되는, 리소그래피 장치.
  22. 제 15 항에 있어서,
    상기 OPS 시스템은 모놀리식(monolithic) 모듈인, 리소그래피 장치.
  23. 제 15 항에 있어서,
    상기 적어도 하나의 빔 스플리터는 비-편광 빔 스플리터인, 리소그래피 장치.
  24. 제 15 항에 있어서,
    상기 OPS 시스템은 복수 개의 인접하는 프리즘을 포함하고, 각각의 서브-빔의 광로는 각각의 계면에서 각각의 프리즘면에 실질적으로 수직인, 리소그래피 장치.
  25. 제 15 항에 있어서,
    상기 적어도 두 개의 광학 브랜치는 각각의 브랜치에 대해 적어도 하나의 이미지-회전 광학계를 포함하는, 리소그래피 장치.
  26. 제 15 항에 있어서,
    상기 OPS 시스템은,
    상기 적어도 하나의 빔을 상기 제 1 서브-빔과 제 2 서브-빔으로 분할하도록 구성되는 제 1 빔 스플리터 프리즘;
    상기 제 1 서브-빔을 세 번 반사하도록 구성되는 제 1 이미지-회전 프리즘 시스템;
    상기 제 2 서브-빔을 세 번 반사하도록 구성되는 제 2 이미지-회전 프리즘 시스템; 및
    상기 제 1 서브-빔과 제 2 서브-빔을 재결합하기 위한 제 2 빔 스플리터 프리즘을 더 포함하는, 리소그래피 장치.
  27. 제 26 항에 있어서,
    상기 제 1 및 제 2 이미지-회전 광학계는 7-면 프리즘을 각각 포함하고, 각각의 7-면 프리즘은 상기 제 1 또는 제 2 빔 스플리터 프리즘과 맞닿은 면을 가지는, 리소그래피 장치.
  28. 제 26 항에 있어서,
    상기 제 1 및 제 2 이미지-회전 프리즘 시스템은, 상기 제 1 또는 제 2 서브-빔을 내부 전반사에 의해 적어도 두 번 반사하도록 구성되는 두 개의 웨지(wedge) 프리즘을 각각 포함하는, 리소그래피 장치.
  29. 퓨필 평면 이미지를 대칭화하기 위한 방법으로서:
    적어도 하나의 방사선 빔으로 기판을 조명하는 단계;
    적어도 하나의 빔을, 퓨필 애퍼쳐를 가지는 대물 광학계를 통해 상기 기판 상에 포커싱하는 단계; 및
    상기 기판으로부터 반사된 적어도 하나의 빔을 검출하는 단계를 포함하고,
    상기 조명하는 단계는,
    상기 적어도 하나의 빔을 제 1 서브-빔과 제 2 서브-빔으로 분할하고 상기 제 1 서브-빔과 제 2 서브-빔을 재결합하는 것; 및
    상기 제 1 서브-빔과 제 2 서브-빔을 각각 수광하고 적어도 두 개의 광학 브랜치를 따라 반사하는 것;
    적어도 제 2 서브-빔의 세기 분포가 반전되도록 상기 제 2 서브-빔을 회전시키는 것; 및
    상기 제 2 서브-빔이 제 1 서브-빔에 대해 대칭이 되도록 상기 제 1 서브-빔을 제 2 서브-빔과 재결합하는 것에 의해, 광학 퓨필 대칭화(OPS) 시스템을 이용하여 상기 퓨필 애퍼쳐에서 상기 적어도 하나의 빔을 대칭화하는 단계를 포함하며,
    상기 제 1 서브-빔과 제 2 서브-빔을 대칭화하면, 적어도 하나의 광원의 시간적 코히어런스 길이보다 더 크고 상기 대물 광학계의 퓨필 평면에서의 초점 깊이보다 더 적은 광로차가 생성되는, 퓨필 평면 이미지 대칭화 방법.
  30. 모놀리식 프리즘 시스템으로서,
    적어도 하나의 빔을 제 1 서브-빔과 제 2 서브-빔으로 분할하고 상기 제 1 서브-빔과 제 2 서브-빔을 재결합하도록 구성되는 적어도 하나의 빔 스플리터; 및
    (i) 상기 제 1 서브-빔과 제 2 서브-빔을 각각 수광하고 반사하며, (ii) 제 2 서브-빔의 세기 분포가 반전되도록 적어도 상기 제 2 서브-빔을 회전시키고, (iii) 상기 제 2 서브-빔이 제 1 서브-빔에 대해 대칭이 되도록 상기 제 1 서브-빔을 제 2 서브-빔과 재결합도록 구성되는 적어도 두 개의 광학 브랜치를 포함하고,
    OPS 시스템은, 상기 제 1 서브-빔과 제 2 서브-빔이, 적어도 하나의 광원의 시간적 코히어런스 길이보다 크고 대물 광학계의 퓨필 평면에서의 초점 깊이보다 적은 광로차를 가지게 하도록 더욱 구성되는, 모놀리식 프리즘 시스템.
KR1020177018889A 2014-12-15 2015-11-19 광학적 퓨필 대칭화를 위한 방법 및 장치 KR101982694B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462092003P 2014-12-15 2014-12-15
US62/092,003 2014-12-15
PCT/EP2015/077028 WO2016096310A1 (en) 2014-12-15 2015-11-19 Method and apparatuses for optical pupil symmetrization

Publications (2)

Publication Number Publication Date
KR20170095290A true KR20170095290A (ko) 2017-08-22
KR101982694B1 KR101982694B1 (ko) 2019-05-27

Family

ID=54608521

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177018889A KR101982694B1 (ko) 2014-12-15 2015-11-19 광학적 퓨필 대칭화를 위한 방법 및 장치

Country Status (8)

Country Link
US (1) US9904173B2 (ko)
EP (1) EP3234694B1 (ko)
JP (1) JP6500107B2 (ko)
KR (1) KR101982694B1 (ko)
CN (1) CN107003624B (ko)
IL (1) IL252475B2 (ko)
TW (1) TWI596317B (ko)
WO (1) WO2016096310A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017101829A1 (de) * 2017-01-31 2018-08-02 Carl Zeiss Microscopy Gmbh Anordnung zur Auflösungssteigerung eines Laser-Scanning-Mikroskops
WO2018172027A1 (en) 2017-03-23 2018-09-27 Asml Netherlands B.V. Asymmetry monitoring of a structure
EP3410212A1 (en) 2017-06-02 2018-12-05 ASML Netherlands B.V. Metrology apparatus
WO2018219639A1 (en) 2017-06-02 2018-12-06 Asml Netherlands B.V. Metrology apparatus
WO2018233929A1 (en) 2017-06-19 2018-12-27 Asml Netherlands B.V. SENSOR, LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD
EP3470926A1 (en) 2017-10-16 2019-04-17 ASML Netherlands B.V. Metrology apparatus, lithographic system, and method of measuring a structure
KR20190054472A (ko) 2017-11-13 2019-05-22 삼성전자주식회사 전반사 프리즘 유닛, 이를 포함하는 전반사 프리즘 어셈블리 및 라인 빔 형성 장치
US10978278B2 (en) * 2018-07-31 2021-04-13 Tokyo Electron Limited Normal-incident in-situ process monitor sensor
DE102019004124B4 (de) * 2019-06-13 2024-03-21 Carl Zeiss Multisem Gmbh Teilchenstrahl-System zur azimutalen Ablenkung von Einzel-Teilchenstrahlen sowie seine Verwendung und Verfahren zur Azimut-Korrektur bei einem Teilchenstrahl-System
US11906770B2 (en) * 2021-10-21 2024-02-20 KLA Corporal Monolithic optical retarder
WO2023174648A1 (en) * 2022-03-18 2023-09-21 Stichting Vu Illumination arrangement for a metrology device and associated method
EP4246232A1 (en) * 2022-03-18 2023-09-20 Stichting VU Illumination arrangement for a metrology device and associated method
WO2024115041A1 (en) * 2022-11-30 2024-06-06 Asml Netherlands B.V. Apparatus for and method of combined display of optical measurement information

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4170401A (en) * 1977-08-15 1979-10-09 The Perkin-Elmer Corporation Passive error compensating device for optical alignment
JPH1062698A (ja) * 1996-06-05 1998-03-06 Olympus Optical Co Ltd 観察光学系
JP3984654B2 (ja) * 1996-10-25 2007-10-03 シュタインフーバー・ヴォルフディートリッヒ 両眼用望遠鏡的拡大眼鏡
JP2008067889A (ja) * 2006-09-14 2008-03-27 Pentax Corp リニア走査式内視鏡用オプティカルコヒーレンストモグラフィプローブ

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02112224A (ja) * 1988-10-21 1990-04-24 Hitachi Ltd 投影露光方法および装置
JP2732498B2 (ja) * 1988-11-24 1998-03-30 株式会社日立製作所 縮小投影式露光方法及びその装置
JPH05175097A (ja) * 1991-12-26 1993-07-13 Nikon Corp 位置合わせ装置
JPH07122469A (ja) * 1993-10-20 1995-05-12 Nikon Corp 投影露光装置
JP3312447B2 (ja) * 1993-11-15 2002-08-05 ソニー株式会社 半導体露光装置
JPH09326344A (ja) * 1996-06-04 1997-12-16 Nikon Corp 露光方法
US20010027704A1 (en) 2000-04-10 2001-10-11 Kee Doo Seok Corkscrew with advertising feature
JP2004154813A (ja) * 2002-11-06 2004-06-03 National Institute Of Advanced Industrial & Technology レーザ加工方法および装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
WO2012010458A1 (en) * 2010-07-19 2012-01-26 Asml Netherlands B.V. Method and apparatus for determining an overlay error
US9164397B2 (en) * 2010-08-03 2015-10-20 Kla-Tencor Corporation Optics symmetrization for metrology
US9488922B2 (en) * 2010-12-06 2016-11-08 Asml Netherlands B.V. Methods and apparatus for inspection of articles, EUV lithography reticles, lithography apparatus and method of manufacturing devices
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4170401A (en) * 1977-08-15 1979-10-09 The Perkin-Elmer Corporation Passive error compensating device for optical alignment
JPH1062698A (ja) * 1996-06-05 1998-03-06 Olympus Optical Co Ltd 観察光学系
JP3984654B2 (ja) * 1996-10-25 2007-10-03 シュタインフーバー・ヴォルフディートリッヒ 両眼用望遠鏡的拡大眼鏡
JP2008067889A (ja) * 2006-09-14 2008-03-27 Pentax Corp リニア走査式内視鏡用オプティカルコヒーレンストモグラフィプローブ

Also Published As

Publication number Publication date
CN107003624B (zh) 2018-11-06
WO2016096310A1 (en) 2016-06-23
CN107003624A (zh) 2017-08-01
KR101982694B1 (ko) 2019-05-27
IL252475B1 (en) 2023-04-01
EP3234694A1 (en) 2017-10-25
IL252475B2 (en) 2023-08-01
EP3234694B1 (en) 2022-10-12
US9904173B2 (en) 2018-02-27
TWI596317B (zh) 2017-08-21
JP6500107B2 (ja) 2019-04-10
TW201625898A (zh) 2016-07-16
IL252475A0 (en) 2017-07-31
JP2018507425A (ja) 2018-03-15
US20160209755A1 (en) 2016-07-21

Similar Documents

Publication Publication Date Title
KR101982694B1 (ko) 광학적 퓨필 대칭화를 위한 방법 및 장치
JP5280555B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
TWI551957B (zh) 檢查方法和裝置,微影裝置,微影製程單元及器件製造方法
US20080198380A1 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US9904181B2 (en) Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2009106279A1 (en) Metrology method and apparatus, lithographic apparatus, and device manufacturing method
US11126007B2 (en) Beam splitting prism systems
KR20110015624A (ko) 리소그래피용 검사 장치
US20200278295A1 (en) Beam Pointing Monitor and Compensation Systems
US20110007316A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
US10809193B2 (en) Inspection apparatus having non-linear optics
US20230213868A1 (en) Lithographic apparatus, metrology systems, illumination switches and methods thereof
US8497975B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20110102774A1 (en) Focus Sensor, Inspection Apparatus, Lithographic Apparatus and Control System
NL2004688A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant