KR20170021191A - 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크 - Google Patents

극자외선용 블랭크 마스크 및 이를 이용한 포토마스크 Download PDF

Info

Publication number
KR20170021191A
KR20170021191A KR1020160050066A KR20160050066A KR20170021191A KR 20170021191 A KR20170021191 A KR 20170021191A KR 1020160050066 A KR1020160050066 A KR 1020160050066A KR 20160050066 A KR20160050066 A KR 20160050066A KR 20170021191 A KR20170021191 A KR 20170021191A
Authority
KR
South Korea
Prior art keywords
film
layer
platinum
extreme ultraviolet
absorbing
Prior art date
Application number
KR1020160050066A
Other languages
English (en)
Other versions
KR101772943B1 (ko
Inventor
남기수
신철
이종화
양철규
최민기
김창준
Original Assignee
주식회사 에스앤에스텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에스앤에스텍 filed Critical 주식회사 에스앤에스텍
Publication of KR20170021191A publication Critical patent/KR20170021191A/ko
Application granted granted Critical
Publication of KR101772943B1 publication Critical patent/KR101772943B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

투명 기판 상에 적어도 다층 반사막, 흡수막 및 레지스트막이 적층된 극자외선용 블랭크 마스크가 개시된다. 흡수막은 플래티늄(Pt), 니켈(Ni), 탄탈(Ta), 아연(Zn), 루테늄(Ru), 로듐(Rh), 은(Ag), 인듐(In), 오스뮴(Os), 이리듐(Ir), 금(Au) 중 선택되는 1종 이상의 금속 물질을 포함하거나, 또는, 상기 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 구성된다. 흡수막의 차광성을 확보함과 동시에 흡수막을 박막화할 수 있고, 또한 내화학성 및 내노광성을 향상시킬 수 있다.

Description

극자외선용 블랭크 마스크 및 이를 이용한 포토마스크{Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same}
본 발명은 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크에 관한 것으로서, 보다 상세하게는, 13.5㎚의 극자외선(Extreme Ultra Violet; EUV)광을 노광광으로 사용하여 14㎚급 이하, 특히 10㎚급 이하의 미세 패턴 구현이 가능한 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크에 관한 것이다.
고집적화에 따른 포토-리소그래피 (Photo-lithography) 기술은 고해상도 (High Resolution) 구현을 위하여 현재의 193㎚(ArF)의 노광광에서 근래에는 13.5㎚ 파장의 EUV 노광광을 이용한 리소그래피 기술로의 발전이 이루어지고 있다.
그러나, EUV 리소그래피에 사용되는 13.5㎚ 파장의 노광광은 대부분의 물질(기체 포함)에 쉽게 흡수되는 성질이 있어 EUV 리소그래피 기술은 기존의 투과형 리소그래피 기술(예를 들어, ArF 리소그래피 기술의 투광부와 차광부를 이용하는 원리)과는 달리 극자외선 광을 반사하는 반사막과 극자외선 광을 흡수하는 흡수막이 순차적으로 적층된 구조를 가진다. 즉, 극자외선용 블랭크 마스크는 크게 다층 반사막(Multi-reflective layer) 부분과 흡수막(Absorber layer) 부분의 2부분으로 구성된다.
일반적으로 상기 다층 반사막은 몰리브데늄(Mo)과 실리콘(Si)이 교대로 40층 내지 60층으로 적층된 구조를 가지며, 이는 13.5㎚의 파장에서 64% ∼ 66%의 반사율을 나타낸다. 그리고, 상기 흡수막은 13.5㎚의 극자외선 노광광을 흡수할 수 있는 물질로서 탄탈륨(Ta)이 사용되며, 일반적으로 흡수계수가 높은 탄탈륨(Ta) 물질을 기반으로 한 흡수막으로 사용되고 있다. 예를 들어, 현재 개발되고 있는 흡수막은 탄탈륨을 기반으로 질화탄탈륨(TaN), 질화산화탄탈륨(TaON)등으로 구성되며, 탄탈륨(Ta) 화합물의 경우 반도체 제조공정에서 널리 사용되고 있는 염소(Cl) 및 불소(F) 계열의 라디칼(Radical)을 이용한 플라즈마 식각이 용이하여, 마스크 제조 공정을 쉽게 할 수 있는 장점이 있다.
그러나, 상술한 탄탈륨(Ta) 화합물로 구성하는 흡수막을 이용하여 14㎚급 이하의 패턴을 구현하는 경우 아래와 같은 문제점이 발생한다.
도 1은 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크에서 발생되는 그림자 효과를 설명하기 위하여 도시한 도면이다.
도 1을 참조하면, 종래의 극자외선용 블랭크 마스크는 상기 흡수막의 두께에 의한 그림자 효과(Shadowing Effect)가 문제된다. 그림자 효과란, 흡수막 패턴(106a)에 극자외선 노광광이 조사될 때 극자외선 노광광의 입사 각도가 수직입사 대비 기울어짐(약 4°∼ 6°)에 따라 흡수막 패턴(106a)이 가지는 두께에 의해 반사광이 흡수막 패턴(106a)에 흡수되어 일정 부분 전사되지 못하는 것을 말한다. 상기 흡수막 패턴(106a)이 탄탈륨(Ta) 화합물로 구성되는 경우, 탄탈륨(Ta)은 극자외선 노광광에 대한 흡수도가 비교적 낮기 때문에 흡수막 패턴(106a)은 70㎚ 이상의 두께를 필요로 한다. 흡수막 패턴(106a)은 그 두께가 두꺼울수록 그림자 효과 또한 커지기 때문에 흡수막 패턴(106a) 두께의 박막화가 요구된다. (도 1 에서 도면부호 102 는 투명 기판, 104 는 다층 반사막이다.)
또한, 그림자 효과는 최종적으로 포토마스크 제조 후 웨이퍼 전사 시, 가로 패턴(Horizontal Pattern: HP)과 세로 패턴(Vertical Pattern: VP) 사이에 패턴 간(HP-VP) 임계치수(CD) 편차(Bias)를 발생시킨다. 특히, 이러한 특성은 패턴의 방향(가로 또는 세로) 및 스캐너(Scanner)의 방향에 따라 가로 패턴과 세로 패턴 간의 그림자 효과가 달리 발생하게 된다.
도 2는 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크의 패턴 방향에 따른 그림자 효과의 발생 유무를 설명하기 위하여 도시한 도면이다.
도 2를 참조하면, 세로 패턴(a)의 경우 먼저 설명했던 바와 같이 그림자 효과가 발생하지만, 가로 패턴(b)의 경우에는 패턴의 방향과 입사광 및 반사광이 평행함에 따라 그림자 효과가 문제되지 않는다. 따라서, 세로 패턴(a)과 가로 패턴(b) 간에 임계치수 편차(CD Bias)가 발생한다.
탄탈륨(Ta) 화합물로 형성된 흡수막 패턴이 70㎚ 이상의 두께를 가지는 경우, 가로-세로 패턴 간 임계 치수 편차가 약 10㎚ 이상 발생하여, 구현하고자 하는 패턴 크기가 작을수록 임계 치수 편차의 비율은 커진다.
이에 따라, 흡수막의 두께를 낮추기 위해 높은 소멸 계수(k)를 갖는 니켈(Ni), 은(Ag), 인듐(In), 플래티늄(Pt) 등의 단일 금속물질로 흡수막을 형성할 수 있으나, 상기 단일 금속 물질의 흡수막은 내화학성이 우수하지 못하다.
본 발명은 흡수막의 차광성을 확보함과 동시에 흡수막을 박막화한 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공하는 것을 목적으로 한다.
또한, 본 발명은 내화학성 및 내노광성을 향상시킬 수 있는 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위하여 본 발명은, 투명 기판 상에 적어도 다층 반사막, 흡수막 및 레지스트막이 적층된 극자외선용 블랭크 마스크에 있어서, 상기 흡수막은 플래티늄(Pt), 니켈(Ni), 탄탈(Ta), 아연(Zn), 루테늄(Ru), 로듐(Rh), 은(Ag), 인듐(In), 오스뮴(Os), 이리듐(Ir), 금(Au) 중 선택되는 1종 이상의 금속 물질을 포함하거나, 또는, 상기 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 구성되는 극자외선용 블랭크 마스크를 제공한다.
본 발명의 다른 측면에 따르면, 투명 기판 상에 적어도 다층 반사막, 흡수막 및 레지스트막이 적층된 극자외선용 블랭크 마스크에 있어서, 상기 흡수막은 플래티늄(Pt)을 필수적으로 포함하고, 상기 플래티늄(Pt)에 니켈(Ni), 탄탈(Ta), 아연(Zn), 루테늄(Ru), 로듐(Rh), 은(Ag), 인듐(In), 오스뮴(Os), 이리듐(Ir), 금(Au) 중 선택되는 1종 이상의 금속 물질을 더 포함하여 이루어지거나, 상기 금속 물질에 1종 이상의 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 구성되는 극자외선용 블랭크 마스크가 제안된다.
상기 흡수막을 구성하는 플래티늄(Pt) 대비 추가 금속 물질(Ni, Ta, Zn, Ru, Rh, Ag, In, Os, Ir, Au)의 조성비는 95at% : 5at% ∼ 5at% : 95at% 인 것이 바람직하다.
상기 금속 대비 경원소의 조성비는 9 : 1 ∼ 2 : 8인 것이 바람직하다.
상기 흡수막은 플래티늄(Pt) 화합물 단일 타겟을 이용하여 형성하거나, 또는, 플래티늄(Pt) 타겟을 포함하는 복수의 타겟을 동시에 스퍼터링(Co-Sputtering)하여 형성된다.
상기 흡수막이 플래티늄(Pt) 화합물 단일 타겟을 이용하여 형성되는 경우, 상기 단일 타겟은 플래티늄(Pt) : 추가 금속 물질(Ni, Ta, Zn, Ru, Rh, Ag, In, Os, Ir, Au) = 1at% : 99at% ∼ 99at% : 1at%의 조성비를 갖는다.
상기 흡수막은 30㎚ ∼ 70㎚의 두께를 갖는다.
상기 흡수막은 상부층 및 하부층의 2층 구조로 이루어지며, 상기 상부층 및 하부층은 10% 이상의 금속 물질 및 경원소 함유량 차이를 갖는다.
상기 흡수막은 13.5㎚의 극자외선용 노광광에 대하여 10% 이하의 반사율을 갖고, 상기 흡수막은 193㎚의 검사 파장에 대하여 50% 이하의 반사율을 갖는다.
상기 흡수막은 300MPa 이하, 바람직하게, 200MPa 이하의 박막 응력을 갖는 것이 바람직하다.
본 발명의 블랭크마스크는, 상기 다층 반사막 및 흡수막 사이에 구비된 캡핑막, 상기 캡핑막과 흡수막 사이에 구비된 버퍼막, 상기 투명 기판의 하부에 구비된 도전막, 상기 다층반사막의 상부에 구비된 위상반전막, 상기 흡수막 상에 구비된 하드 필름 중 적어도 하나 이상의 막을 더 포함할 수 있다.
본 발명의 다른 측면에 의하면, 상기와 같은 구성을 갖는 극자외선용 블랭크 마스크로 형성된 극자외선용 포토마스크가 제공된다.
본 발명은 흡수막을 높은 소멸 계수(k)를 갖는 금속 화합물로 구성하여, 흡수막의 차광성을 확보함과 동시에 흡수막의 박막화 가능한 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공할 수 있다.
또한, 본 발명은 흡수막을 구성하는 금속 및 경원소의 조성비를 조절하여 내화학성 및 내노광성을 향상시킬 수 있는 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공할 수 있다.
도 1은 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크에서 발생되는 그림자 효과를 설명하기 위하여 도시한 도면.
도 2는 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크의 패턴 방향에 따른 그림자 효과의 발생 유무를 설명하기 위하여 도시한 도면.
도 3은 본 발명의 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도.
이하에서는, 도면을 참조하여 본 발명의 실시예를 통하여 본 발명을 구체적으로 설명하지만, 실시예는 단지 본 발명의 예시 및 설명을 하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로, 본 발명의 기술 분야에서 통상의 지식을 가진 자라면 실시예로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 수 있을 것이다. 따라서, 본 발명의 진정한 기술력 보호범위는 특허청구범위의 기술적 사항에 의해 정해져야 할 것이다.
도 3은 본 발명의 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.
도 3을 참조하면, 본 발명에 따른 극자외선용 블랭크 마스크(200)는 투명 기판(202) 상에 적층된 다층 반사막(204), 흡수막(212) 및 레지스트막(214)을 포함하며, 다층 반사막(204)과 흡수막(212) 사이에 구비된 캡핑막(206)을 더 포함할 수 있다.
투명 기판(202)은 EUV광을 이용하는 반사형 마스크 블랭크용 글래스 기판으로서 적합하도록 노광 시의 열에 의한 패턴의 변형을 방지하기 위해 6 ± 1.0 × 10-7/℃ 범위 내의 저 열팽창 계수를 가지며, 바람직하게는 6 ± 0.3 × 10-7/℃ 범위 내의 저 열팽창 계수를 갖는 LTEM(Low Thermal Expansion Material) 기판이다.
상기 LTEM 기판은 노광 시 반사광의 정밀도를 높이기 위하여 높은 평탄도(Flatness)가 요구된다. 평탄도는 TIR값으로 표현되며, TIR(Total Indicated Reading)이란 표면의 휘어짐(변형량)을 나타내는 값으로 기판 표면을 기준으로 하여 최소 제곱법에 의해 정해지는 평면을 초평면으로 하고, 이 초평면보다 위에 있는 기판 표면의 가장 높은 위치와 초평면보다 아래에 있는 기판 표면의 가장 낮은 위치와의 고저차의 절대값을 말한다. 따라서, 평탄도가 양호할수록 TIR값은 낮은 값을 갖게 되고, LTEM 기판은 낮은 TIR값을 갖는 것이 바람직하며, LTEM 기판의 평탄도는 60㎚ 이하의 TIR값을 가지며, 바람직하게, 40㎚ 이하의 평탄도를 갖는다.
다층 반사막(204)은 몰리브데늄(Mo) 및 실리콘(Si)을 교대로 40층 내지 60층 적층하여 형성한다. 다층 반사막(204)은 이미지 감도(Image Contrast)를 좋게 하기 위하여 13.5㎚ 파장에 대한 높은 반사율이 요구된다. 이러한 다층 반사막의 반사 강도(Reflection Intensity)는 노광광의 입사 각도 및 각 층의 두께에 따라 달라지게 되는데, 예를 들어, 노광광의 입사 각도가 5˚일 경우 몰리브데늄(Mo) 및 실리콘(Si)이 각각 2.8㎚, 4.2㎚의 두께로 형성되는 것이 바람직하나, EUV 액침 노광 리소그래피(Immersion Lithography) 적용 시 입사 각도가 8˚∼ 14˚로 넓어짐에 따라, 반사 강도가 달라지게 된다. 따라서, 다층 반사막(204)은 노광광의 최종 입사 각도에 최적화된 반사 강도를 가져야 하며, 이때 몰리브데늄(Mo)은 2㎚ ∼ 4㎚, 실리콘(Si)은 3㎚ ∼ 5㎚의 두께를 갖는다.
다층 반사막(204)은 몰리브데늄(Mo)이 대기에 접촉하면 쉽게 산화되어 반사율이 저하되기 때문에 산화 방지를 위한 보호막으로서 실리콘(Si)을 최상부층에 형성하는 것이 바람직하다. 다층 반사막(204)은 13.5㎚의 극자외선용 노광 파장에 대하여 65% 이상의 반사율을 가지며, 193㎚ 또는 257㎚의 파장에 대하여 40% ∼ 65%의 반사율을 갖는다. 다층 반사막(204)은 표면 TIR의 절대값으로 300㎚ 이하의 값을 가지며, 바람직하게, 100㎚ 이하의 값을 갖는다. 다층 반사막(204)의 표면 거칠기(Surface Roughness)는 0.2㎚RMS 이하의 값을 가지며, 바람직하게, 0.1㎚RMS 이하의 값을 갖는다.
캡핑막(206)은 다층 반사막(204) 상에 형성되어 패턴 형성 시 다층 반사막(204)을 보호하는 역할을 한다.
캡핑막(206)은, 루테늄(Ru), 니오븀(Nb)으로 구성되거나 또는 루테늄(Ru) 화합물, 니오븀(Nb) 화합물로 구성되며, 루테늄(Ru)과 니오븀(Nb)을 모두 포함하는 화합물로 형성할 수 있다. 캡핑막(206)은 상기 금속 물질에 산소(O), 질소(N), 탄소(C) 중 1종 이상의 경원소 물질을 더 포함할 수 있으며, 캡핑막(206)을 구성하는 금속 및 경원소(산소(O), 질소(N), 탄소(C) 중 함유된 물질의 합)는 10 : 0 ∼ 5 : 5의 함유량 비율을 갖는다.
캡핑막(206)은 1㎚ ∼ 10㎚의 두께를 가지며, 바람직하게, 1㎚ ∼ 5㎚의 두께를 갖는다. 캡핑막(206)은 그 두께가 1㎚ 이하인 경우, 상부 흡수막 패턴 형성 시 식각 조건(예를 들어, Over Etching 등)을 고려하였을 때 하부에 형성된 다층 반사막(204)을 보호하기 어렵다. 또한, 그 두께가 10㎚ 이상인 경우, 13.5㎚의 노광 파장에 대하여 60% 미만의 반사율을 가져 흡수막(212) 반사율에 대한 이미지 감도(Image Contrast)가 감소한다.
캡핑막(206)은 13.5㎚의 극자외선 노광 파장에 대하여 60% 이상의 반사율을 가지며, 표면 TIR의 절대값으로 300㎚ 이하의 값을 갖고, 바람직하게, 100㎚ 이하의 값을 갖는다. 캡핑막(206)의 표면 거칠기(Surface Roughness)는 0.2㎚RMS 이하의 값을 가지며, 바람직하게, 0.1㎚RMS 이하의 값을 갖는다.
흡수막(212)은 캡핑막(206) 상에 형성되며 노광광을 흡수하는 역할을 한다.
본 발명에 따른 극자외선용 블랭크 마스크(200)는 극자외선용 포토마스크의 노광 시 발생할 수 있는 그림자 효과를 저감하기 위하여 흡수막(212)의 박막화가 필요하다. 이를 위해, 흡수막(212)은 노광광에 대한 높은 소멸 계수(k)를 갖고, 하부 캡핑막(206)에 대하여 식각 선택비가 우수하며, 세정에 사용되는 화학 약품에 대하여도 우수한 내성을 갖는 물질로 구성된다.
본 발명에서 흡수막(212)은 플래티늄(Pt)을 필수적으로 포함하며, 니켈(Ni), 탄탈(Ta), 아연(Zn), 루테늄(Ru), 로듐(Rh), 은(Ag), 인듐(In), 오스뮴(Os), 이리듐(Ir), 금(Au) 중 선택되는 1종 이상의 금속 물질을 포함하여 이루어지거나, 또는 상기 1종 이상의 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 이루어진다.
상기 플래티늄(Pt)은 세정 및 기타 화학 약품에 대한 내성이 우수하고 13.5㎚의 노광 파장에서 높은 소멸 계수(k) 값을 가지므로, 내화학성이 우수한 흡수막을 구성하기에 적합하다. 자세하게, 종래 흡수막의 주요 구성 물질인 탄탈(Ta)이 13.5㎚의 노광 파장에서 각각 0.0408의 소멸 계수(k) 값을 갖는 것에 대비하여, 플래티늄(Pt)은 0.0600의 소멸 계수(k) 값을 가지므로 흡수막의 단위 두께당 차광성을 높여 박막화가 가능하다. 예를 들어, 탄탈(Ta)의 경우 13.5㎚의 노광 파장에서 1.0% 이하의 반사율을 만족하기 위하여 70㎚ 이상의 두께가 필요하였으나, 플래티늄(Pt)은 70㎚ 이하, 바람직하게는 65㎚ 이하의 두께로 가능하여 그림자 효과를 줄일 수 있게 되었다.
또한, 흡수막(212)은 플래티늄(Pt)을 주성분으로 하고, 상기 금속 물질 중 1종을 추가로 포함하여 이루어지거나, 또는 상기 금속 물질들에 상기 경원소 물질 중 1 종을 추가로 포함하여 이루어지는 것이 바람직하다. 자세하게, 플래티늄(Pt)보다 높은 소멸 계수(k) 값을 갖는 금속 물질(니켈(Ni), 아연(Zn), 루테늄(Ru), 로듐(Rh), 은(Ag), 인듐(In), 오스뮴(Os), 이리듐(Ir), 금(Au) 중 선택되는 1종 이상)을 포함하여 흡수막의 소멸 계수(k) 값을 높이고, 흡수막의 두께를 더욱 박막화할 수 있다. 이때, 플래티늄(Pt) 대비 추가 금속 물질(Ni, Ta, Zn, Ru, Rh, Ag, In, Os, Ir)은 95at% : 5at% ∼ 5at% : 95at%의 조성비를 갖는다.
흡수막(212)은 산소(O), 질소(N), 탄소(C) 중 1종 이상의 경원소 물질을 더 포함할 수 있으며, 상기 금속 대비 경원소는 9 : 1 ∼ 2 : 8의 함유량 비율을 갖는다.
흡수막(212)은 단층 또는 2층 이상의 다층막으로 구성할 수 있다.
흡수막(212)이 단층 구조로 형성되는 경우, 흡수막(212)은 조성비가 일정한 단일막으로 구성되거나, 또는, 두께 방향으로 조성비가 변화되는 연속막의 형태로 구성할 수 있다. 또한, 흡수막(212)은 두께 방향으로 조성비가 변화하는 연속막 또는 2층 이상의 다층막의 구성을 가질 수 있다. 흡수막(212)이, 예를 들어, 하부층(208) 및 상부층(210)으로 구성된 2층의 다층막 구조로 형성되는 경우, 플래티늄(Pt)을 주성분으로 하는 상부층(210)은 산소(O) 및 질소(N) 중 적어도 하나 이상이 하부층(208) 대비 높은 조성을 가지며, 바람직하게, 막의 박막화를 위하여 상부층(210)에 비하여 낮은 산소(O) 함유량을 갖는다. 이때, 흡수막(212)을 구성하는 금속 물질 및 경원소는 하부층(208) 및 상부층(210) 사이에 적어도 10% 이상의 함유량 차이를 갖는다.
흡수막(212)은 플래티늄(Pt) 화합물로 구성된 단일 타겟, 또는, 플래티늄(Pt) 타겟을 포함하는 복수의 타겟을 동시 스퍼터링(Co-Sputtering)하여 형성할 수 있다.
흡수막(212)이 단일 타겟을 이용하여 형성되는 경우, 상기 단일 타겟은 플래티늄(Pt) 화합물로 구성할 수 있으며, 플래티늄(Pt) : 추가 금속 물질(Ni, Ta, Zn, Ru, Rh, Ag, In, Os, Ir, Au) = 1at% : 99at% ∼ 99at% : 1at%의 조성비를 갖는다.
흡수막(212)이 복수의 타겟을 사용하는 경우, 상기 복수의 타겟은 동일한 챔버 안에서 동시에 스퍼터링(Co-Sputtering)하며, 타겟의 크기(표면적 비율)를 조절하여 막의 조성비를 조절 가능하다.
흡수막(212)은 30㎚ ∼ 70㎚의 두께를 갖는다. 흡수막(212)의 두께가 30㎚ 이하이면, 노광광에 대한 반사율이 10% 이상으로 반사율이 높고, 70㎚ 이상이면 가로-세로 패턴의 임계치수 편차가 높아 목표로 하는 임계치수 대비 편차가 커져 임계치수 균일도, MEEF(Mask-Enhanced Error Factor) 증가의 원인이 된다. 또한, 10㎚ 이하 급의 패턴 형성시 노광광의 입사 각도가 수직입사 대비 9°이상 기울어짐에 따라 그림자 효과가 더욱 커지는 현상이 발생하므로, 그림자 효과를 저감시키기 위하여 55㎚ 이하의 두께를 갖는 것이 바람직하다.
흡수막(212)은 193㎚ 또는 257㎚의 파장에서 50% 이하의 낮은 반사율을 갖는다. 흡수막(212)은 13.5㎚의 극자외선용 노광광에 대하여 10% 미만의 반사율을 가지며, 바람직하게, 5% 이하의 반사율, 더욱 바람직하게, 1% 이하의 반사율을 갖는다.
흡수막(212)의 박막 응력(Stress)은 300㎫ 이하, 바람직하게 200㎫ 이하의 박막 응력을 갖는다.
레지스트막(214)은 화학증폭형 레지스트(CAR: Chemically Amplified Resist)가 사용되며, 레지스트막(214)은 200㎚ 이하의 두께를 갖고, 바람직하게, 100㎚ 이하의 두께를 갖는다.
아울러, 도시하지는 않았지만, 본 발명에 따른 극자외선 블랭크 마스크는 투명 기판의 후면에 구비된 도전막을 더 포함할 수 있다. 상기 도전막은 LTEM 기판 상에 다층 반사막, 캡핑막, 흡수막을 형성한 후 기판의 후면에 형성하거나, 또는, 상기 박막들의 형성 전에 LTEM 기판의 후면에 우선적으로 형성할 수 있다.
상기 도전막은 낮은 면저항 값을 가져 정전척(Electronic-Chuck)과 극자외선용 블랭크 마스크의 밀착성을 향상시키며, 정전척과 도전막의 마찰에 의해 도전막에 의하여 파티클이 발생하는 것을 방지하도록 역할을 한다. 따라서, 도전막은 100Ω/□ 이하의 면 저항값을 가지며, 바람직하게, 50Ω/□ 이하, 더욱 바람직하게는 20Ω/□ 이하의 저항값을 갖는다.
상기 도전막은 70㎚ 이하의 두께를 가지며, 단층의 단일막, 단층의 연속막 또는 다층막의 형태로 구성할 수 있으며, 193㎚ 내지 257㎚ 파장에서 30% 이하의 반사율을 갖는다. 상기 도전막은, 예를 들어, 크롬(Cr)을 주성분으로 하여 형성할 수 있고, 2층의 다층막으로 구성되는 경우, 하부층은 크롬(Cr) 및 질소(N)를 포함하고, 상부층은 크롬(Cr), 질소(N) 및 산소(O)를 포함하여 이루어질 수 있다. 이때, 도전막은 크롬(Cr) 및 경원소(산소(O), 질소(N), 탄소(C), 붕소(B)의 합)가 8 : 2 ∼ 2 : 8의 조성비를 갖는다.
아울러, 본 발명에 따른 극자외선용 블랭크 마스크는 다층 반사막의 상부에 위상 반전막을 형성하거나, 또는, 상기 흡수막 상에 흡수막과 식각 선택비를 가지며 흡수막의 패터닝 시 식각 마스크 역할을 하는 하드 필름을 삽입하여 패턴 정확도를 높일 수 있다.
그리고, 다층 반사막, 캡핑막, 흡수막 및 도전막들은 선택적으로 열처리할 수 있으며, 열처리 공정은 급속 열처리 장치(Rapid Thermal Process; RTP), 진공 핫-플레이트(Vacuum Hot-Plate Bake), 플라즈마(Plasma) 및 퍼니스(Furnace) 중 1 종 이상의 방법으로 수행 가능하다.
이하에서는 본 발명의 실시예에 따른 극자외선용 블랭크 마스크에 대하여 상세히 설명하도록 한다.
(실시예)
흡수막의 구성 물질에 따른 두께 평가
극자외선용 블랭크 마스크는 상기 흡수막의 두께에 의한 그림자 효과가 문제된다. 이에 따라, 흡수막의 구성 물질 및 조성비에 따라 상기 광학 특성을 만족하는 두께를 비교 평가하였다.
상기 흡수막은 DC 마그네트론 스퍼터링 설비를 이용하여, 2층 구조로 형성하였으며, 하부층은 공정 가스로 Ar : N2 = 9sccm : 1sccm 주입하고, 공정 파워는 1.0㎾를 사용하여, 상기 각 금속의 질화층으로 형성하였다. 또한, 상부층은 공정 가스로 Ar : N2 : NO = 5sccm : 5sccm : 3sccm 주입하고, 공정 파워는 1.0㎾를 사용하여, 각 금속의 산화질화층으로 형성하였다. 그리고, 비교예로 종래의 니켈(Ni) 및 니켈탄탈(NiTa) 화합물로 흡수막을 형성하였다.
상기 흡수막들의 반사율은 EUV Reflecto-meter를 이용하여 측정하였다.
표 1은 13.5㎚의 노광 파장에 대하여 1%의 반사율과 검사 파장인 193㎚에 대하여 30% 미만의 반사율을 나타내는 흡수막의 두께를 나타낸 것이다.
타겟 타겟
조성비
흡수막
두께
반사율
@13.5㎚ @193㎚
실시예 1 Pt - 65.2㎚ 0.98% 22.6%
실시예 2 NiPt Ni : Pt
= 5 : 5
53.6㎚ 0.99% 22.1%
실시예 3 NiPt Ni : Pt
= 7 : 3
47.7㎚ 0.98% 22.3%
실시예 4 NiPt Ni : Pt
= 9 : 1
43.1㎚ 0.99% 21.8%
비교예 1 Ni - 41.2㎚ 0.97% 21.5%
표 1을 참조하면, 실시예 2 내지 실시예 4의 흡수막은 43.1㎚ ∼ 53.6㎚의 두께로 형성 가능하여 55㎚ 이하의 양호한 두께를 나타내었다.
흡수막의 구성 물질에 따른 광학 특성 및 내화학성 평가
흡수막의 구성 물질 및 조성비에 따른 흡수막의 광학 특성 및 내화학성을 평가하였다.
상기 흡수막은 앞에서 실시한 "구성 물질에 따른 두께 평가"의 실시예 1 내지 실시예 4 및 비교예 1을 동일하게 사용하였으며, 상기 흡수막들의 반사율은 EUV Reflecto-meter를 이용하여 측정하였고, 내화학성 평가를 위하여 각 흡수막에 대한 SPM 평가를 진행하였다.
SPM 평가는 H2SO4와 H2O2를 혼합한 용액을 사용하며, H2SO4 : H2O2 = 10 : 1의 비율로 하고, 약 90℃의 온도에서 10분간 3회 세정 공정을 진행하였으며, 세정 공정 전·후의 두께 변화를 측정하였다. 이때, 흡수막의 두께는 X-Ray Reflectometry (XRR) 또는 Bruker AXS사의 D8 Discover 장비를 사용하여 측정하였다.
표 2는 일정 두께로 형성된 흡수막의 구성 물질에 따른 광학 특성 및 SPM 평가에 따른 내화학성 평가를 나타낸 것이다.

타겟
타겟
조성비
반사율 흡수막
두께 변화
@13.5㎚ @193㎚
실시예 1 Pt - 0.98% 22.6% 0.5㎚
실시예 2 NiPt Ni : Pt
= 5 : 5
0.99% 22.1% 0.8㎚
실시예 3 NiPt Ni : Pt
= 7 : 3
0.98% 22.3% 3.2㎚
실시예 4 NiPt Ni : Pt
= 9 : 1
0.99% 21.8% 7.8㎚
비교예 1 Ni - 0.97% 21.5% 38.2㎚
표 2를 참조하면, 본 발명의 실시예들은 흡수막의 두께 변화가 비교예 1과 비교하여 매우 적은 두께 변화를 나타내어 내화학성이 우수함을 확인할 수 있었다.
본 발명에 따른 극자외선용 랭크 마스크의 제조
본 발명에 따른 극자외선용 블랭크 마스크의 제조를 위하여, 기판은 6 inch x 6 inch x 0.25 inch의 크기를 가지고, 평탄도(TIR값)가 60㎚ 이하로 제어되며, SiO2-TiO 성분으로 이루어진 LTEM(Low Thermal Expansion Material) 기판을 준비하였다.
상기 LTEM 기판의 후면에는 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 크롬(Cr)을 주성분으로 하는 도전막(Conductive layer)을 형성하였다. 상기 도전막은 질화크롬(CrN; 하부층)과 산화질화크롬(CrON; 상부층)의 2층 구조로 형성하였다. 상기 상·하부층의 도전막은 모두 크롬(Cr) 타겟을 이용하여 형성하고, 하부층의 도전막은 공정 가스로 Ar : N2 = 5sccm : 5sccm 주입하고, 공정 파워 1.4㎾를 사용하여 42㎚의 두께를 갖는 질화크롬(CrN) 막으로 형성하였다. 상부층의 도전막은 공정 가스로 Ar : N2 : NO = 7sccm : 7sccm : 7sccm 주입하고, 공정 파워는 1.4㎾를 사용하여 24㎚의 두께를 갖는 산화질화크롬(CrON) 막으로 형성하였다. 최종적으로 도전막은 66㎚의 두께로 형성되었고, 형성된 도전막의 면저항을 4-Point Probe를 이용하여 측정한 결과 16.5Ω/□의 면저항값을 나타내어 정전척과의 결합(E-Chucking)에 문제가 없음을 확인하였다.
상기 LTEM 기판의 전면부에 이온 빔 증착-저밀도결함(Ion Beam Deposition-Low Defect Density: 이하, 'IBD-LDD'라고 함) 장비를 이용하여 몰리브데늄(Mo) 4.8㎚, 실리콘(Si) 2.2㎚의 두께로 40층을 교대로 성막하여 다층 반사막을 형성하였다. 상기 다층 반사막의 반사율은 EUV Reflecto-meter를 이용하여 측정하였고, 측정 결과 13.5㎚의 파장에서 67.8%의 반사율을 나타내었으며, 193㎚의 파장에서 64.6%의 반사율을 나타내었다. 그리고, AFM(Atomic Force Microscopy) 장비를 이용하여 상기 다층 반사막의 표면 거칠기(Surface Roughness)를 측정하였고, 측정 결과 0.12㎚RMS의 표면 거칠기를 나타내어 EUV 노광광이 다층 반사막에서 반사 시 표면 거칠기에 의한 난반사가 적게 일어나는 것을 알 수 있었다. 또한, Ultra-Flat 장비를 이용하여 다층 반사막 142㎟ 영역의 평탄도를 측정한 결과 54㎚의 TIR(Total Indicated Reading) 값을 나타내어 반사막에 의한 패턴 위치 왜곡이 적은 것을 알 수 있었다.
상기 다층 반사막 상에 IBD-LDD 장비를 이용하여 루테늄(Ru)을 2.5㎚의 두께로 적층하여 캡핑막을 형성하였다. 상기 캡핑막의 형성 후, 다층 반사막과 동일하게 반사율을 측정한 결과 13.5㎚의 파장에서 65.8%의 반사율을 나타내어 다층 반사막의 반사율 수치였던 67.8%와 대비하여 반사율 변화가 거의 없음을 확인하였다. 그리고, 193㎚의 파장에서 반사율을 측정한 결과, 55.43%의 반사율을 나타내었다. 또한, 표면 거칠기 및 평탄도를 동일하게 측정한 결과, 표면 거칠기 값은 0.13㎚RMS를 나타내어 다층 반사막과 비교하여 거의 변화가 없었으며, TIR값 또한 54㎚로 변화가 없었음을 확인하였다.
상기 캡핑막 상에 DC 마그네트론 스퍼터링 설비를 이용하여 상부층 및 하부층으로 이루어진 2층 구조의 흡수막을 성막하였다. 상기 상부층 및 하부층은 모두 니켈플래티늄(NiPt) 타겟(조성비 Ni : Pt = 50at% : 50at%)을 이용하였으며, 공정 가스 및 공정 파워를 조절하여 형성하였다.
하부층은 공정 가스로 Ar : N2 = 9sccm : 1sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 48㎚ 두께의 질화니켈플래티늄(NiPtN) 막을 형성하였고, 상부층은 공정가스로 Ar : N2 : NO = 5sccm : 5sccm : 3sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 13㎚ 두께의 산화질화니켈플래티늄(NiPtON) 막을 형성하였다. 상기 상부층 및 하부층의 반사율을 측정한 결과, 상기 상부층은 13.5㎚의 노광 파장에 대하여 1.65%의 반사율을 나타내었으며, 상기 하부층은 13.5㎚의 노광 파장에 대하여 0.76%의 반사율을 나타내었고, 193㎚의 검사 파장에서는 22.4%의 반사율을 나타내었다.
상기 흡수막에 대하여 Ultra-Flat 장비를 이용하여 평탄도를 측정한 결과 61㎚의 TIR값을 나타내었으며, 박막 응력으로 환산하였을 경우 박막 응력은 97M㎩ 정도의 수치를 갖는 것으로서 평탄도에 문제가 없음을 확인하였다.
이상, 본 발명을 가장 바람직한 실시예를 이용하여 설명하였지만, 본 발명의 기술적 범위는, 상기 실시예에 기재된 범위에 한정되지 않는다. 상기 실시예에 다양한 변경 또는 개량을 가하는 것이 가능하다는 것은 해당 기술분야의 일반적인 기술자라면 용이하게 알 수 있을 것이다. 그와 같은 변경 또는 개량을 가한 형태도 본 발명의 기술적 범위에 포함될 수 있다는 것이 특허 청구 범위의 기재로부터 분명하다.
102 : 투명 기판 104: 다층 반사막
106 : 흡수막 106a: 흡수막 패턴
200 : 극자외선용 블랭크 마스크
202 : 투명 기판 204 : 다층 반사막
206 : 캡핑막 208 : 하부층
210 : 상부층 212 : 흡수막
214 : 레지스트막

Claims (12)

  1. 투명 기판 상에 적어도 다층 반사막, 흡수막 및 레지스트막이 적층된 극자외선용 블랭크 마스크에 있어서,
    상기 흡수막은 플래티늄(Pt), 니켈(Ni), 탄탈(Ta), 아연(Zn), 루테늄(Ru), 로듐(Rh), 은(Ag), 인듐(In), 오스뮴(Os), 이리듐(Ir), 금(Au) 중 선택되는 1종 이상의 금속 물질을 포함하거나, 상기 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 구성되는 극자외선용 블랭크 마스크.
  2. 투명 기판 상에 적어도 다층 반사막, 흡수막 및 레지스트막이 적층된 극자외선용 블랭크 마스크에 있어서,
    상기 흡수막은 플래티늄(Pt)을 필수적으로 포함하고, 상기 플래티늄(Pt)에 니켈(Ni), 탄탈(Ta), 아연(Zn), 루테늄(Ru), 로듐(Rh), 은(Ag), 인듐(In), 오스뮴(Os), 이리듐(Ir), 금(Au) 중 선택되는 1종 이상의 금속 물질을 더 포함하여 이루어지거나, 상기 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 구성되는 극자외선용 블랭크 마스크.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 흡수막을 구성하는 플래티늄(Pt) 대비 추가 금속 물질(Ni, Ta, Zn, Ru, Rh, Ag, In, Os, Ir, Au)의 조성비는 95at% : 5at% ∼ 5at% : 95at% 인 것을 특징으로 하는 극자외선용 블랭크 마스크.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 금속 대비 경원소의 조성비는 9 : 1 ∼ 2 : 8인 것을 특징으로 하는 극자외선용 블랭크 마스크.
  5. 제 1 항에 있어서,
    상기 흡수막은 플래티늄(Pt) 화합물 단일 타겟을 이용하여 형성하거나, 또는, 플래티늄(Pt) 타겟을 포함하는 복수의 타겟을 동시에 스퍼터링(Co-Sputtering)하여 형성하는 것을 특징으로 하는 극자외선용 블랭크 마스크.
  6. 제 5 항에 있어서,
    상기 흡수막이 플래티늄(Pt) 화합물 단일 타겟을 이용하여 형성되는 경우,
    상기 단일 타겟은 플래티늄(Pt) : 추가 금속 물질(Ni, Ta, Zn, Ru, Rh, Ag, In, Os, Ir, Au) = 1at% : 99at% ∼ 99at% : 1at%의 조성비를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
  7. 제 1 항에 있어서,
    상기 흡수막은 30㎚ ∼ 70㎚의 두께를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
  8. 제 1 항에 있어서,
    상기 흡수막은 상부층 및 하부층의 2층 구조로 이루어지며, 상기 상부층 및 하부층은 10% 이상의 금속 물질 및 경원소 함유량 차이를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
  9. 제 1 항에 있어서,
    상기 흡수막은 13.5㎚의 극자외선용 노광광에 대하여 10% 이하의 반사율을 갖고, 상기 흡수막은 193㎚의 검사 파장에 대하여 50% 이하의 반사율을 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
  10. 제 1 항에 있어서,
    상기 흡수막은 300MPa 이하의 박막 응력을 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
  11. 제 1 항에 있어서,
    상기 다층 반사막 및 흡수막 사이에 구비된 캡핑막, 상기 캡핑막과 흡수막 사이에 구비된 버퍼막, 상기 투명 기판의 하부에 구비된 도전막, 상기 다층반사막의 상부에 구비된 위상반전막, 상기 흡수막 상에 구비된 하드 필름 중 적어도 하나 이상의 막을 더 포함하는 것을 특징으로 하는 극자외선용 블랭크 마스크.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 기재된 극자외선용 블랭크 마스크로 형성된 극자외선용 포토마스크.
KR1020160050066A 2015-08-17 2016-04-25 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크 KR101772943B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020150115198 2015-08-17
KR20150115198 2015-08-17

Publications (2)

Publication Number Publication Date
KR20170021191A true KR20170021191A (ko) 2017-02-27
KR101772943B1 KR101772943B1 (ko) 2017-09-12

Family

ID=58315726

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160050066A KR101772943B1 (ko) 2015-08-17 2016-04-25 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크

Country Status (2)

Country Link
KR (1) KR101772943B1 (ko)
TW (1) TWI623805B (ko)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10782607B2 (en) 2017-09-09 2020-09-22 Imec Vzw Reticles for lithography
CN112698545A (zh) * 2019-10-23 2021-04-23 思而施技术株式会社 用于极紫外光刻的半色调衰减式相移空白掩模和光掩模
KR20210089362A (ko) * 2020-01-08 2021-07-16 주식회사 에스앤에스텍 극자외선용 반사형 블랭크 마스크 및 포토마스크
WO2021150556A1 (en) * 2020-01-24 2021-07-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11119398B2 (en) * 2018-09-28 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks
US20210311382A1 (en) * 2018-08-29 2021-10-07 Hoya Corporation Reflective mask blank, reflective mask and method for manufacturing same, and method for manufacturing semiconductor device
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
US11209727B2 (en) 2018-10-26 2021-12-28 Applied Materials, Inc. Ta—Cu alloy material for extreme ultraviolet mask absorber
WO2022015612A1 (en) * 2020-07-13 2022-01-20 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249389B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249388B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11275302B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber materials
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300872B2 (en) 2019-05-22 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2022076057A1 (en) * 2020-10-06 2022-04-14 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
WO2022150545A1 (en) * 2021-01-11 2022-07-14 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2022235545A1 (en) * 2021-05-03 2022-11-10 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11537040B2 (en) 2020-01-27 2022-12-27 Applied Materials, Inc. Extreme ultraviolet mask blank hard mask materials
US11556053B2 (en) 2020-01-27 2023-01-17 Applied Materials, Inc. Extreme ultraviolet mask blank hard mask materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11640109B2 (en) 2020-01-27 2023-05-02 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11754917B2 (en) 2016-07-27 2023-09-12 Applied Materials, Inc. Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
EP3454119B1 (en) * 2017-09-09 2023-12-27 IMEC vzw Euv absorbing alloys
US11860533B2 (en) 2020-03-27 2024-01-02 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2024053634A1 (ja) * 2022-09-09 2024-03-14 Agc株式会社 反射型マスクブランク、反射型マスク、反射型マスクブランクの製造方法、及び反射型マスクの製造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11392036B2 (en) 2020-01-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist and method
US11294271B2 (en) 2020-04-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for extreme ultraviolet photolithography

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110050427A (ko) * 2008-07-14 2011-05-13 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크 및 euv 리소그래피용 반사형 마스크
WO2014050891A1 (ja) * 2012-09-28 2014-04-03 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクおよびその製造方法、ならびにeuvリソグラフィ用反射型マスクおよびその製造方法
US9927697B2 (en) * 2013-08-28 2018-03-27 Hoya Corporation Mask blank, method of manufacturing mask blank and method of manufacturing transfer mask
JP2015073013A (ja) 2013-10-03 2015-04-16 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクの製造方法
KR101567057B1 (ko) * 2013-11-15 2015-11-09 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11754917B2 (en) 2016-07-27 2023-09-12 Applied Materials, Inc. Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
EP3454119B1 (en) * 2017-09-09 2023-12-27 IMEC vzw Euv absorbing alloys
US10782607B2 (en) 2017-09-09 2020-09-22 Imec Vzw Reticles for lithography
US11892768B2 (en) * 2018-08-29 2024-02-06 Hoya Corporation Reflective mask blank, reflective mask and method of manufacturing the same, and method of manufacturing semiconductor device
US20210311382A1 (en) * 2018-08-29 2021-10-07 Hoya Corporation Reflective mask blank, reflective mask and method for manufacturing same, and method for manufacturing semiconductor device
US11119398B2 (en) * 2018-09-28 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks
US11209727B2 (en) 2018-10-26 2021-12-28 Applied Materials, Inc. Ta—Cu alloy material for extreme ultraviolet mask absorber
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
US11249388B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249389B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11275302B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber materials
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11300872B2 (en) 2019-05-22 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
CN112698545A (zh) * 2019-10-23 2021-04-23 思而施技术株式会社 用于极紫外光刻的半色调衰减式相移空白掩模和光掩模
US11815801B2 (en) 2020-01-08 2023-11-14 S & S Tech Co., Ltd. Reflective type blankmask and photomask for EUV
KR20210089362A (ko) * 2020-01-08 2021-07-16 주식회사 에스앤에스텍 극자외선용 반사형 블랭크 마스크 및 포토마스크
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2021150556A1 (en) * 2020-01-24 2021-07-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11556053B2 (en) 2020-01-27 2023-01-17 Applied Materials, Inc. Extreme ultraviolet mask blank hard mask materials
US11537040B2 (en) 2020-01-27 2022-12-27 Applied Materials, Inc. Extreme ultraviolet mask blank hard mask materials
US11640109B2 (en) 2020-01-27 2023-05-02 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11860533B2 (en) 2020-03-27 2024-01-02 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2022015612A1 (en) * 2020-07-13 2022-01-20 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11675263B2 (en) 2020-07-13 2023-06-13 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2022076057A1 (en) * 2020-10-06 2022-04-14 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2022150545A1 (en) * 2021-01-11 2022-07-14 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2022235545A1 (en) * 2021-05-03 2022-11-10 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2024053634A1 (ja) * 2022-09-09 2024-03-14 Agc株式会社 反射型マスクブランク、反射型マスク、反射型マスクブランクの製造方法、及び反射型マスクの製造方法

Also Published As

Publication number Publication date
KR101772943B1 (ko) 2017-09-12
TW201725440A (zh) 2017-07-16
TWI623805B (zh) 2018-05-11

Similar Documents

Publication Publication Date Title
KR101772943B1 (ko) 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
KR101567057B1 (ko) 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
US11036127B2 (en) Reflective mask blank and reflective mask
KR101829604B1 (ko) 극자외선용 포토마스크 및 그 제조방법
KR20180127197A (ko) 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
KR20160002332A (ko) 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
JP5082857B2 (ja) Euvリソグラフィ用反射型マスクブランク、および該マスクブランク用の導電膜付基板
TWI826587B (zh) 反射型空白光罩及反射型光罩
KR101579852B1 (ko) 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
KR20140085350A (ko) Euv 리소그래피용 반사형 마스크 블랭크 및 그 제조 방법
JP5256569B2 (ja) 極端紫外線露光用マスク、マスクブランク、露光方法及びマスクブランクの製造方法
WO2021193089A1 (ja) 多層反射膜付き基板、反射型マスクブランク、反射型マスク、及び半導体デバイスの製造方法
KR20160016098A (ko) 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
KR102511751B1 (ko) 극자외선 리소그래피용 블랭크마스크 및 포토마스크
KR101615890B1 (ko) 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
JP2021110952A (ja) 極紫外線用反射型ブランクマスク及びフォトマスク
KR20210089406A (ko) 극자외선용 반사형 블랭크 마스크 및 포토마스크
US11360377B2 (en) Half-tone attenuated phase shift blankmask and photomask for EUV lithography
WO2021106954A1 (ja) 反射型フォトマスクブランク及び反射型フォトマスク
KR20160129789A (ko) 플랫 패널 디스플레이용 위상반전 블랭크 마스크 및 포토마스크
KR20190129661A (ko) 극자외선 리소그래피용 블랭크마스크, 포토마스크 및 이의 제조 방법
KR102285098B1 (ko) 극자외선용 반사형 블랭크 마스크 및 그 제조방법
KR20210022479A (ko) 극자외선용 블랭크마스크 및 포토마스크
KR20220030048A (ko) 극자외선용 반사형 블랭크 마스크 및 그 제조방법
TW202401133A (zh) 反射型光罩基底、以及反射型光罩及其製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant