KR20160126018A - 광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화 - Google Patents

광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화 Download PDF

Info

Publication number
KR20160126018A
KR20160126018A KR1020167026114A KR20167026114A KR20160126018A KR 20160126018 A KR20160126018 A KR 20160126018A KR 1020167026114 A KR1020167026114 A KR 1020167026114A KR 20167026114 A KR20167026114 A KR 20167026114A KR 20160126018 A KR20160126018 A KR 20160126018A
Authority
KR
South Korea
Prior art keywords
layer
sensitive material
radiation
radiation sensitive
wavelength
Prior art date
Application number
KR1020167026114A
Other languages
English (en)
Other versions
KR101845188B1 (ko
Inventor
마이클 에이. 카르카시
마크 에이치. 소머벨
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160126018A publication Critical patent/KR20160126018A/ko
Application granted granted Critical
Publication of KR101845188B1 publication Critical patent/KR101845188B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

광 민감형 화학적 증폭 레지스트(PS-CAR)의 극자외선(EUV) 리소그래피 및 패터닝에 있어서 산탄 잡음을 완화하기 위한 방법이 설명된다. 이 방법은 감광제를 생성하기 위한 제1 EUV 패턴 노출과, 제1 EUV 패턴 노출 동안 노출된 영역들 내에 산을 생성하기 위해, 제1 EUV 패턴 노출의 파장과 상이한 파장에서의 제2 전면 노출을 포함하고, 감광제는 산 생성을 증폭하고 콘트라스트를 향상시키도록 작용한다. 레지스트는 제1 EUV 패턴 노출 동안 축적될 수 있는 감광제 농도 상의 EUV 산탄 잡음의 영향을 완화하기 위해 열, 액체 용매, 용매 분위기, 또는 진공에 노출될 수 있다.

Description

광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 EUV 산탄 잡음의 완화{MITIGATION OF EUV SHOT NOISE REPLICATING INTO ACID SHOT NOISE IN PHOTO-SENSITIZED CHEMICALLY-AMPLIFIED RESIST}
본 출원은 "광 민감형 화학적 증폭 레지스트(Photo-Sensitized Chemically-Amplified resist; PS-CAR) 내에 산 산탄 잡음으로 복제되는 EUV(extreme ultraviolet) 산탄 잡음의 완화"로 명칭되고, 2014년 2월 24일에 출원된 미국 가특허 출원 제61/944,044호(참조번호: CT-121PROV)에 이익 및 우선권을 주장하고 상기 미국 가특허 출원에 기초를 둔 것이며, 상기 미국 가특허 출원의 전체 컨텐츠는 참조로서 본원에 통합된다.
본 발명은 반도체 제조에 있어서의 패터닝을 위한 방법에 관한 것이다. 특히, 본 발명은 광 민감형 화학적 증폭 레지스트(PS-CAR)를 이용하는 패터닝(리소그래피)에 적용되는 EUV 산탄 잡음 완화 방법들에 관한 것이다.
반도체 디바이스들의 리소그래픽 패터닝에 있어서, 축소(shrinking) 기술 노드들 및 그에 따른 피처(feature) 사이즈들은 파장들을 극자외선(extreme ultraviolet; EUV) 범위 내로 몰아가고 있다. 이 시점에서, EUV 광 소스들은 아직 활발한 개발 중에 있고, 현재는 세대를 앞선 광 소스들의 조명의 레벨들을 개발하고 달성할 수 없다. 이러한 단점들을 다루고 현 세대의 EUV 광 소스들을 여전히 이용할 수 있게 하기 위해, 광 민감형 화학적 증폭 레지스트(PS-CAR)라 칭해지는 레지스트 화학제(resist chemistry) 및 관련 방법들이 개발되었다. PS-CAR은, 종래의 화학적 증폭 레지스트(Chemically-Amplified resist; CAR)와 같이, 디프로텍션(deprotection)을 위해 레지스트 피처 내에 생성된 산(acid)을 이용하지만, 산은 단일의 패턴 노출(exposure)만이 사용되는 CAR와 달리 2단계 조명 프로세스에서 생성된다.
PS-CAR에 있어서, 제1 EUV 패턴 노출은 비교적 소량의 생성되는 산을 갖는 패턴[레지스트 내의 잠상(latent image)]을 생성하고, 이와 동시에, 예를 들면 PS-CAR 레지스트에 추가된 감광제(photosensitizer; PS) 생성제로부터 감광제(PS) 화합물을 생성하기 위해 사용된다. 산 및 감광제(PS)는 둘 다 제1 EUV 패턴 노출 동안 PS-CAR 레지스트의 조명된 부분들에서만 생성된다. 그 후, 제2 전면(flood) 노출은 제1 EUV 노출의 파장과 상이한 제2 파장의 광으로 즉, 패턴 없이 수행된다. PS-CAR 레지스트 화학제는, 감광제(PS)가 제2 전면 노출에서 사용되는 제2 파장의 광에는 민감하지만 다른 레지스트 성분들은 민감하지 않도록 선택된다. 제1 EUV 패턴 노출 동안 형성된 패턴 내 어디에나 존재하는 감광제(PS)는, 제2 전면 노출 동안 산의 추가 생성을 야기하고, 예를 들면 산 농도의 10배 증가가 일반적이다. 이 감광제 유도형 산 농도 증가는, 특히 RLS[분해능(Resolution) - 선폭 거칠기(Line Width Roughness) - 감도(Sensitivity)] 트레이드오프와 관련하여 보다 많은 프로세스 관용도(latitude)를 허용하는 크게 증가된 콘트라스트를 초래한다. 따라서, PS-CAR은 EUV 리소그래피를 위해 실시가능한(enabling) 기술을 제공하는데, 이는 이 기술이 EUV 소스들 및 리소그래피의 생산적 사용을 이들의 현재 파워 레벨들에서 허용하기 때문이다.
여기서, PS-CAR 프로세스들이 예를 들면 제1 EUV 패턴 노출과 제2 전면 노출 사이에 추가의 단계들을 수반할 수 있다는 점에 유념해야 한다. 상기 설명은 명확성의 목적을 위해 단순화되었다. 또한, 몇몇 PS-CAR 화학제 실시형태에서, 제1 EUV 패턴 노출 동안 산이 생성되지 않을 수 있고, 감광제(PS)만이 생성될 수 있으며, 생성된 감광제(PS)는 제2 전면 노출 동안 모든 산의 생성을 야기한다. 다른 대안으로, 산은 이전에 설명된 바와 같이 소량으로 생성될 수 있지만, [PS-CAR 레지스트에 존재하는 소광제(quencher)의 양에 따라] 소광(quenching) 이벤트와 같은 화학 반응들을 일으킴으로써 효과적으로 소비될 수 있다.
EUV 소스들의 파워 레벨들이 매우 낮기 때문에, 또한 EUV 광자들이 각각 짧은 EUV 광 파장으로 인해 비교적 높은 에너지를 갖기 때문에, 보다 긴 파장의 광 소스들(예를 들면, ArF, KrF 등)이 사용될 때보다, (동일한 노출 양에 대하여) 패터닝된 EUV 노출에서 비교적 더 적은 광자들이 수반된다. 이는, 예를 들면 종래의 화학적 증폭 레지스트(CAR) 내에 생성된 보다 적은 산 분자들이 존재한다는 것을 의미한다. EUV 노출 양이 감소됨에 따라, 광산 생성제(photoacid generator, PAG) 분자의 확률론적(stochastic) 확률적 증가량은 주어진 부피 내에서 산으로 분해되지 않고, 레지스트 내의 불균일한 산 농도로 이어지며, 이 효과는 집합적으로 산탄 잡음이라고 알려져 있다. 산탄 잡음은 패터닝에 있어서 선폭 거칠기(LWR)의 중요한 원인들 중 하나로 알려져 있다. 피처 유형에 따라, 선 에지 거칠기(line edge roughness; LER) 또는 접촉부 에지 거칠기(contact edge roughness; CER)와 같은 다른 파라미터들이 또한 영향을 받을 수 있다(적용 가능한 경우에).
산탄 잡음은 또한 불균일한 산 농도 및 불균일한 감광제(PS) 농도 둘 다에 있어서, PS-CAR 프로세스의 제1 EUV 패턴 노출 동안 발현할 수 있다. 또한, 후속되는 제2 전면 노출 동안 산 및/또는 감광제(PS)의 이러한 불균일한 농도의 증폭은 프로세스 성능의 저하로 이어질 수 있고, 선폭 거칠기(LWR)(또는 피처 유형에 따라 LER 또는 CER)가 심각하게 영향을 받는다. 따라서, PS-CAR 리소그래피 및 패터닝에 특유한 산탄 잡음을 완화하는 방법들에 대한 필요성이 존재한다.
본 발명의 일 양태는, 기판을 수용하는(receiving) 단계로서, 기판은 기판 상의 기저(underlying) 층, 및 기저 층 상의 방사선 민감성(radiation-sensitive) 재료 층을 포함하고, 방사선 민감성 재료 층은 산(acid)의 생성을 방사선 민감성 재료 층 내에서 제1 산 농도로 제어하고 방사선 민감성 재료 층 내의 감광제(photosensitizer; PS) 분자들의 생성을 제어하는 제1 광 파장 활성화 문턱값(activation threshold), 및 방사선 민감성 재료 층 내의 감광제(PS) 분자들을 여기 - 이는, 제1 산 농도보다 큰 제2 산 농도를 포함하는 산을 초래함 - 시킬 수 있는 제2 광 파장 - 제2 광 파장은 제1 광 파장과 상이함 - 활성화 문턱값을 포함하는 것인, 기판을 수용하는 단계; 패터닝된 마스크를 통해 방사선 민감성 재료 층 상에 제1 파장의 광 - 제1 파장의 광은 EUV(extreme ultraviolet) 스펙트럼 내의 파장을 포함함 - 을 노출시키는(exposing) 단계; 방사선 민감성 재료 층 내의 EUV 산탄 잡음(shot noise)으로 인한 불균일성을 감소시키기 위해, 패터닝된 마스크를 통해 제1 파장의 광을 노출시키는 단계 동안 방사선 민감성 재료 층 내에 생성된 감광제(PS) 분자들을 분산시키는(diffusing) 단계; 및 방사선 민감성 재료 층에 제2 파장의 광 - 제2 파장의 광은 제1 파장의 광과 상이한 파장을 포함함 - 을 전면(flood) 노출시키는 단계를 포함하는 기판을 처리하기 위한 방법을 포함한다.
본 발명의 다른 양태에 있어서, 방사선 민감성 재료 층 내에 생성된 감광제(PS) 분자들을 분산시키는 단계는, 대류 열 소스(convection heat source)를 사용하거나, 또는 전자기 방사선 소스로부터의 전자기 방사선으로의 노출에 의해 열판(hot plate) 상에서 기판을 가열하는 단계를 포함할 수 있다.
본 발명의 또 다른 양태는, 감광제(PS) 분자들의 열 활성화 에너지보다 더 높은 열 활성화 에너지를 갖는 광산 생성제(photoacid generator; PAG) 화합물의 사용을 포함한다.
본 발명의 또 다른 양태에 있어서, 방사선 민감성 재료 층 내에 생성된 감광제(PS) 분자들을 분산시키는 단계는, 방사선 민감성 재료 층을 액체 용매(solvent) 또는 용매 증기(vapor) 중 적어도 하나에 노출시키는 단계, 및 선택적으로 방사선 민감성 재료 층을 액체 용매 및 용매 증기에 교대로 노출시키는 단계를 포함할 수 있다.
발명의 또 다른 양태에 있어서, 방사선 민감성 재료 층 내에 생성된 감광제(PS) 분자들을 분산시키는 단계는, 방사선 민감성 재료 층을 진공 환경에 노출시키는 단계를 포함할 수 있다.
발명의 또 다른 양태에 있어서, 방사선 민감성 재료 층 내에 생성된 감광제(PS) 분자들을 분산시키는 단계는, 방사선 민감성 재료 층의 휘발성 화학 성분의 방출에 의해 방사선 민감성 재료 층의 부피(volume)의 증가를 유도하는 단계를 포함할 수 있다.
본 발명 및 그 수반되는 많은 이점들의 보다 완전한 이해는 이하의 상세한 설명을 참조하고, 특히 첨부 도면들과 함께 고려될 때 곧 명백해질 것이다.
도 1A 및 도 1B는 본 발명의 실시형태에 따른, 예시적인 감광제 및 산 농도 프로파일, 및 PS-CAR 패터닝 프로세스에서 EUV 패턴 노출 단계 후의 디바이스 단면을 도시한다.
도 1C 및 도 1D는 본 발명의 실시형태에 따른, 예시적인 감광제 및 산 농도 프로파일, 및 PS-CAR 패터닝 프로세스에서 전면 노출 단계 후의 디바이스 단면을 도시한다.
도 2A 및 도 2B는 본 발명의 실시형태에 따른, PS-CAR 패터닝 프로세스에서 완화되지 않은 EUV 산탄 잡음의 예시적인 영향과 함께, 전면 노출 단계 전 및 후의 예시적인 감광제 및 산 농도 프로파일을 도시한다.
도 3A는 본 발명의 실시형태에 따른, EUV 산탄 잡음 완화 단계 전 및 후의 예시적인 감광제 농도 프로파일, 및 PS-CAR 패터닝 프로세스에서 EUV 산탄 잡음 완화 단계 후의 산 농도 프로파일을 도시한다.
도 3B는 본 발명의 실시형태에 따른, EUV 산탄 잡음 완화 단계 후 및 PS-CAR 패터닝 프로세스에서 전면 노출 단계 후의 예시적인 산 농도 프로파일을 도시한다.
도 4는 본 발명의 실시형태에 따른 PS-CAR 패터닝 프로세스의 프로세스 흐름을 도시한다.
도 5A, 도 5B, 및 도 5C는 본 발명의 실시형태에 따른, 열판 기판 가열, 대류 기판 가열, 및 전자기 방사선에의 노출에 의한 가열의 예시적인 실시형태를 도시한다.
도 6은 본 발명의 실시형태에 따른, 기판 용매 노출 단계의 예시적인 실시형태를 도시한다.
도 7은 본 발명의 실시형태에 따른, 기판 진공 노출 단계의 예시적인 실시형태를 도시한다.
본 발명의 실시형태들은 반도체 제조에 있어서 기판 상의 층을 패터닝하기 위한 프로세스, 장치, 및 시스템의 설계 및 제어에 관한 것이다.
이하의 설명에서, 본 발명의 완전한 이해를 용이하게 하고 비제한적인 설명의 목적을 위해, 리소그래피, 코팅제(coater)/현상액(developer), 노출 도구들의 특정 기하들, 및 다양한 컴포넌트들 및 프로세스들의 설명들과 같은 특정 세부사항들이 제시된다. 그러나 본 발명이 이들 특정 세부사항들로부터 벗어난 다른 실시형태들로 실시될 수 있다는 점이 이해되어야 한다.
이하의 설명에서, 용어들 방사선 민감성 재료 및 포토레지스트는 상호 교환적으로 사용될 수 있고, 포토레지스트는 포토리소그래피에서 사용하기 위한 많은 적절한 방사선 민감성 재료들 중 하나일 뿐이다. 유사하게, 이하에서, 프로세싱되고 있는 워크피스를 나타내는 용어 기판은, 반도체 웨이퍼, LCD 패널, 발광 다이오드(light-emitting diode; LED), 광전(photovoltaic; PV) 디바이스 패널 등과 같은 용어들과 상호 교환적으로 사용될 수 있고, 이들 모두의 프로세싱은 청구된 발명의 범위 내에 속한다.
본 명세서 전반에 걸쳐 "일 실시형태" 또는 "실시형태"를 참조하는 것은, 그 실시형태와 관련하여 설명되는 특정 피처, 구조, 재료, 또는 특성이 본 발명의 적어도 일 실시형태 내에 포함되는 것을 의미하지만, 그러한 특정 피처, 구조, 재료, 또는 특성이 모든 실시형태 내에 존재한다는 점을 나타내지 않는다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서 "일 실시형태에 있어서" 또는 "실시형태에 있어서"라는 문구들의 출현은 반드시 본 발명의 동일한 실시형태를 참조하는 것이 아니다. 또한, 특정 피처들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시형태들 내에서 임의의 적절한 방식으로 결합될 수 있다.
다양한 동작들은 본 발명을 이해하는데 가장 도움이 되는 방식으로 차례로 다수의 별개의 동작들로서 설명될 것이다. 그러나 설명의 순서는, 이 동작들이 반드시 순서 종속적임을 암시하는 것으로서 해석되어서는 안된다. 특히 이러한 동작들은 제시되는 순서대로 수행될 필요가 없다. 설명되는 동작들은 설명되는 실시형태와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명되는 동작들이 추가적인 실시형태들에서 생략될 수 있다.
또한, 광 민감형 화학적 증폭 레지스트(PS-CAR)의 사용은 레지스트(포토레지스트)에만 제한되는 것이 아니고, 유사한 감광성 화학제들이 반사 방지 코팅(antireflective coating; ARC), 하부 반사 방지 코팅(bottom antireflective coating; BARC), 현상액 용해성 하부 반사 방지 코팅(developer-soluble bottom antireflective coating; DBARC) 등에서 구현될 수 있다. 본원에서 설명되는 PS-CAR 화학제들 및 방법들이 모든 이러한 재료들 및 그 패터닝에 적용될 수 있다는 점이 이해되어야 하고, 따라서 용어들 레지스트, 포토레지스트, 및 방사선 민감성 재료가 모든 이러한 재료들을 지칭하기 위해 이하에서 상호 교환적으로 사용될 것이다.
광 민감형 화학적 증폭 레지스트(PS-CAR) 개념은, 예를 들면 포토폴리머 과학 및 기술(Photopolymer Science and Technology)의 간행물 제26권 제6호(2013), 825-830 페이지에서 "광 민감형 화학적 증폭 레지스트 프로세스에 의한 초고감도 향상(Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist Process)"으로 명칭된 에스. 타가와(S. Tagawa) 등에 의해 현재 제안되어 있다(이하에서, 타가와). 단일의 패턴 노출(즉, 마스크를 통한)이 용해성(soluble) 영역과 비용해성(insoluble) 영역을 각각 규정하는 디프로텍션(포지티브 톤) 또는 프로텍션(네거티브 톤) 레지스트의 영역들을 생성하는 종래의 레지스트 프로세싱과 대조적으로, PS-CAR 프로세싱은 최종 패턴을 규정하는 제2 파장의 광에서의 제2의 화학적 선택 전면 노출에 대한 레지스트의 감도를 증폭하기 위해 제1 파장의 광에서의 제1 패턴 노출에 의존한다. 제2 파장의 광은 제1 파장의 광과 상이하게 되도록 선택된다. 이는, 광자 밀도가 낮을 때 보다 높은 감도 패터닝을 가능하게 한다. 감광제(PS)는 제1 EUV 패턴 노출 동안, 레지스트의 노출된 영역들에만 생성된다. 전자빔(electron beam; e빔), KrF 또는 ArF 노출이 제1 패턴 노출을 위해 또한 사용될 수 있다.
전면 노출 파장의 선택은, 레지스트에서 광산 생성제(photo acid generator; PAG)에 의한 흡수를 최소화하면서 감광제(PS)에 의한 흡수가 최대화되게 하는 필요조건에 의해 결정된다. 일반적으로, 전면 노출 파장의 광은 광 스펙트럼의 UV(ultraviolet) 부분 내에 있다. 제2 전면 노출에 의해 여기되는 감광제(PS)는, 본질적으로 비노출 영역들 내의 산 비형성을 유지하면서, 그 부근의 광산 생성제(PAG) 분자들을 분해하여 제1 EUV 패턴 노출에 노출된 영역들 내의 산 생성의 증폭을 야기할 것이다. 이는, 일반적으로 종래의 전면 노출 프로세스들에서 존재하는 DC 바이어스 시프트(DC-bias shift)가 없다는 것을 의미한다.
따라서, 레지스트는, 레지스트 내의 화학제들의 생성이, 현상되기 전에 상이한 프로세스 조건들하에서 상이한 시간들에서 발생하게 하는 별도의 활성화 문턱값들을 포함할 수 있다. 구체적으로, 이 개념은 타가와에서 설명된 바와 같이, 레지스트 내에서 감광제(PS)의 생성과 산 증폭을 서로 분리하는 것이다. 몇몇 PS-CAR 화학제 실시형태들에 있어서, 제1 EUV 패턴 노출 동안 감광제만이 생성되고 산은 생성되지 않으며, 산 생성 및 증폭은 후속되는 제2 전면 노출 동안 전적으로 발생한다. 이러한 실시형태들에 있어서, 감광제 생성제와 광산 생성제(PAG)의 광 감도 범위들에 있어서의 오버랩은 없다. 다른 PS-CAR 화학 실시형태들에 있어서, 감광제 생성제와 광산 생성제(PAG) 광 감도 범위들은, 감광제(PS)가 제1 EUV 패턴 노출 동안 증폭 후 생성된 산의 최종 양의 약 절반보다 일반적으로 적은 비교적 적은 양의 산과 동시에 생성되도록 약간 오버랩될 수 있다. 이 초기에 생성된 양의 산은 이어서 제2 전면 노출에서 증폭된다. PS-CAR의 예시적인 실시형태들에 있어서, 광의 제1 (EUV) 파장은 300nm보다 작고 제2 전면 노출을 위해 사용되는 광의 제2 파장은 300nm보다 큰, 일반적으로 약 365nm일 수 있다.
일 실시형태에 있어서, 레지스트는, 레지스트 층 내의 감광제(PS) 분자들의 생성을 제어하는 제1 광 파장 활성화 문턱값을 포함하는 감광제 생성제 및 레지스트 층 내의 산의 생성 및 증폭을 제어하는 제2 광 파장 활성화 문턱값을 포함하는 광산 생성(PAG) 화합물을 포함할 수 있고, 제2 활성화 파장은 전술한 바와 같이 제1 활성화 파장과 상이하다. 감광제 분자는 광 에너지를 흡수하여 광 에너지를 다른 분자, 예를 들면 광산 생성제(PAG)로 전달하도록 선택될 수 있다. 몇몇 감광제(PS) 분자들은 그라운드 상태로 에너지를 전달하고, 다른 감광제(PS) 분자는 여기 상태로 에너지를 전달할 수 있다. 일 실시형태에 있어서, PS-CAR 레지스트의 감광제 생성제는 아세토페논(acetophenone), 트리페닐렌(triphenylene), 벤조페논(benzophenone), 플루오레논(flourenone), 안트라퀴논(anthraquinone), 페난트렌(phenanthrene), 또는 이들의 파생물 중 적어도 하나를 포함할 수 있다. 일 실시형태에 있어서, 광산 생성제(PAG)는 흡수된 광 에너지를 화학적 에너지, 예를 들면 산성 리에이션(acidic reation)으로 변환할 수 있는 양이온 광개시제(cationic photoinitiator)일 수 있다. 광산 생성제(PAG)는 트리페닐설포늄 트리플레이트(triphenylsulfonium triflate), 트리페닐설포늄 노나플레이트(triphenylsulfonium nonaflate), 트리페닐설포늄 퍼플루오로옥틸설포네이트(triphenylsulfonium perfluorooctylsulfonate), 트리아릴설포늄 트리플레이트(triarylsulfonium triflate), 트리아릴설포늄 노나플레이트(triarylsulfonium nonaflate), 트리페닐설포늄 퍼플루오로옥틸설포네이트(triarylsulfonium perfluorooctylsulfonate), 트리페닐설포늄염(triphenylsulfonium salt), 트리아릴설포늄염(triarylsulfonium salt), 트리아릴설포늄 헥사플루오로안티모네이트염(triarylsulfonium hexafluoroantimonate salt), N-하이드록시나프탈이미드 트리플레이트(N-hydroxynaphthalimide triflate), 1,1-비스[p-클로로페닐]-2,2,2-트리클로로에탄(DDT)(1,1-bis[p-chlorophenyl]-2,2,2-trichloroethane), 1,1-비스[p-메톡시페닐]-2,2,2-트리클로로에탄(1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane), 1,2,5,6,9,10-헥사브로모사이클로도데칸(1,2,5,6,9,10-hexabromocyclododecane), 1,10-디브로모데칸(1,10-dibromodecane), 1,1-비스[p-클로로페닐]2,2-디클로로에탄(1,1-bis[p-chlorophenyl]2,2-dichloroethane), 4,4-디클로로-2-(트리클로로메틸)벤즈하이드롤(4,4-dichloro-2-(trichloromethyl)benzhydrol), 1,1-비스[클로로페닐]2,2,2-트리클로로에탄올(1,1-bis(chlorophenyl) 2-2,2-trichloroethanol), 헥사클로로디메틸설폰(hexachlorodimethylsulfone), 2-클로로-6-(트리클로로메틸)피리딘(2-chloro-6-(trichloromethyl)pyridine), 또는 이들의 파생물 중 적어도 하나를 포함할 수 있다.
이해를 더욱 돕기 위해, 도 1A 내지 도 1D는 후속되는 현상 및 에칭 단계들 전의 PS-CAR 패터닝 프로세스를 설명한다. 도 1B에서, 패터닝될 기저 층(160)을 형성하도록 코팅 또는 변형된 기판(150)이 제공된다. PS-CAR 레지스트(170)는, 예를 들면 스핀온 분배(spin-on dispense) 기술을 사용하여, 기저 층(160)의 노출된 표면에 도포된다. 제1 EUV 패턴 노출에서, 제1 파장의 광(190)이 마스크(180)를 통해 PS-CAR 레지스트(170) 상에 노출되어, PS-CAR 레지스트(170) 내부에, 교대로 노출 영역 및 비노출 영역들을 형성한다. 이 노출 동안, 감광제(PS)가 PS-CAR 레지스트(170)의 노출 영역들 내의 감광제 생성제로부터 생성되어, 감광제(PS) 농도 프로파일(120)을 형성하고, 이 농도 프로파일은 또한 각각 감광제(PS) 및 산 농도들(120 및 110)의 그래프들(100)로 도 1A에 확대되어 도시되어 있다. 몇몇 실시형태들에 있어서, PS-CAR 레지스트 화학제에 따라, 산은 산 농도 프로파일들(110)을 형성하도록, PS-CAR 레지스트(170) 내부의 광산 생성제(PAG) 분자들로부터, 제1 EUV 패턴 노출(101) 동안 또한 형성될 수 있다. 감광제 생성제와 광산 생성제(PAG) 광 감도 범위들 사이에 오버랩이 없는 다른 실시형태들에 있어서, 전술한 바와 같이, 제1 EUV 패턴 노출(101) 동안 산이 생성되지 않는다.
이어서, 도 1D에 도시된 바와 같이, 이제 기저 층(160) 및 패턴 노출된 PS-CAR 레지스트(170)를 갖는 기판(150)이 제1 파장의 광(190)과 상이한 제2 파장의 광(290)을 사용하여 제2 전면 노출을 받고, 이 제2 전면 노출은 이전에 노출된(즉, 마스킹되지 않은) 영역들 내에 생성된 감광제(PS) 분자들이 그 부근의 광산 생성제(PAG) 분자들로부터 산 생성을 증폭하게 함으로써 산 농도 프로파일(210)을 형성한다. 산 농도 프로파일들(210)은 보다 높은 피크들을 갖고, 따라서 제1 EUV 패턴 노출(101) 후의 산 농도 프로파일들(110)보다 나은 콘트라스트를 갖는다. 제2 전면 노출(201)이 수반될지라도, 종래의 전면 노출 프로세싱과는 달리, 제1 EUV 패턴 노출(101) 동안 비노출된(마스킹된) 영역들 내의 산의 생성은 없으므로, DC 바이어스가 없고 높은 콘트라스트가 유지된다. 이는, PS-CAR에 있어서 산 생성 및 증폭이 감광제(PS)의 존재시에만 발생하기 때문이다. 일반적으로, 감광제(PS) 농도 프로파일들(220)은, 초기 감광제(PS) 농도 프로파일들(120)로부터 제2 전면 노출(201) 후에 변화를 겪지 않지만, 어떤 화학제 실시형태들에서는 감광제(PS) 농도들(120 및 220) 사이에 보다 큰 변화들이 발생할 수 있다. 도 1C는 각각 제2 전면 노출(201) 후의 감광제(PS) 및 산 농도 프로파일들(220 및 210)의 그래프들(200)을 도시한다.
증폭된 산 농도 프로파일들(210)이 이제 PS-CAR 레지스트(170) 내에 존재하여 잠상을 형성하고, 기판은 이제 종래의 단계들에 이어서 패터닝 프로세스를 완성하기 위해, 기저 층(160)의 베이크, 현상 및 에칭과 같은 후속되는 패터닝 프로세스 단계들을 위한 준비가 되었다. 몇몇 실시형태들에 있어서, 추가적 프로세싱 단계들은 베이크 단계들 등과 같이 제1 EUV 패턴 노출(101)과 제2 전면 노출(201) 사이에 행해질 수 있다. 또한, 프로세스가 예시로서 PS-CAR 레지스트(170)를 사용하는 것으로 본원에서 설명되지만, PS-CAR 화학제를 포함하는 ARC, BARC, DBARC 등의 층들과 같은 임의의 다른 재료들에 동일한 프로세스가 적용가능하다.
도 1A 내지 도 1D는 이상적인 감광제(PS) 및 산 농도 프로파일들이 보일 수 있는 것을 도시했다. 도 2A는 제1 EUV 패턴 노출(101) 동안 축적된 EUV 산탄 잡음의 영향을 받은 예시적인 감광제(PS) 농도 프로파일(320) 및 산 농도 프로파일(310)을 도시한다. EUV 산탄 잡음은 각각 도 1A의 이상적인 농도 프로파일(110, 120)로부터의 이탈(departure)을 야기한다. 이제 그러한 비 이상적인 감광제(PS) 농도 프로파일(320) 및 산 농도 프로파일(310)이 제2 전면 노출(201)을 받으면, 제2 전면 노출(201)은, 산 농도 프로파일(310)의 불규칙성들을 증폭하여 도 2B에 도시된 바와 같이 콘트라스트의 손실과 함께 최종 산 농도 프로파일(410)로 될 수 있다. 산 농도 프로파일(410)에서의 콘트라스트의 손실은 패터닝에 있어서의 선폭 거칠기(LWR)(또는, 장치 유형에 따라, LER 또는 CER)에 대한 주요 기여자이고, EUV 산탄 잡음으로 인한 이 콘트라스트의 손실을 완화하기 위한 측정들이 패턴 완전성(integrity)을 유지하기 위해 필요된다.
발명자들은 EUV 산탄 잡음으로 인한 이 콘트라스트의 손실을 완화하기 위한 다수의 가능한 방법들을 생각했다. 이러한 방법들 대부분은 제1 EUV 패턴 노출(101)과 제2 전면 노출(201) 사이에 중간 단계를 포함시키는 것에 기초를 두고 있고, 생성된 감광제(PS)는 EUV 산탄 잡음에 의해 야기된 불규칙성을 평활화(smooth out)하기 위해 그 부근 내에서 분산되도록 허용된다. 분산 프로세스들의 상세사항들은 이후에 설명될 것이다.
도 3A는 감광제 분산 단계 전의 감광제(PS) 농도 프로파일(320) 및 감광제 분산 단계 후의 감광제(PS) 농도 프로파일(520)의 그래프들을 도시한다. 감광제(PS) 농도 프로파일(520)은, EUV 산탄 잡음의 영향을 받은 감광제(PS) 농도 프로파일(320)보다 평활하고, 최종 산 농도 프로파일의 이상치로부터의 편차를 크게 감소시켜야 한다. 감광제(PS)의 분산 후의 산 농도 프로파일(510)이 또한 도 3A에 도시된다. 분산되고 평활화된 감광제(PS) 농도 프로파일(520)에 관하여, 프로세스는 산을 생성하고 증폭하기 위해 제2 전면 노출으로 진행한다. 이 프로세스 동안, 도 3B에 도시된 바와 같이, EUV 산탄 잡음을 완화시키는 단계없이 획득된 도 2B의 농도 프로파일(410)에 비하여 보다 향상된, 최종 산 농도 프로파일(610)이 달성된다.
이제, 도 4를 참조하면, EUV 산탄 잡음을 완화시키는 PS-CAR 패터닝 프로세스의 흐름도(700)가 도시되어 있다. 단계(710)에서, 패터닝될 기저 층(160)이 그 위에 형성되고, 기저 층(160) 맨위에 PS-CAR 레지스트(170)가 퇴적된 기판(150)과 같은 기판이 제공된다. 단계(715)에서, PS-CAR 레지스트는 일반적으로 EUV 범위 내에 있는 제1 파장의 광을 사용하여 제1 EUV 패턴 노출을 받으므로, PS-CAR 레지스트(170) 내에 존재하는 감광제 생성제로부터 감광제(PS)를 생성하기 위해 제1 활성화 문턱값를 활성화한다. 단계(720)에서, 생성된 감광제(PS) 분자들이 UEV 산탄 잡음의 효과를 완화하기 위해 분산되도록 허용된다. 단계(725)에서, PS-CAR 레지스트(170)는, 제2 활성화 문턱값을 활성화하고 PS-CAR 레지스트(170) 내에 존재하는 광산 생성제(PAG) 분자들로부터 산의 생성 및 증폭을 유발하기 위해 제1 파장의 광과 상이한 제2 파장의 광으로 제2 전면 노출을 받아서, 이전에 설명된 바와 같이 EUV 산탄 잡음의 영향에 대해 교정된 최종 산 농도 프로파일을 생성한다.
계속하여 도 4를 참조하면, 추가적 패터닝 단계들은, 그동안 기판이 가열되는 선택적 후속 베이크 프로세스(730), 및 이어서 기저 층(116)의 후속 프로세싱을 위해 패터닝된 마스크를 형성하도록 PS-CAR 레지스트(170)가 현상되는 현상 프로세스(735)와 같은 종래의 패터닝 단계들을 포함할 수 있다. 마지막으로, 프로세스는 마스크로서 현상된 PS-CAR 레지스트를 사용하여 기저 층(116)이 에칭되거나, 임플란팅되거나, 또는 변형되는 실제 프로세스(740)에서 끝이 난다. 모든 이러한 프로세스들은 반도체 리소그래픽 패터닝 분야의 당업자에게 잘 알려져 있고, 본원에서 상세히 논의되지 않을 것이다.
가장 간단한 실시형태에 있어서, 생성된 감광제(PS) 분자들이 분산되도록 제1 EUV 패턴 노출과 제2 전면 노출 사이에 충분한 시간을 허용하는 것은, 감광제(PS) 분자들을 분산시키는 단계(720)의 가장 간단한 실시형태를 나타낸다. 그러나, 이 접근법은 분산을 발생시키는 노출들 사이에 유지되는 기판들 때문에, 프로세싱 스루풋 페널티를 야기할 수 있다.
다른 실시형태들에 있어서, 기판(150) 및 PS-CAR 레지스트(170)는 분산 단계(720)를 가속화하기 위해 가열될 수 있다. 예를 들면, 기판(150)은 120초 이하 동안 약 30℃ 내지 약 130℃의 온도 범위로 가열될 수 있다. 도 5A에 도시된 일 실시형태에 있어서, 기판(810)은 열판(hot plate)(820) 위에 위치되고, 열(830)이 기판(810)에 인가되어 감광제(PS)의 분산(720)에 영향을 준다. 리소그래픽 추적 시스템에서, 이는 열판(820)이 구비된 종래의 베이크 모듈(800)에서 행해질 수 있다.
도 5B에 도시된 다른 실시형태에 있어서, 기판(910)의 표면 위에 가열된 유체(fluid)(930)를 흐르게 함으로써 기판 홀더(920) 상에 위치된 기판(910)을 가열하기 위해, 대류 가열 모듈(900)이 이용될 수 있다.
도 5C에 도시된 또 다른 실시형태에 있어서, 가열에 영향을 주기 위해 기판(1010)에 직접 조사(direct irradiation)(1030)하는 하나 이상의 전자기(EM) 방사선 소스들(1040)을 사용하여 기판 홀더(1020) 상에 위치된 기판(1010)을 가열하기 위해, 방사선 가열 모듈(1000)이 이용될 수 있다. EM 방사선 소스들은 가시광선, UV, 적외선, 또는 마이크로파 방사선 소스들, 또는 이들의 조합을 포함할 수 있다. EM 방사선 소스들은 광대역 플래시 램프들, 발광 다이오드들, 레이저들, 자외선(ultraviolet; UV) 플래시 램프들, 또는 마이크로파 소스들을 포함할 수 있다. 균일한 EM 노출 및 기판 가열을 달성하기 위해, EM 방사선 소스(1040) 하에서 기판(1010)이 스캔될 수 있거나, 또는 소스들 자체가 스캔될 수 있거나, 또는 둘 다 스캔될 수 있다.
PS-CAR 레지스트 가열은 제1 EUV 패턴 노출에 의해 생성되는 산의 분산, 디프로텍션, 및 소광(quencher) 이벤트들을 유발할 잠재성을 갖기 때문에, 궁극적인 분해능 및 감도에 영향을 줄 잠재성을 갖는다. 예를 들면, EUV 산탄 잡음을 완화하고 선폭 거칠기(LWR)를 향상시키기 위해, (제1 EUV 패턴 노출에서 생성된 산의) 유해한 산 분산과 감광제(PS)의 이로운 분산 사이에 균형이 확립되도록, 가열의 온도 및 지속기간이 최적화될 필요가 있다.
PS-CAR 레지스트를 가열함으로써 감광제(PS)를 분산시키는 실시형태를 향상시키는 다른 실시형태에 있어서, 감광제(PS) 화학제가 낮은 열 활성화 에너지에 대해 선택될 수 있는 반면, 선택된 산 화학제는 보다 높은 열 활성화 에너지를 요구할 것이다. 이 관계를 수립하는 하나의 가능한 방법은, 작아서 보다 이동성있는 분자를 갖는 비교적 낮은 분자량(molecular weight)의 감광제(PS); 및 반대로 광산 생성제(PAG)로부터 생성되는 비교적 높은 분자량의 산을 이용하는 것이다. 그러한 관계에 대해, 감광제(PS)의 비교적 높은 이동도는 산 농도 프로파일에 큰 영향을 주지 않는, 예를 들면 약 30℃ 내지 약 70℃의 온도 범위에서의 비교적 가벼운(mild) 베이크 프로세스로 충분한 분산을 허용할 수 있으므로, 제1 EUV 패턴 노출에 의해 생성된 산의 분산으로 인한 분해능의 손실을 야기하지 않으면서, 감광제(PS)를 분산시킴으로써 여전히 EUV 산탄 잡음을 매우 효과적으로 완화할 수 있다.
감광제(PS)를 분산시키는 다른 실시형태에 있어서, 감광제(PS) 화학제는 휘발성 성분을 갖도록 선택될 수 있는데, 이 휘발성 성분은 감광제(PS) 형성 동안 휘발될 것이고 따라서 감광제 반응 지점 주위의 자유 부피를 일시적으로, 국부적으로 증가시켜, PS-CAR 레지스트로부터 가스 부산물이 진전되기 전에 감광제(PS)가 작은 근거리에 걸쳐 분산되도록 한다. 이 실시형태는, 가열이 수반되지 않고 따라서 산 디프로텍션 이벤트들에 대한 열 추진요인(driver)이 없기 때문에, 산 디프로텍션 이벤트들의 가능성(chance) 및 분해능 및 감도의 손실을 감소시킨다.
도 6에 도시된, 감광제(PS)를 분산시키는 또 다른 실시형태에 있어서, 기판(1110)은 감광제(PS)의 분산이 EUV 산탄 잡음을 완화하도록 PS-CAR 레지스트 내의 자유 부피를 일시적으로 증가시키기 위해, 액체 용매(1145) 또는 용매 증기(도시 생략)에, 또는 이들 둘 다에 노출된다. 포토리소그래픽 추적 시스템 상에 제공되는 것과 같은 용매 노출 모듈(1100)은 기판(1110)을 수용하도록 구성되는 기판 홀더(1120)를 구비한다. 액체 용매 공급 유닛(1140)에 의해 공급되는 액체 용매는 노즐(1142)로부터, 회전 수단(1125)을 사용하여 그러한 분배 또는 분사 동안 선택적으로 회전될 수 있는 기판(1110) 상으로 분배되거나 또는 분사될 수 있다. 용매 증기 노출에 대해, 용매 증기 공급 유닛(1150)으로부터 용매 증기(도시 생략)가 공급되는 엔클로저(1115)가 제공된다. 일 실시형태에서, 기판은 액체 용매 및 용매 증기 둘 다에 교대로 노출될 수 있고, 그러한 주기적인 노출은 PS-CAR 레지스트의 전체적인(영구적인) 팽창(swelling)을 감소시키면서 감광제(PS)가 액체 용매에 대한 다수의 노출 동안 분산되게 함으로써 EUV 산탄 잡음을 완화시킨다.
증기 형태로 사용될 수 있는 용매들의 예시들은 n-메틸피롤리돈(n-methylpyrrolidone; NMP), 디메틸 설폭시드(dimethyl sulfoxide; DMSO), 및 디프로필렌 글리콜 디메틸 에테르(dipropylene glycol dimethyl ether), 이소프로판올(isopropanol), 또는 이들의 2가지 이상의 혼합물을 포함한다. 액체 형태로 사용될 수 있는 용매들의 예시들은 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate; PGMEA), 시클로헥사논(cyclohexanone), 감마 부티로락톤(gamma butyrolactone), 메틸 아밀 케톤(methyl amyl ketone), 에틸 락테이트(ethyl lactate), n-부틸 아세테이트(n-butyl acetate), 메틸 이소부틸 케톤(methyl isobuytl ketone; MIBK), 아니솔(anisole), 2-헵타논(2-heptanone), 및 테트라 메틸 암모늄 히드록사이드(tetra-metyl ammonium hydroxide; TMAH), 물, 메탄올(methanol), 4-메틸-2-펜탄올(4-methyl-2-pentanol), 이소프로판올(isopropanol), 에탄올(ethanol), 프로판올(propanol), 부탄올(butanol)의 수용액, 또는 이들의 2가지 이상의 혼합물을 포함한다.
용매 노출과 동시에, 기판(1110)은 또한 감광제(PS) 분산 프로세스를 더욱 지원하기 위해 약 20℃ 내지 약 100℃의 온도로 가열될 수 있다. 가열은 기판 홀더(1120) 내에 임베딩된 히터들, 또는 보다 일반적으로 도 5A 내지 도 5C에 도시된 임의의 가열 수단에 의해 달성될 수 있다. 용매 증기압은 엔클로저(1115)에 연결된 진공 펌프(도시 생략)를 사용하여, 프로세스 동안 약 5 mTorr 내지 약 100 mTorr로 유지될 수 있다. 다른 실시형태에서, 용매 증기압은 프로세스를 위해, 선택된 온도에서 선택된 용매에 대한 포화 증기압으로 유지될 수 있다.
또 다른 실시형태에 있어서, 도 7에 도시된 바와 같이, 진공 노출은 PS-CAR 레지스트 내의 감광제(PS)의 분산을 위해 자유 부피의 생성을 유도하는데 단독으로 사용될 수 있다. 진공 모듈(1200)은, 엔클로저(1215) 내측의 기판 홀더(1220) 상에 장착된 기판(1210)을 에워싸는데 사용되고, 진공 펌프(1260)는 감광제(PS) 분산이 EUV 산탄 잡음을 완화시키도록 하기에 충분한 약 0.1 mTorr 내지 약 500 mTorr, 보다 바람직하게 약 5 mTorr 내지 약 100 mTorr 압력으로 엔클로저(1215)를 진공시키는데 사용된다.
당업자는, 전술한 교시를 고려하여 많은 변형들 및 변화들이 가능하다는 점을 이해할 수 있다. 당업자는, 도면들에 도시된 다양한 컴포넌트들에 대한 다양한 균등한 결합들 및 치환들을 인식할 것이다. 따라서, 본 발명의 범위는 이 상세한 설명이 아닌, 본원에 첨부된 청구범위에 의해 제한되는 것으로 의도된다.

Claims (20)

  1. 기판을 처리하기 위한 방법에 있어서,
    상기 기판을 수용하는(receiving) 단계로서, 상기 기판은 상기 기판 상의 기저(underlying) 층, 및 상기 기저 층 상의 방사선 민감성(radiation-sensitive) 재료 층을 포함하고, 상기 방사선 민감성 재료 층은 산(acid)의 생성을 상기 방사선 민감성 재료 층 내에서 제1 산 농도로 제어하고 상기 방사선 민감성 재료 층 내의 감광제(photosensitizer) 분자들의 생성을 제어하는 제1 광 파장 활성화 문턱값(activation threshold), 및 상기 방사선 민감성 재료 층 내의 상기 감광제 분자들을 여기 - 이는, 상기 제1 산 농도보다 큰 제2 산 농도를 포함하는 산을 초래함 - 시킬 수 있는 제2 광 파장 - 상기 제2 광 파장은 상기 제1 광 파장과 상이함 - 활성화 문턱값을 포함하는 것인, 상기 기판을 수용하는 단계;
    패터닝된 마스크를 통해 상기 방사선 민감성 재료 층 상에 제1 파장의 광 - 상기 제1 파장의 광은 EUV(extreme ultraviolet) 스펙트럼 내의 파장을 포함함 - 을 노출시키는(exposing) 단계;
    상기 방사선 민감성 재료 층 내의 EUV 산탄 잡음(shot noise)으로 인한 불균일성을 감소시키기 위해, 패터닝된 마스크를 통해 제1 파장의 광을 노출시키는 단계 동안 상기 방사선 민감성 재료 층 내에 생성된 감광제 분자들을 분산시키는(diffusing) 단계; 및
    상기 방사선 민감성 재료 층에 제2 파장의 광 - 상기 제2 파장의 광은 상기 제1 파장의 광과 상이한 파장을 포함함 - 을 전면(flood) 노출시키는 단계를 포함하는 기판을 처리하기 위한 방법.
  2. 제1항에 있어서, 상기 제2 파장의 광을 전면 노출시키는 단계에 이어서 상기 기판을 선택적으로 가열하는(heating) 단계;
    상기 방사선 민감성 재료 층을 현상하는(developing) 단계; 및
    상기 현상된 방사선 민감성 재료 층을 마스크로서 사용하여 상기 기저 층을 에칭하거나(etching), 임플란팅하거나(implanting), 또는 변형하는(modifying) 단계를 더 포함하는 기판을 처리하기 위한 방법.
  3. 제1항에 있어서, 상기 방사선 민감성 재료 층 내에 생성된 감광제 분자들을 분산시키는 단계는, 상기 기판을 가열하는 단계를 포함하는 것인 기판을 처리하기 위한 방법.
  4. 제3항에 있어서, 상기 기판을 가열하는 단계는, 대류 열 소스(convection heat source)를 사용하거나, 또는 전자기 방사선 소스로부터의 전자기 방사선으로의 노출에 의해 열판(hot plate) 상에서 상기 기판을 가열하는 단계를 포함하는 것인 기판을 처리하기 위한 방법.
  5. 제4항에 있어서, 상기 전자기 방사선은, 가시광선, UV(ultraviolet), 적외선, 또는 마이크로파 방사선, 또는 이들이 조합을 포함하는 것인 기판을 처리하기 위한 방법.
  6. 제4항에 있어서, 상기 전자기 방사선 소스는, 광대역 플래시 램프, 발광 다이오드, 레이저, 자외선(ultraviolet; UV) 플래시 램프, 또는 마이크로파 소스인 것인 기판을 처리하기 위한 방법.
  7. 제3항에 있어서, 상기 기판은, 120초 이하 동안 약 30℃ 내지 약 130℃의 온도로 가열되는 것인 기판을 처리하기 위한 방법.
  8. 제1항에 있어서, 상기 방사선 민감성 재료 층은, 광산 생성제(photoacid generator; PAG) - 상기 광산 생성제(PAG)는 상기 감광제 분자들의 열 활성화 에너지보다 더 높은 열 활성화 에너지를 가짐 - 를 더 포함하는 것인 기판을 처리하기 위한 방법.
  9. 제1항에 있어서, 상기 방사선 민감성 재료 층 내에 생성된 감광제 분자들을 분산시키는 단계의 하나 이상의 파라미터들은, 패터닝된 마스크를 통해 제1 파장의 광을 노출시키는 단계에서 생성된 산의 분산을 최소화하면서 EUV 산탄 잡음과 연관된 선폭 거칠기(line width roughness; LWR)를 향상시키도록 최적화된 것인 기판을 처리하기 위한 방법.
  10. 제1항에 있어서, 상기 방사선 민감성 재료 층 내에 생성된 감광제 분자들을 분산시키는 단계는, 상기 방사선 민감성 재료 층을 액체 용매(solvent) 또는 용매 증기(vapor) 중 적어도 하나에 노출시키는 단계를 포함하는 것인 기판을 처리하기 위한 방법.
  11. 제10항에 있어서, 상기 용매 증기는, n-메틸피롤리돈(n-methylpyrrolidone; NMP), 디메틸 설폭시드(dimethyl sulfoxide; DMSO), 및 디프로필렌 글리콜 디메틸 에테르(dipropylene glycol dimethyl ether), 이소프로판올(isopropanol), 또는 이들의 2가지 이상의 혼합물로 이루어진 그룹으로부터 선택되는 용매를 포함하는 것인 기판을 처리하기 위한 방법.
  12. 제10항에 있어서, 상기 액체 용매는, 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate; PGMEA), 시클로헥사논(cyclohexanone), 감마 부티로락톤(gamma butyrolactone), 메틸 아밀 케톤(methyl amyl ketone), 에틸 락테이트(ethyl lactate), n-부틸 아세테이트(n-butyl acetate), 메틸 이소부틸 케톤(methyl isobuytl ketone; MIBK), 아니솔(anisole), 2-헵타논(2-heptanone), 및 테트라 메틸 암모늄 히드록사이드(tetra-metyl ammonium hydroxide; TMAH), 물, 메탄올(methanol), 4-메틸-2-펜탄올(4-methyl-2-pentanol), 이소프로판올(isopropanol), 에탄올(ethanol), 프로판올(propanol), 부탄올(butanol)의 수용액, 또는 이들의 2가지 이상의 혼합물로 이루어진 그룹으로부터 선택되는 용매를 포함하는 것인 기판을 처리하기 위한 방법.
  13. 제10항에 있어서, 상기 방사선 민감성 재료 층 내에 생성된 감광제 분자들을 분산시키는 단계는, 상기 방사선 민감성 재료 층을 상기 액체 용매 및 상기 용매 증기에 교대로 복수회 노출시키는 단계를 포함하는 것인 기판을 처리하기 위한 방법.
  14. 제10항에 있어서, 상기 방사선 민감성 재료 층을 용매 증기에 노출시키는 동안, 상기 기판은 약 20℃ 내지 약 100℃의 온도로 유지되는 것인 기판을 처리하기 위한 방법.
  15. 제10항에 있어서, 상기 방사선 민감성 재료 층을 용매 증기에 노출시키는 동안, 압력은 약 5 mTorr 내지 약 100 mTorr로 유지되는 것인 기판을 처리하기 위한 방법.
  16. 제10항에 있어서, 상기 방사선 민감성 재료 층을 용매 증기에 노출시키는 동안, 압력은 상기 용매 증기에 노출시키는 동안 선택된 온도에 대한 용매 포화 증기압으로 유지되는 것인 기판을 처리하기 위한 방법.
  17. 제1항에 있어서, 상기 방사선 민감성 재료 층 내에 생성된 감광제 분자들을 분산시키는 단계는, 상기 방사선 민감성 재료 층을 진공 환경에 노출시키는 단계를 포함하는 것인 기판을 처리하기 위한 방법.
  18. 제17항에 있어서, 상기 진공 환경의 압력은, 약 0.1 mTorr 내지 약 500 mTorr로 유지되는 것인 기판을 처리하기 위한 방법.
  19. 제17항에 있어서, 상기 진공 환경의 압력은, 약 5 mTorr 내지 약 100 mTorr로 유지되는 것인 기판을 처리하기 위한 방법.
  20. 제1항에 있어서, 상기 방사선 민감성 재료 층 내에 생성된 감광제 분자들을 분산시키는 단계는, 상기 방사선 민감성 재료 층의 휘발성 화학 성분의 방출에 의해 상기 방사선 민감성 재료 층의 부피(volume)의 증가를 유도하는 단계를 포함하는 것인 기판을 처리하기 위한 방법.
KR1020167026114A 2014-02-24 2015-02-23 광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화 KR101845188B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461944044P 2014-02-24 2014-02-24
US61/944,044 2014-02-24
PCT/US2015/017056 WO2015127348A1 (en) 2014-02-24 2015-02-23 Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist

Publications (2)

Publication Number Publication Date
KR20160126018A true KR20160126018A (ko) 2016-11-01
KR101845188B1 KR101845188B1 (ko) 2018-04-03

Family

ID=53879088

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167026114A KR101845188B1 (ko) 2014-02-24 2015-02-23 광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화

Country Status (5)

Country Link
US (1) US9746774B2 (ko)
JP (2) JP6524388B2 (ko)
KR (1) KR101845188B1 (ko)
TW (1) TWI564676B (ko)
WO (1) WO2015127348A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
JP6895600B2 (ja) * 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
CN109313394B (zh) * 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
TWI662360B (zh) 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
KR20210046052A (ko) 2018-08-23 2021-04-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 시스템
US10998191B2 (en) * 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
US11199778B2 (en) 2019-03-12 2021-12-14 International Business Machines Corporation Polymer brush adhesion promoter with UV cleavable linker
KR20200133126A (ko) 2019-05-17 2020-11-26 삼성전자주식회사 소스 용기용 잔류물 제거 장치
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN114509916B (zh) * 2020-11-16 2024-03-08 香港大学 激光干涉光刻设备和方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) * 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
US20080076058A1 (en) * 2006-08-11 2008-03-27 Leeson Michael J Luminescent photoresist
DE102006053074B4 (de) * 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
US8465910B2 (en) * 2010-07-06 2013-06-18 Massachusetts Institute Of Technology Hybrid lithographic method for fabricating complex multidimensional structures
JP6236000B2 (ja) * 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
CN103034059B (zh) * 2011-09-29 2015-02-04 中芯国际集成电路制造(北京)有限公司 光致抗蚀剂和光刻方法
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
US8574821B1 (en) * 2011-12-21 2013-11-05 The United States Of America As Represented By The Secretary Of The Air Force MEMS fabrication process base on SU-8 masking layers
CN103309164A (zh) * 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) * 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
KR102307204B1 (ko) * 2012-07-30 2021-10-01 닛산 가가쿠 가부시키가이샤 설폰산오늄염을 함유하는 규소함유 euv 레지스트 하층막 형성 조성물
KR101779683B1 (ko) * 2013-02-20 2017-09-18 오사카 유니버시티 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료
WO2015087830A1 (ja) * 2013-12-11 2015-06-18 富士フイルム株式会社 感光性樹脂組成物、硬化膜の製造方法、硬化膜、液晶表示装置および有機el表示装置
JP2015200874A (ja) * 2014-02-21 2015-11-12 東洋合成工業株式会社 化学種発生向上剤
WO2015125788A1 (ja) 2014-02-21 2015-08-27 東京エレクトロン株式会社 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート
KR101846109B1 (ko) * 2014-02-24 2018-04-05 도쿄엘렉트론가부시키가이샤 감광성 화학적 증폭 레지스트 내에서의 감광제 농도의 측정을 위한 계측

Also Published As

Publication number Publication date
WO2015127348A1 (en) 2015-08-27
JP6636196B2 (ja) 2020-01-29
US9746774B2 (en) 2017-08-29
KR101845188B1 (ko) 2018-04-03
US20150241781A1 (en) 2015-08-27
JP6524388B2 (ja) 2019-06-05
TWI564676B (zh) 2017-01-01
JP2017507360A (ja) 2017-03-16
JP2019109540A (ja) 2019-07-04
TW201544910A (zh) 2015-12-01

Similar Documents

Publication Publication Date Title
KR101845188B1 (ko) 광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화
US9618848B2 (en) Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10551743B2 (en) Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
US9645495B2 (en) Critical dimension control in photo-sensitized chemically-amplified resist
US10522428B2 (en) Critical dimension control by use of a photo agent
US20210048749A1 (en) Method and Process for Stochastic Driven Defectivity Healing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant