KR20160092487A - 웨이퍼 전달 시스템을 위한 엔드 이펙터 및 웨이퍼들을 전달하는 방법 - Google Patents

웨이퍼 전달 시스템을 위한 엔드 이펙터 및 웨이퍼들을 전달하는 방법 Download PDF

Info

Publication number
KR20160092487A
KR20160092487A KR1020160004043A KR20160004043A KR20160092487A KR 20160092487 A KR20160092487 A KR 20160092487A KR 1020160004043 A KR1020160004043 A KR 1020160004043A KR 20160004043 A KR20160004043 A KR 20160004043A KR 20160092487 A KR20160092487 A KR 20160092487A
Authority
KR
South Korea
Prior art keywords
blade
wafer
end effector
mounting portion
piston
Prior art date
Application number
KR1020160004043A
Other languages
English (en)
Inventor
로즈 엠벌슨
브랜든 센
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160092487A publication Critical patent/KR20160092487A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0028Gripping heads and other end effectors with movable, e.g. pivoting gripping jaw surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/02Gripping heads and other end effectors servo-actuated
    • B25J15/0253Gripping heads and other end effectors servo-actuated comprising parallel grippers
    • B25J15/0266Gripping heads and other end effectors servo-actuated comprising parallel grippers actuated by articulated links
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/08Gripping heads and other end effectors having finger members
    • B25J15/086Gripping heads and other end effectors having finger members with means for synchronizing the movements of the fingers

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

웨이퍼 전달 시스템의 엔드 이펙터는 웨이퍼들을 홀딩하고 릴리즈하도록 동작가능한 동시에 이동가능한 블레이드들 (blades) 을 포함한다. 엔드 이펙터는 제 1 블레이드에 커플링된 제 1 블레이드 장착부, 제 2 블레이드에 커플링된 제 2 블레이드 장착부, 및 각각의 선형 레일들 상에서 블레이드 장착부들을 이동시키도록 동작가능한 액추에이터를 포함하는 엔드 이펙터 하우징을 포함한다. 액추에이터는 각각의 액추에이터 링크들에 의해 각각의 블레이드 장착부들에 커플링된 세로로 이동가능한 피스톤을 포함한다. 액추에이터 링크들은 세로로 이동가능한 피스톤의 제 1 단부들 각각에서 세로로 이동가능한 피스톤에 그리고 제 1 블레이드 장착부 및 제 2 블레이드 장착부의 제 2 단부들 각각에서 제 1 블레이드 장착부 및 제 2 블레이드 장착부에 피봇가능하게 커플링되고, 웨이퍼를 홀딩하거나 릴리즈하도록, 피스톤을 후퇴된 (retracted) 위치를 향해 이동시키는 것은 블레이드들로 하여금 서로를 향해 측방향으로 동시에 이동하게 하고, 피스톤을 후퇴된 위치를 향해 이동시키는 것은 블레이드들로 하여금 서로 멀어지게 측방향으로 동시에 이동하게 한다.

Description

웨이퍼 이송 시스템을 위한 엔드 이펙터 및 웨이퍼들을 이송하는 방법{END EFFECTOR FOR WAFER TRANSFER SYSTEM AND METHOD OF TRANSFERRING WAFERS}
본 명세서에 개시된 실시예들은 반도체 웨이퍼 프로세싱 장비에 관련되고, 웨이퍼들이 반도체 웨이퍼 프로세싱 장비의 모듈들 사이에서 이송될 수도 있도록 웨이퍼들을 픽업하고, 이송하고, 배치하도록 동작가능한 반도체 웨이퍼 프로세싱 장비의 웨이퍼 이송 시스템들의 로봇 암들의 엔드 이펙터들에 관련된다.
상이한 타입들의 툴들이 웨이퍼 프로세싱 시스템들 내에서 웨이퍼들 (즉 반도체 기판들) 의 프로세싱 동안 수 백가지 프로세싱 동작들을 수행하도록 사용된다. 이들 동작들 중 대부분은 매우 저압의 웨이퍼 프로세싱 시스템의 진공 챔버들 (즉, 프로세스 챔버들) 에서 수행된다. 다른 동작들은 필터링된 공기 또는 불활성 가스의 제어된 환경들에서 대기압에서 수행된다. 웨이퍼들은, 프로세스 챔버로 이송된 웨이퍼들이 프로세스 챔버 내에서 프로세싱될 수도 있도록, 각각의 프로세스 챔버들에 기계적으로 커플링된 웨이퍼 이송 시스템들 (즉 웨이퍼 핸들링 시스템들) 을 사용하여 프로세스 챔버들로 도입된다. 웨이퍼 이송 시스템들은, 예를 들어, 프로세싱을 위해 FOUP (front opening unified pod) 로부터 웨이퍼 프로세싱 시스템으로 그리고 다시 FOUP로 웨이퍼들을 이송하도록 동작가능한 EFEM들 (equipment front end modules), 및 웨이퍼들을 대기 조건들로부터 매우 저압 조건들 (예를 들어, 진공 조건들) 로 그리고 다시 대기 조건들에 이르게 하는 (bring) 로드 록들을 포함할 수 있고, 로봇들이 웨이퍼 프로세싱 시스템 내의 다양한 위치들로 웨이퍼들을 이송한다. 처리량 (즉, 시간 기간 내에 프로세싱된 웨이퍼들의 수) 은 웨이퍼 이송 시간뿐만 아니라 웨이퍼 프로세싱 시간에 영향을 받는다.
로보틱 암 엔드 이펙터를 통해 반도체 웨이퍼들을 이송할 때, 웨이퍼가 처음 픽업될 때 엔드 이펙터 상에 적절히 위치된다는 것을 보장하고, 그리고 이송 동안 웨이퍼가 미끄러지거나 시프팅되지 않고 이어서 웨이퍼의 이송 동안 웨이퍼가 대미지를 받거나 오정렬되지 않도록 지지면 상의 웨이퍼 배치가 요구된다는 것을 보장한다. 부가적으로, 프로세싱 모듈의 지지면 상의 웨이퍼들의 반복된 적절한 배치는, 웨이퍼 프로세싱 모듈의 지지 표면 상에 적절히 배치되지 않거나 엔드 이펙터에 의해 부적절하게 홀딩된 웨이퍼를 재조정할 필요를 제거함으로써 웨이퍼 프로세싱 처리량을 증가시킨다. 따라서, 엔드 이펙터가 웨이퍼를 픽업할 때 엔드 이펙터 상에 웨이퍼를 적절히 위치시킬 수 있는 엔드 이펙터들, 및 배치된 웨이퍼가 재조정될 필요가 없도록 지지면 상에 웨이퍼를 적절히 배치할 수 있는 엔드 이펙터들에 대한 수요가 있다.
반도체 웨이퍼 프로세싱 장비 내에서 제 1 위치로부터 제 2 위치로 웨이퍼 이송 시스템의 로봇 암이 홀딩된 웨이퍼를 이송할 수도 있도록 개개의 웨이퍼들을 홀딩하고 릴리즈하도록 동작가능한 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터가 본 명세서에 개시된다. 엔드 이펙터는, 제 1 블레이드에 커플링된 제 1 블레이드 장착부, 제 2 블레이드에 커플링된 제 2 블레이드 장착부, 적어도 하나의 제 1 장착부 선형 레일, 적어도 하나의 제 2 장착부 선형 레일, 및 액추에이터를 포함하는, 엔드 이펙터 하우징을 포함한다. 제 1 블레이드 및 제 2 블레이드가 개개의 웨이퍼를 홀딩하고 릴리즈할 수 있게, 하우징 내에 포함된 액추에이터가 제 1 블레이드 및 제 2 블레이드를 측방향으로 서로를 향해 그리고 측방향으로 서로로부터 멀어지게 동시에 이동시킬 수도 있도록, 제 1 블레이드 장착부는 적어도 하나의 제 1 장착부 선형 레일 상에서 측방향으로 이동가능하고, 제 2 블레이드 장착부는 적어도 하나의 제 2 장착부 선형 레일 상에서 측방향으로 이동가능하다.
상기 액추에이터는 제 1 액추에이터 링크에 의해 제 1 블레이드 장착부에 커플링되고 제 2 액추에이터 링크에 의해 제 2 블레이드 장착부에 커플링된 세로로 이동가능한 피스톤을 포함하고, 세로로 이동가능한 피스톤은 밀린 (protracted) 피스톤과 후퇴된 (retracted) 피스톤 사이에서 이동하도록 동작가능하다. 제 1 액추에이터 링크는 제 1 링크 피봇에 의해 제 1 액추에이터 링크의 제 1 단부에서 세로로 이동가능한 피스톤에 피봇가능하게 커플링되고, 제 1 액추에이터 링크는 제 1 장착 피봇에 의해 제 1 액추에이터 링크의 제 2 단부에서 제 1 블레이드 장착부에 피봇가능하게 커플링된다. 제 2 액추에이터 링크는 제 2 링크 피봇에 의해 제 2 액추에이터 링크의 제 1 단부에서 세로로 이동가능한 피스톤에 피봇가능하게 커플링되고, 제 2 액추에이터 링크는 제 2 장착 피봇에 의해 제 2 액추에이터 링크의 제 2 단부에서 제 2 블레이드 장착부에 피봇가능하게 커플링된다.
상기 제 1 블레이드 장착부는, 세로로 이동가능한 피스톤이 세로로 이동할 때, 제 1 액추에이터 링크가 제 1 블레이드 장착부의 상부 표면과 제 1 블레이드의 후면의 하부 표면 사이에서 회전할 수도 있도록, 제 1 액추에이터 링크 리세스를 포함한다. 제 2 블레이드 장착부는, 세로로 이동가능한 피스톤이 세로로 이동할 때, 제 2 액추에이터 링크가 제 2 블레이드 장착부의 상부 표면과 제 2 블레이드의 후면의 하부 표면 사이에서 회전할 수도 있도록, 제 2 액추에이터 링크 리세스를 포함한다. 세로로 이동가능한 피스톤을 밀린 위치로 이동시키는 것은 제 1 블레이드 및 제 2 블레이드로 하여금 서로를 향해 측방향으로 동시에 이동하게 하고, 세로로 이동가능한 피스톤을 후퇴된 위치로 이동시키는 것은 제 1 블레이드 및 제 2 블레이드로 하여금 서로로부터 측방향으로 멀어지게 동시에 이동하게 한다.
도 1은 본 명세서에 개시된 바와 같은 실시예에 따른 엔드 이펙터를 도시한다.
도 2는 본 명세서에 개시된 바와 같은 실시예에 따른 엔드 이펙터의 웨이퍼 패드를 도시한다.
도 3은 본 명세서에 개시된 바와 같은 실시예에 따른 엔드 이펙터의 하우징을 도시한다.
도 4는 본 명세서에 개시된 바와 같은 실시예에 따른 엔드 이펙터의 하우징d의 컴포넌트들을 도시한다.
도 5a 및 도 5b는 본 명세서에 개시된 바와 같은 실시예에 따른 엔드 이펙터를 도시한다.
도 6은 본 명세서에 개시된 바와 같은 실시예에 따른 엔드 이펙터를 도시한다.
이하의 상세한 설명에서, 예시적인 실시예들이 본 명세서에 개시된 장치 및 방법의 충분한 이해를 제공하기 위해 설명된다. 그러나, 본 실시예들이 이 특정한 세부사항들 없이 또는 대체의 엘리먼트들 또는 프로세스들을 사용함으로써 실시될 수도 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 잘 알려진 프로세스들, 절차들, 및/또는 컴포넌트들은 본 명세서에 개시된 실시예들의 양태를 불필요하게 모호히 하지 않도록 상세히 기술되지 않았다. 도면들에서 유사한 참조번호들은 유사한 엘리먼트들을 나타낸다. 본 명세서에 이용된 것으로서, 용어 "약"은 ± 10 %를 나타낸다.
엔드 이펙터는 웨이퍼 이송 시스템의 로봇 암의 단부에 연결된 디바이스 또는 툴이다. 웨이퍼 이송 시스템들은 예를 들어, EFEM (equipment front end module), 진공 웨이퍼 이송 모듈, 또는 대기 웨이퍼 이송 모듈을 포함할 수 있다. 엔드 이펙터는 웨이퍼가 로봇에 의해 이송되는 동안 웨이퍼를 지지하도록 동작가능한 로봇의 일부이다. 바람직하게 엔드 이펙터는 로봇 암의 단부에 회전가능하게 부착된다.
반도체 제작시, 일부 엔드 이펙터들은, 정렬 스테이션으로부터 에칭 스테이션으로, 또는 웨이퍼 프로세싱 시스템의 웨이퍼 저장 위치와 웨이퍼 프로세싱 모듈 사이에서 웨이퍼들을 이송하는 것과 같은, 상이한 반도체 프로세싱 장비의 모듈들 또는 위치들 사이에서 반도체 웨이퍼들을 이송하도록 채용된다.
도 1은 엔드 이펙터 (100) 가 웨이퍼 (50) 를 홀딩할 때, 엔드 이펙터 상에 웨이퍼 (50) 를 적절히 위치시킬 수 있는 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터 (100) 의 실시예를 예시하고, 엔드 이펙터 (100) 는, 웨이퍼 (50) 가 웨이퍼 지지부에 의해 지지될 수도 있고 웨이퍼가 웨이퍼 지지부로 이송되고 엔드 이펙터 (100) 에 의해 릴리즈된 후 재조정될 필요가 없도록, 엔드 이펙터 (100) 및 그에 따라 웨이퍼 (50) 가 이송된 웨이퍼 지지부에 대해 웨이퍼 (50) 를 반복적으로 적절하게 정렬시킬 수 있다. 엔드 이펙터 (100) 는 바람직하게 엔드 이펙터 암 장착부 (171) (도 4 참조) 에서 웨이퍼 (50) 를 이송하도록 동작가능한 웨이퍼 이송 시스템의 로봇 암의 단부에 회전가능하게 장착된다. 로봇 암의 상부에 장착된 본 명세서에 개시된 엔드 이펙터들의 실시예들을 포함할 수 있는 로봇 암의 예시적인 실시예는 전체가 본 명세서에 인용되고, 공동으로 양도된 미국 특허 공개 출원 번호 제 2014/0154033 호에서 알 수도 있다.
엔드 이펙터 (100) 는, 바람직하게 알루미늄으로 형성된 하우징 (170) 을 포함하고, 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 는 하우징 (170) 으로부터 연장하고 그 상부에 웨이퍼 (50) 를 지지하도록 동작가능하다. 하우징 (170) 내의 액추에이터 (미도시) 는, 개개의 웨이퍼 (50) 가 엔드 이펙터 (100) 의 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 에 의해 릴리즈가능하게 홀딩될 수도 있도록, 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 를 서로를 향해 측방향으로 그리고 서로로부터 멀어지게 측방향으로 동시에 이동시키도록 동작가능하다. 일 실시예에서, 제 1 블레이드 (150a) 는 블레이드의 길이를 따라 제 1 블레이드 단차 (151a) 를 포함할 수도 있고 제 2 블레이드 (150b) 는 블레이드의 길이를 따라 제 2 블레이드 단차 (151b) 를 포함할 수도 있다. 제 1 블레이드 (150a) 는 바람직하게 단차 (151a) 의 외측으로 제 1 블레이드 프론트 웨이퍼 패드 (153a) 및 제 1 블레이드 백 웨이퍼 패드 (154a) 를 포함하고, 제 2 블레이드 (150b) 는 바람직하게 단차 (151b) 의 외측으로 제 2 블레이드 프론트 웨이퍼 패드 (153b) 및 제 2 블레이드 백 웨이퍼 패드 (154b) 를 포함하고, 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 각각의 프론트 웨이퍼 패드 (153a, 153b) 및 백 웨이퍼 패드 (154a, 154b) 는 웨이퍼 (50) 가 제 1 블레이드 (150a) 또는 제 2 블레이드 (150b) 와 콘택트하지 않도록 웨이퍼 패드 상에 개개의 웨이퍼 (50) 를 지지하고, 홀딩 (파지) 하고, 그리고 적절히 정렬시키도록 성형된다.
도 2는 제 1 블레이드 (150a) 또는 제 2 블레이드 (150b) 의 프론트 웨이퍼 패드 또는 백 웨이퍼 패드로서 사용될 수도 있는 웨이퍼 패드 (800) 를 도시한다. 웨이퍼 패드들 (800) 은 바람직하게 폴리에테르 에테르 케톤 (PEEK: polyether ether ketone) 으로 이루어지고, 웨이퍼 패드 (800) 각각은 바람직하게 지지면 (801) 및 파지면 (802) 을 포함하고, 제 1 블레이드 (150a) 의 프론트 웨이퍼 패드 및 백 웨이퍼 패드 및 제 2 블레이드 (150b) 의 프론트 웨이퍼 패드 및 백 웨이퍼 패드의 파지면들 (802) 은 웨이퍼 (50) 가 엔드 이펙터 (100) 에 의해 홀딩될 때 엔드 이펙터 (100) 의 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 위에 웨이퍼 (50) 를 자동으로 센터링 (center) (정렬) 하도록 구성된다. 바람직한 실시예에서, 지지면 (801) 은 경사진 표면이고 파지면 (802) 은 수직 또는 상승된 표면이고, 경사진 지지면 (801) 은 파지면 (802) 을 향해 상향으로 경사진다.
도 3은 엔드 이펙터 (100) 의 하우징 (170) 의 내부 부분을 도시한다. 엔드 이펙터 하우징 (170) 은 제 1 블레이드 장착부 (152a), 제 2 블레이드 장착부 (152b), 적어도 하나의 제 1 장착부 선형 레일 (181a), 적어도 하나의 제 2 장착부 선형 레일 (181b), 및 액추에이터 (160) 를 포함한다. 도 4에 도시된 바와 같이, 제 1 블레이드 (150a) 는 후면에서 제 1 블레이드 장착부 (152a) (보이지 않음) 에 커플링되고 제 2 블레이드 (150b) 는 후면에서 제 2 블레이드 장착부 (152b) (보이지 않음) 에 커플링된다.
이제 도 3 및 도 4를 참조하면, 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 가 개개의 웨이퍼 (미도시) 를 홀딩하고 릴리즈할 수도 있도록, 하우징 (170) 내에 포함된 액추에이터 (160) 가 제 1 블레이드 장착부 (152a) 및 제 2 블레이드 장착부 (152b) 를 동시에 이동시킬 수도 있고, 그에 따라 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 가 서로를 향해 측방향으로 그리고 서로로부터 멀어지게 측방향으로 이동하도록, 제 1 블레이드 장착부 (152a) 는 적어도 하나의 제 1 장착부 선형 레일 (181a) 상에서 측방향으로 이동가능하고, 제 2 블레이드 장착부 (152b) 는 적어도 하나의 제 2 장착부 선형 레일 (181b) 상에서 측방향으로 이동가능하다. 바람직하게, 제 1 블레이드 장착부 (152a) 는 적어도 하나의 제 1 장착부 선형 레일들 (181a) 의 각각의 선형 레일 상에서 측방향으로 이동가능한 적어도 하나의 선형 가이드 (180a) 를 포함하고, 제 2 블레이드 장착부 (152a) 는 적어도 하나의 제 2 장착부 선형 레일들 (181b) 의 각각의 선형 레일 상에서 측방향으로 이동가능한 적어도 하나의 선형 가이드 (180b) 를 포함한다.
바람직하게, 제 1 블레이드 장착부 (152a) 는, 적어도 하나의 제 1 장착부 선형 레일들 (181a) 의 각각의 제 1 선형 레일 상에서 측방향으로 이동가능한 2개의 선형 가이드들 (180a) 및 적어도 하나의 제 1 장착부 선형 레일들 (181a) 의 각각의 제 2 선형 레일 상에서 측방향으로 이동가능한 2개의 선형 가이드들 (180a) 을 포함한다. 유사하게, 제 2 블레이드 장착부 (152b) 는, 바람직하게 적어도 하나의 제 2 장착부 선형 레일들 (181b) 의 각각의 제 1 선형 레일 상에서 측방향으로 이동가능한 2개의 선형 가이드들 (180b) 및 적어도 하나의 제 2 장착부 선형 레일들 (181b) 의 각각의 제 2 선형 레일 상에서 측방향으로 이동가능한 2개의 선형 가이드들 (180b) 을 포함한다. 바람직하게 적어도 하나의 제 1 장착부 선형 레일 (181a) 및 적어도 하나의 제 2 장착부 선형 레일 (181b) 은 경화 스테인리스 스틸로 형성되고, 선형 가이드들 (180a 및 180b) 은 스테인리스 스틸 또는 경화 스테인리스 스틸로 형성되고 스테인리스 스틸 베어링들을 포함한다. 상기 기술된 바와 같이, 적어도 하나의 제 1 장착부 선형 레일 (181a) 및 적어도 하나의 제 2 장착부 선형 레일 (181b) 을 형성함으로써, 하우징 내에서의 입자 생성이 감소될 수도 있다.
액추에이터 (160) 는 바람직하게 제 1 액추에이터 링크 (165a) 에 의해 제 1 블레이드 장착부 (152a) 에 커플링되고 제 2 액추에이터 링크 (165b) 에 의해 제 2 블레이드 장착부 (152b) 에 커플링된 세로로 이동가능한 피스톤 (161) 을 포함하고, 세로로 이동가능한 피스톤 (161) 은 밀린 위치 및 후퇴된 위치 사이에서 이동하도록 동작가능하다. 일 실시예에서, 제 1 액추에이터 링크 (165a) 는 링크의 제 1 단부에서 제 1 링크 피봇 (164a) 에 의해 세로로 이동가능한 피스톤 (161) 에 피봇가능하게 커플링되고, 제 1 액추에이터 링크 (165a) 는 링크의 제 2 단부에서 제 1 장착 피봇 (163a) 에 의해 제 1 블레이드 장착부 (152a) 에 피봇가능하게 커플링된다. 제 2 액추에이터 링크 (165b) 는 링크의 제 1 단부에서 제 2 링크 피봇 (164b) 에 의해 세로로 이동가능한 피스톤 (161) 에 피봇가능하게 커플링되고, 제 2 액추에이터 링크 (165b) 는 링크의 제 2 단부에서 제 2 장착 피봇 (163b) 에 의해 제 2 블레이드 장착부 (152b) 에 피봇가능하게 커플링된다.
일 실시예에서, 세로로 이동가능한 피스톤 (161) 은 피스톤의 원위 단부에 피스톤 장착부 (175) 를 포함하고, 피스톤 장착부 (175) 는 적어도 하나의 피스톤 장착부 가이드 레일 (182) 을 따라 세로로 이동가능한 피스톤 장착부 가이드 (183) 에 커플링될 수도 있다. 이 실시예에서, 제 1 액추에이터 링크 (165a) 는 피스톤 장착부 가이드 (183) 에서 세로로 이동가능한 피스톤 (161) 에 피봇가능하게 커플링되고, 제 2 액추에이터 링크 (165b) 는 피스톤 장착부 가이드 (183) 에서 세로로 이동가능한 피스톤 (161) 에 피봇가능하게 커플링된다. 바람직하게 제 1 링크 피봇 (164a) 및 제 2 링크 피봇 (164b) 그리고 제 1 장착 피봇 (163a) 및 제 2 장착 피봇 (163b) 은 차폐된 볼 베어링 피봇들이다.
일 실시예에서, 제 1 블레이드 장착부 (152a) 가 제 1 액추에이터 링크 리세스부 (174a) 를 포함하여, 세로로 이동가능한 피스톤 (161) 이 세로로 이동할 때, 제 1 액추에이터 링크 리세스부 (174a) 내의 제 1 액추에이터 링크 (165a) 가 제 1 블레이드 장착부 (152a) 의 상부 표면과 제 1 블레이드 장착부 (152a) 에 커플링된 제 1 블레이드 (150a) 의 후면의 하부 표면 사이에서 회전할 수도 있고, 제 2 블레이드 장착부 (152b) 가 제 2 액추에이터 링크 리세스부 (174b) 를 포함하여, 세로로 이동가능한 피스톤 (161) 이 세로로 이동할 때, 제 2 액추에이터 링크 리세스부 (174b) 내의 제 2 액추에이터 링크 (165b) 가 제 2 블레이드 장착부 (152b) 의 상부 표면과 제 2 블레이드 장착부 (152b) 에 커플링된 제 2 블레이드 (150b) 의 후면의 하부 표면 사이에서 회전할 수도 있다. 따라서, 개개의 웨이퍼가 홀딩되고 릴리즈될 수도 있도록, 액추에이터 (160) 의 세로로 이동가능한 피스톤 (161) 을 밀린 위치로 이동시키는 것은 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 로 하여금 서로를 향해 측방향으로 동시에 이동하게 하고, 세로로 이동가능한 피스톤 (161) 을 후퇴된 위치로 이동시키는 것은 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 로 하여금 서로로부터 멀어지게 측방향으로 동시에 이동하게 한다.
일 실시예에서, 액추에이터 (160) 는 공압 실린더일 수도 있다. 대안적인 실시예에서, 액추에이터 (160) 의 세로로 이동가능한 피스톤 (161) 은 압전 구동될 수도 있다.
일 실시예에서, 심들 (shims) (미도시) 은, 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 의 후면의 하부 표면과 제 1 블레이드 장착부 (152a) 및 제 2 블레이드 장착부 (152b) 각각의 상부 표면 사이에 배치될 수도 있고, 제 1 블레이드 장착부 (152a) 및 제 2 블레이드 장착부 (152b) 에 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 가 각각의 제 1 블레이드 장착부 (152a) 및 제 2 블레이드 장착부 (152b) 상에서 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 를 레벨링하고 정렬하기 위해 커플링된다.
엔드 이펙터 (100) 는 바람직하게, 본 명세서에 개시된 바와 같은 엔드 이펙터들 (100) 의 실시예들의 동작을 제어하고 본 명세서에 개시된 방법들의 실시예들을 수행하기 위한 전자장치들과 통합될 수도 있는 제어기 (162) 를 포함한다. 전자장치들은 시스템 또는 하위시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 이송되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (162) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 이송될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (162) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨 (즉 프로세싱 모듈) 에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 본 명세서에 개시된 바와 같은 로봇 암의 단부에 부착된 엔드 이펙터의 실시예로부터 웨이퍼들을 수용할 수도 있는 예시적인 반도체 웨이퍼 프로세싱 장비는 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈을 포함하는 프로세싱 모듈들 (챔버들), 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 장치들 또는 시스템들을 포함할 수도 있다.
상술한 바와 같이, 웨이퍼 프로세싱 장비의 웨이퍼 프로세싱 장치에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (162) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. 바람직하게, 비일시적인 컴퓨터 머신-판독가능 매체는 엔드 이펙터 (100) 의 제어를 위해 프로그램 인스트럭션들을 포함한다.
도 5a 및 도 5b는 본 명세서에 개시된 바와 같은 엔드 이펙터 (100) 의 실시예를 사용하여 웨이퍼를 홀딩하는 방법의 단계들을 도시한다. 도 5a에 도시된 바와 같이, 웨이퍼 (50) 를 홀딩하기 위해, 엔드 이펙터 (100) 는 엔드 이펙터 (100) 의 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 가 서로를 향해 측방향으로 동시에 이동할 때 웨이퍼 (50) 가 홀딩될 수도 있도록 웨이퍼 (50) 에 대하여 위치된다. 방법은 제 1 블레이드 및 제 2 블레이드가 서로를 향해 동시에 이동하고, 그에 따라, 웨이퍼 (50) 가 도 5b에 도시된 바와 같이 홀딩될 수도 있도록 세로로 이동가능한 피스톤 (161) 을 미는 단계를 포함한다. 바람직하게, 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 그리고 블레이드들의 프론트 웨이퍼 패드 (153a, 153b) 와 백 웨이퍼 패드 (154a, 154b) 사이의 간격은 엔드 이펙터 (100) 가 약 300 ㎜의 직경 또는 약 450 ㎜의 직경을 갖는 웨이퍼를 홀딩할 수도 있도록 선택된다. 바람직한 실시예에서, 방법은 엔드 이펙터 (100) 의 프론트 웨이퍼 패드 (153a, 153b) 및 백 웨이퍼 패드 (154a, 154b) 의 각각의 파지면들을 사용하여 웨이퍼 (50) 의 에지 또는 외경을 파지하는 단계를 포함하고, 프론트 웨이퍼 패드 (153a, 153b) 및 백 웨이퍼 패드 (154a, 154b) 의 파지면들은 엔드 이펙터 (100) 의 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 위에서 웨이퍼 (50) 를 적절히 정렬시키도록 성형된다.
방법은 반도체 웨이퍼 프로세싱 장비의 제 1 모듈의 제 1 위치로부터 반도체 웨이퍼 프로세싱 장비의 제 1 모듈의 제 2 위치 또는 반도체 웨이퍼 프로세싱 장비의 제 2 모듈의 제 1 위치로 웨이퍼 (50) 를 이송하는 단계, 및 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 가 서로로부터 멀어지게 동시에 이동하도록 세로로 이동가능한 피스톤을 후퇴시킴으로써 제 1 모듈 내의 제 2 위치 또는 제 2 모듈 내의 제 1 위치에서 웨이퍼 (50) 를 릴리즈하는 단계를 더 포함할 수 있다.
웨이퍼 (50) 를 릴리즈하기 위해, 엔드 이펙터 (100) 는 제 1 모듈의 제 2 위치 또는 제 2 모듈의 제 1 위치에서, 정전척 또는 가열된 페데스탈과 같은 웨이퍼 지지부의 웨이퍼 지지면 위에 위치될 수도 있고, 웨이퍼 지지부 내에 포함된 리프트 핀들은 상승될 수도 있다. 이어서 웨이퍼는 상승된 리프트 핀들 상으로 릴리즈될 수도 있고, 이어서 리프트 핀들은 웨이퍼가 웨이퍼 지지부의 지지면 상에 지지되도록 하강될 수도 있다. 대안적으로, 리프트 핀들은 웨이퍼가 리프트 핀들 위에 위치되기 전에 상승될 수도 있다. 부가적으로, 엔드 이펙터 (100) 는 웨이퍼 (50) 가 후속하여 프로세싱될 수도 있도록 FOUP로부터 웨이퍼 (50) 를 제거하도록 동작가능할 수도 있고 또는 엔드 이펙터 (100) 는 FOUP 내에 프로세싱된 웨이퍼를 배치하도록 동작가능할 수도 있다.
다른 실시예에서, 웨이퍼 프로세싱 장비의 모듈은 도 6에 도시된 바와 같이 리세스된 척 (500) 을 포함할 수도 있다. 예를 들어, 도 6에 도시된 바와 같은 리세스된 척 (500) 은 전체가 참조로서 본 명세서에 인용된, 공동으로 양도된 미국 특허 출원 공개 번호 제 2013/010372 호에 개시된 바와 같이 리세스된 회전 척일 수도 있다. 웨이퍼 (50) 를 리세스된 척 (500) 으로 이송하기 위해, 웨이퍼 (50) 는, 이동되고 리세스된 척 (500) 에 인접하게 위치된 엔드 이펙터 (100) 에 의해 홀딩된다. 제 1 블레이드 (150a) 의 제 1 블레이드 단차 (151a) 및 제 2 블레이드 (미도시) 의 제 2 블레이드 단차 (미도시) 는 엔드 이펙터 (100) 의 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 가 리세스된 척 (500) 또는 리세스된 척 (500) 을 포함하는 웨이퍼 프로세싱 장비의 하우징과 콘택트하지 않도록 웨이퍼 (50) 로 하여금 리세스된 척 (500) 내 또는 아래에 위치되게 한다. 웨이퍼 (50) 가 리세스된 척 (500) 내에 위치된 후, 리세스된 척의 핀들 (미도시) 은 웨이퍼 (50) 의 에지를 클램핑하도록 방사상 내측으로 이동되고, 이어서 웨이퍼 (50) 는 웨이퍼 (50) 가 프로세싱될 수도 있도록 엔드 이펙터 (100) 에 의해 릴리즈될 수도 있다. 웨이퍼 (50) 를 제거하기 위해, 엔드 이펙터 (100) 는 웨이퍼 아래에 위치될 수도 있고, 제 1 블레이드 (150a) 및 제 2 블레이드 (150b) 는 웨이퍼 (50) 를 홀딩하기 위해 서로 동시에 이동된다. 이어서 리세스된 척 (500) 의 핀들이 웨이퍼 (50) 의 에지가 더 이상 핀들에 의해 지지되지 않도록 이동되고, 웨이퍼 (50) 는 엔드 이펙터 (100) 에 의해 리세스된 척 (500) 으로부터 제거될 수도 있다.
본 명세서에 개시된 실시예들은 바람직한 실시예들을 참조하여 기술되었다. 그러나, 본 발명의 정신으로부터 벗어나지 않고 상기 기술된 바와 다른 특정한 형태들로 본 발명을 구현하는 것이 가능하다는 것이 당업자에게는 용이하게 자명할 것이다. 바람직한 실시예들은 예시적이고, 어떠한 방식으로도 제한적으로 간주되지 않아야 한다.

Claims (20)

  1. 반도체 웨이퍼 프로세싱 동안 웨이퍼 이송 시스템의 로봇 암이 홀딩된 웨이퍼를 이송할 수도 있도록 개개의 웨이퍼들을 홀딩하고 릴리즈하도록 동작가능한 상기 웨이퍼 이송 시스템의 상기 로봇 암의 엔드 이펙터에 있어서,
    상기 엔드 이펙터는,
    엔드 이펙터 하우징을 포함하고,
    상기 엔드 이펙터 하우징은, 제 1 블레이드에 커플링된 제 1 블레이드 장착부, 제 2 블레이드에 커플링된 제 2 블레이드 장착부, 적어도 하나의 제 1 장착부 선형 레일, 적어도 하나의 제 2 장착부 선형 레일, 및 액추에이터를 포함하고, 상기 제 1 블레이드 및 상기 제 2 블레이드가 개개의 웨이퍼를 홀딩하고 릴리즈할 수 있게, 상기 하우징 내에 포함된 상기 액추에이터가 상기 제 1 블레이드 및 상기 제 2 블레이드를 측방향으로 서로를 향해 그리고 측방향으로 서로로부터 멀어지게 동시에 이동시킬 수도 있도록, 상기 제 1 블레이드 장착부는 상기 적어도 하나의 제 1 장착부 선형 레일 상에서 측방향으로 이동가능하고, 상기 제 2 블레이드 장착부는 상기 적어도 하나의 제 2 장착부 선형 레일 상에서 측방향으로 이동가능하고,
    상기 액추에이터는 제 1 액추에이터 링크에 의해 상기 제 1 블레이드 장착부에 커플링되고 제 2 액추에이터 링크에 의해 상기 제 2 블레이드 장착부에 커플링된 세로로 이동가능한 피스톤을 포함하고, 상기 세로로 이동가능한 피스톤은 밀린 (protracted) 피스톤과 후퇴된 (retracted) 피스톤 사이에서 이동하도록 동작가능하고,
    상기 제 1 액추에이터 링크는 제 1 링크 피봇에 의해 상기 제 1 액추에이터 링크의 제 1 단부에서 상기 세로로 이동가능한 피스톤에 피봇가능하게 커플링되고, 상기 제 1 액추에이터 링크는 제 1 장착 피봇에 의해 상기 제 1 액추에이터 링크의 제 2 단부에서 상기 제 1 블레이드 장착부에 피봇가능하게 커플링되고, 상기 제 2 액추에이터 링크는 제 2 링크 피봇에 의해 상기 제 2 액추에이터 링크의 제 1 단부에서 상기 세로로 이동가능한 피스톤에 피봇가능하게 커플링되고, 상기 제 2 액추에이터 링크는 제 2 장착 피봇에 의해 상기 제 2 액추에이터 링크의 제 2 단부에서 상기 제 2 블레이드 장착부에 피봇가능하게 커플링되고,
    상기 제 1 블레이드 장착부는, 상기 세로로 이동가능한 피스톤이 세로로 이동할 때, 상기 제 1 액추에이터 링크가 상기 제 1 블레이드 장착부의 상부 표면과 상기 제 1 블레이드의 후면의 하부 표면 사이에서 제 1 액추에이터 링크 리세스의 일 단부를 중심으로 회전할 수도 있도록, 상기 제 1 액추에이터 링크 리세스를 포함하고, 상기 제 2 블레이드 장착부는, 상기 세로로 이동가능한 피스톤이 세로로 이동할 때, 상기 제 2 액추에이터 링크가 상기 제 2 블레이드 장착부의 상부 표면과 상기 제 2 블레이드의 후면의 하부 표면 사이에서 제 2 액추에이터 링크 리세스의 일 단부를 중심으로 회전할 수도 있도록, 상기 제 2 액추에이터 링크 리세스를 포함하고,
    상기 세로로 이동가능한 피스톤을 상기 밀린 위치로 이동시키는 것은 상기 제 1 블레이드 및 상기 제 2 블레이드로 하여금 서로를 향해 측방향으로 동시에 이동하게 하고, 상기 세로로 이동가능한 피스톤을 상기 후퇴된 위치로 이동시키는 것은 상기 제 1 블레이드 및 상기 제 2 블레이드로 하여금 서로로부터 측방향으로 멀어지게 동시에 이동하게 하는, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  2. 제 1 항에 있어서,
    상기 세로로 이동가능한 피스톤은 피스톤의 원위 단부에서 피스톤 장착부 가이드에 커플링된 피스톤 장착부를 포함하고, 상기 피스톤 장착부 가이드는 적어도 하나의 피스톤 장착부 가이드 레일을 따라 세로로 이동가능한, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  3. 제 2 항에 있어서,
    상기 제 1 액추에이터 링크는 상기 피스톤 장착부에서 상기 세로로 이동가능한 피스톤에 피봇가능하게 커플링되고 상기 제 2 액추에이터 링크는 상기 피스톤 장착부에서 상기 세로로 이동가능한 피스톤에 피봇가능하게 커플링되는, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  4. 제 1 항에 있어서,
    상기 제 1 링크 피봇 및 상기 제 2 링크 피봇 그리고 상기 제 1 장착 피봇 및 상기 제 2 장착 피봇은 차폐된 볼 베어링 피봇들인, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  5. 제 1 항에 있어서,
    상기 액추에이터는 공압 실린더 액추에이터 또는 압전 구동 액추에이터인, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  6. 제 1 항에 있어서,
    리세스된 척을 포함하는 웨이퍼 프로세싱 장비의 하우징 또는 상기 리세스된 척과 상기 제 1 블레이드 또는 상기 제 2 블레이드가 콘택트하지 않고 웨이퍼가 상기 리세스된 척 내에 위치될 수도 있도록, 상기 제 1 블레이드는 상기 제 1 블레이드의 길이를 따라 제 1 블레이드 단차를 포함하고, 상기 제 2 블레이드는 상기 제 2 블레이드의 길이를 따라 제 2 블레이드 단차를 포함하는, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  7. 제 1 항에 있어서,
    제 1 블레이드 프론트 웨이퍼 패드 및 제 1 블레이드 백 웨이퍼 패드는 상기 제 1 블레이드로부터 연장되고 제 2 블레이드 프론트 웨이퍼 패드 및 제 2 블레이드 백 웨이퍼 패드는 상기 제 2 블레이드로부터 연장되고,
    상기 제 1 블레이드 및 상기 제 2 블레이드의 상기 프론트 웨이퍼 패드 및 백 웨이퍼 패드는 상기 웨이퍼가 상기 제 1 블레이드 또는 상기 제 2 블레이드와 콘택트하지 않도록 개개의 웨이퍼를 홀딩하도록 구성되는, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  8. 제 7 항에 있어서,
    (a) 상기 제 1 블레이드 프론트 웨이퍼 패드, 상기 제 1 블레이드 백 웨이퍼 패드, 상기 제 2 블레이드 프론트 웨이퍼 패드, 및 상기 제 2 블레이드 백 웨이퍼 패드는 폴리에테르 에테르 케톤으로 이루어지고, 그리고/또는
    (b) 상기 제 1 블레이드 프론트 웨이퍼 패드, 상기 제 1 블레이드 백 웨이퍼 패드, 상기 제 2 블레이드 프론트 웨이퍼 패드, 및 상기 제 2 블레이드 백 웨이퍼 패드 각각은 지지면 및 파지면을 포함하고, 상기 파지면들은 상기 웨이퍼가 상기 엔드 이펙터에 의해 홀딩될 때 상기 웨이퍼가 상기 엔드 이펙터의 상기 제 1 블레이드 및 상기 제 2 블레이드 위에서 자동으로 센터링 (center) 하도록 성형되는, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  9. 제 1 항에 있어서,
    상기 제 1 블레이드 장착부는 상기 적어도 하나의 제 1 장착부 선형 레일의 선형 레일 상에서 측방향으로 이동가능한 적어도 하나의 선형 가이드를 포함하고, 상기 제 2 블레이드 장착부는 상기 적어도 하나의 제 2 장착부 선형 레일의 선형 레일 상에서 측방향으로 이동가능한 적어도 하나의 선형 가이드를 포함하는, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  10. 제 1 항에 있어서,
    상기 엔드 이펙터는 로봇 암의 일 단부 상에 회전가능하게 장착되는, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  11. 제 1 항에 있어서,
    상기 엔드 이펙터에 의해 수행된 프로세스들을 제어하도록 구성된 제어기; 및/또는
    상기 엔드 이펙터를 제어하기 위한 프로그램 인스트럭션들을 포함하는 비일시적인 컴퓨터 머신-판독가능 매체를 더 포함하는, 웨이퍼 이송 시스템의 로봇 암의 엔드 이펙터.
  12. 웨이퍼 핸들링 시스템에 있어서,
    반도체 웨이퍼 프로세싱 장비 사이에서 개개의 웨이퍼들을 이송하도록 동작가능한 로봇 암을 포함하고,
    상기 로봇 암은 상기 로봇 암의 일 단부 상에 회전가능하게 장착된 제 1 항에 기재된 상기 엔드 이펙터를 포함하는, 웨이퍼 핸들링 시스템.
  13. 제 12 항에 있어서,
    상기 웨이퍼 이송 시스템은 EFEM (equipment front end module), 또는 진공 웨이퍼 이송 모듈, 또는 대기 웨이퍼 이송 모듈인, 웨이퍼 핸들링 시스템.
  14. 제 1 항에 기재된 상기 엔드 이펙터를 사용하여 웨이퍼를 홀딩하기 위한 방법에 있어서,
    상기 방법은,
    상기 엔드 이펙터의 상기 제 1 블레이드 및 상기 제 2 블레이드가 서로를 향해 측방향으로 동시에 이동할 때 웨이퍼가 홀딩될 수도 있도록 상기 웨이퍼에 대해 상기 엔드 이펙터를 위치시키는 단계; 및
    상기 제 1 블레이드 및 상기 제 2 블레이드가 서로를 향해 동시에 이동하고 상기 웨이퍼의 외측 둘레부를 파지하도록 상기 세로로 이동가능한 피스톤을 미는 단계를 포함하는, 웨이퍼를 홀딩하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 엔드 이펙터의 상기 제 1 블레이드 및 상기 제 2 블레이드 상에 배치된 웨이퍼 패드들의 파지면으로 상기 웨이퍼의 에지를 파지하는 단계를 더 포함하는, 웨이퍼를 홀딩하기 위한 방법.
  16. 제 14 항에 있어서,
    상기 웨이퍼를 릴리즈하기 위해 상기 제 1 블레이드 및 상기 제 2 블레이드가 서로로부터 멀어지게 동시에 이동하도록 상기 세로로 이동가능한 피스톤을 후퇴시키는 단계를 더 포함하는, 웨이퍼를 홀딩하기 위한 방법.
  17. 제 12 항에 기재된 상기 웨이퍼 핸들링 시스템을 사용하여 반도체 웨이퍼 프로세싱 장비 사이에서 웨이퍼를 이송하는 방법에 있어서,
    상기 방법은,
    상기 엔드 이펙터의 상기 제 1 블레이드 및 상기 제 2 블레이드가 서로를 향해 측방향으로 동시에 이동할 때 웨이퍼가 홀딩될 수도 있도록 상기 엔드 이펙터를 상기 웨이퍼에 대해 위치시키는 단계;
    상기 제 1 블레이드 및 상기 제 2 블레이드가 서로를 향해 동시에 이동하고 상기 웨이퍼의 외측 둘레부를 파지하도록 상기 세로로 이동가능한 피스톤을 미는 단계;
    반도체 웨이퍼 프로세싱 장비의 제 1 모듈의 제 1 위치로부터 상기 반도체 웨이퍼 프로세싱 장비의 상기 제 1 모듈의 제 2 위치 또는 상기 반도체 웨이퍼 프로세싱 장비의 제 2 모듈의 제 1 위치로 상기 웨이퍼를 이송하는 단계; 및
    상기 제 1 블레이드 및 상기 제 2 블레이드가 서로로부터 멀어지게 동시에 이동하도록 상기 세로로 이동가능한 피스톤을 후퇴시킴으로써 상기 제 1 모듈의 상기 제 2 위치 또는 상기 제 2 모듈의 상기 제 1 위치에서 상기 웨이퍼를 릴리즈하는 단계를 포함하는, 웨이퍼를 이송하는 방법.
  18. 제 17 항에 있어서,
    상기 제 2 모듈의 상기 제 1 위치에서 리세스된 척 아래에 상기 홀딩된 웨이퍼를 위치시키는 단계, 상기 웨이퍼의 에지를 클램핑하도록 상기 리세스된 척의 핀들을 방사상 내측으로 이동시키는 단계, 및 상기 웨이퍼가 상기 핀들에 의해 지지되도록 상기 웨이퍼를 릴리즈하는 단계를 더 포함하는, 웨이퍼를 이송하는 방법.
  19. 제 17 항에 있어서,
    상기 제 1 모듈의 상기 제 2 위치 또는 상기 제 2 모듈의 상기 제 1 위치에서 웨이퍼 지지부의 웨이퍼 지지면 위에 상기 홀딩된 웨이퍼를 위치시키고, 상기 웨이퍼 지지부 내에 포함된 리프트 핀들을 상승시키는 단계, 상기 웨이퍼를 상기 상승된 리프트 핀들 상으로 릴리즈하는 단계, 및 상기 웨이퍼가 상기 지지면 상에 지지되도록 상기 리프트 핀들을 하강시키는 단계; 또는
    웨이퍼 지지부 내에 포함된 상승된 리프트 핀들 위에 상기 홀딩된 웨이퍼를 위치시키고, 상기 웨이퍼를 상기 상승된 리프트 핀들 상으로 릴리즈하는 단계, 및 상기 웨이퍼가 상기 웨이퍼 지지부의 웨이퍼 지지면 상에 지지되도록 상기 리프트 핀들을 하강시키는 단계를 더 포함하는, 웨이퍼를 이송하는 방법.
  20. 제 17 항에 있어서,
    상기 웨이퍼가 순차적으로 프로세싱될 수도 있도록 상기 웨이퍼를 FOUP (front opening unified pod) 로부터 제거하는 단계 또는 프로세싱된 웨이퍼를 FOUP 내에 위치시키는 단계를 더 포함하는, 웨이퍼를 이송하는 방법.
KR1020160004043A 2015-01-27 2016-01-13 웨이퍼 전달 시스템을 위한 엔드 이펙터 및 웨이퍼들을 전달하는 방법 KR20160092487A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/606,664 2015-01-27
US14/606,664 US9536764B2 (en) 2015-01-27 2015-01-27 End effector for wafer transfer system and method of transferring wafers

Publications (1)

Publication Number Publication Date
KR20160092487A true KR20160092487A (ko) 2016-08-04

Family

ID=56432795

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160004043A KR20160092487A (ko) 2015-01-27 2016-01-13 웨이퍼 전달 시스템을 위한 엔드 이펙터 및 웨이퍼들을 전달하는 방법

Country Status (3)

Country Link
US (1) US9536764B2 (ko)
KR (1) KR20160092487A (ko)
TW (1) TW201643983A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200140641A (ko) 2019-06-07 2020-12-16 블루테크코리아 주식회사 반도체 로봇용 엔드이펙터

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190130304A (ko) * 2018-05-14 2019-11-22 에스케이실트론 주식회사 웨이퍼 이송 기구 및 그를 구비한 cvd 장치
JP7390142B2 (ja) * 2019-09-20 2023-12-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
JP1665227S (ko) * 2019-11-28 2020-08-03
TWI707753B (zh) * 2020-05-07 2020-10-21 鈦昇科技股份有限公司 自動化手臂更換機構
USD949219S1 (en) * 2020-08-20 2022-04-19 Grey Orange Pte. Ltd. Spatula gripper
TWI759068B (zh) * 2021-01-12 2022-03-21 辛耘企業股份有限公司 晶圓取放裝置
TWI796060B (zh) * 2021-12-23 2023-03-11 盛詮科技股份有限公司 晶圓吸附手臂

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4735452A (en) 1986-12-19 1988-04-05 Texas Instruments Incorporated Article gripper assembly
US5022695A (en) 1989-01-30 1991-06-11 Texas Instruments Incorporated Semiconductor slice holder
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
US6572320B2 (en) * 1997-05-05 2003-06-03 Semitool, Inc. Robot for handling workpieces in an automated processing system
US6155773A (en) 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
JP2000040728A (ja) 1998-07-22 2000-02-08 Nippon Asm Kk ウェハ搬送機構
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
EP1313662B1 (en) 1999-05-04 2014-03-26 Ade Corporation Edge gripping end effector wafer handling apparatus
US6100677A (en) 1999-10-18 2000-08-08 National Semiconductor Corporation Switching controller chip with internal but not external soft start circuitry and DC to DC converter including such a controller chip
US7281741B2 (en) 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US7334826B2 (en) 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
WO2003008157A2 (en) * 2001-07-14 2003-01-30 Brooks Automation, Inc. Centering double side edge grip end effector with integrated mapping sensor
US7140655B2 (en) 2001-09-04 2006-11-28 Multimetrixs Llc Precision soft-touch gripping mechanism for flat objects
US6682113B2 (en) 2001-11-16 2004-01-27 Applied Materials, Inc. Wafer clamping mechanism
US7641247B2 (en) 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7300082B2 (en) 2003-07-21 2007-11-27 Asyst Technologies, Inc. Active edge gripping and effector
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
KR20110107818A (ko) 2008-12-19 2011-10-04 램 리서치 아게 디스크형 물품을 처리하기 위한 디바이스 및 이를 동작시키기 위한 방법
TWI538094B (zh) 2009-03-31 2016-06-11 蘭研究公司 用以處理盤狀物品的裝置
JP5141707B2 (ja) * 2010-03-24 2013-02-13 株式会社安川電機 被処理体の支持機構、支持方法およびそれを備えた搬送システム
JP5639963B2 (ja) 2010-06-16 2014-12-10 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに基板処理プログラムを記録した記録媒体
TWI490538B (zh) 2011-07-06 2015-07-01 Ability Entpr Co Ltd 變焦鏡頭
US20130101372A1 (en) 2011-10-19 2013-04-25 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US20130309048A1 (en) 2012-05-16 2013-11-21 Lam Research Ag Apparatus and method for transporting wafer-shaped articles
US9214375B2 (en) 2012-07-10 2015-12-15 Lam Research Corporation End effector having multiple-position contact points
US9190306B2 (en) 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200140641A (ko) 2019-06-07 2020-12-16 블루테크코리아 주식회사 반도체 로봇용 엔드이펙터

Also Published As

Publication number Publication date
TW201643983A (zh) 2016-12-16
US9536764B2 (en) 2017-01-03
US20160218030A1 (en) 2016-07-28

Similar Documents

Publication Publication Date Title
KR20160092487A (ko) 웨이퍼 전달 시스템을 위한 엔드 이펙터 및 웨이퍼들을 전달하는 방법
KR102656329B1 (ko) 최적화된 저 에너지/고 생산성 디포지션 시스템
CN107039307B (zh) 用连接等离子体处理系统的端部执行器自动更换消耗部件
CN107068586B (zh) 使用连接的室自动更换消耗部件
CN105529293B (zh) 用于传送晶片的设备前端模块以及传送晶片的方法
US9327918B2 (en) Substrate processing apparatus and substrate processing method for performing cleaning process and the like on substrate
KR101396469B1 (ko) 공작물 전달 시스템 및 방법
US20150340209A1 (en) Focus ring replacement method for a plasma reactor, and associated systems and methods
TWI744608B (zh) 用於在動作期間把持晶圓的方法及機器人臂
TWI762518B (zh) 用於工件處理之系統與方法
US8322963B2 (en) End effector for a cluster tool
US9214375B2 (en) End effector having multiple-position contact points
CN111133563B (zh) 包括垂直偏移、水平重叠的蛙腿连杆的双叶片机器人和包括其的系统和方法
KR20220166804A (ko) 실리콘 웨이퍼 이송 장치
US20240186166A1 (en) Passive separation cassette and carrier
US20150314971A1 (en) Wafer carrier-ring loader for standard semiconductor factory interface
TW202046024A (zh) 用於對準遮罩和基板的方法
KR20060084047A (ko) 반도체 제조설비의 웨이퍼 이송로봇
KR20070059495A (ko) 반도체소자 제조설비