TW202046024A - 用於對準遮罩和基板的方法 - Google Patents

用於對準遮罩和基板的方法 Download PDF

Info

Publication number
TW202046024A
TW202046024A TW109115189A TW109115189A TW202046024A TW 202046024 A TW202046024 A TW 202046024A TW 109115189 A TW109115189 A TW 109115189A TW 109115189 A TW109115189 A TW 109115189A TW 202046024 A TW202046024 A TW 202046024A
Authority
TW
Taiwan
Prior art keywords
mask
alignment
substrate
carrier
transfer robot
Prior art date
Application number
TW109115189A
Other languages
English (en)
Other versions
TWI841732B (zh
Inventor
葛列格 佛里曼
派崔西亞A 史庫茲
奧茲康 瑟黎克
亞歷山大N 雷奈
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202046024A publication Critical patent/TW202046024A/zh
Application granted granted Critical
Publication of TWI841732B publication Critical patent/TWI841732B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/191Deposition of organic active material characterised by provisions for the orientation or alignment of the layer to be deposited
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/40Thermal treatment, e.g. annealing in the presence of a solvent vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electroluminescent Light Sources (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

提供了用於對準遮罩與基板的方法和設備。方法可包括在對準模組的對準台,接收載體,載體具有設置在其上的基板,將遮罩從對準模組的遮罩儲存器的遮罩盒傳送至對準台上方的位置,且將遮罩放在載體上。該法亦可包括獲取遮罩和基板的一或多個圖像,其中遮罩包括穿過遮罩的一或多個對準孔,且基板包括設置在基板的上表面上的一或多個對準點,分析一或多個圖像以判定遮罩的一或多個對準孔與基板上的一或多個對準點之間的一或多個差異,並基於差異將遮罩與基板對準。

Description

用於對準遮罩和基板的方法
本公開的實施例總體上關於製造製程以及相關的系統和設備,並且特別是關於用於將遮罩與基板對準的製程以及相關的系統和設備。
產生半導體元件通常包括在基板(或晶圓)上沉積多層不同材料。在許多情況下,層經由毯覆式沉積而沉積在整個基板上。基板可以接著透過光刻(或類似的)製程而圖案化。此外,隨後可以透過蝕刻製程或任何其他合適的材料移除製程移除任何額外的材料。然而,蝕刻各種膜,例如有機膜,是困難且費時的。此外,沉積一或多層的製程是時間密集的,並且圖案化處理,例如光刻,是昂貴的。與上述處理相反,在許多實例中,可以在沉積製程中將遮罩放置在基板上,從而控制基板的哪些區域接收沉積的材料,從而減少製程步驟的數量。例如,在沉積製程期間使用遮罩可以使昂貴的製程步驟,例如光刻和蝕刻變得非必要。然而,由於遮罩相對於基板的放置控制了基板的哪些區域接收沉積的材料,因此以高精準來完成遮罩的放置是重要的。此外,如果有多於一層經由遮罩沉積,則精確對準是甚至更為重要的。
因此,需要用於將遮罩與基板對準的方法,以及能夠將遮罩容納並準確地定位在基板上以進行處理的遮罩對準模組。
本公開的實施例大體上涉及用於使遮罩與基板對準的方法以及相關的系統和設備。在一或多個實施例中,一種用於將遮罩與基板對準的方法包括:在對準模組的對準台,接收載體,載體具有設置在載體的表面上的基板,經由傳送機器人將遮罩從對準模組的遮罩儲存器的遮罩盒傳送至對準台上方的位置,並將遮罩放在載體上。
在其他實施例中,一種用於將遮罩與基板對準的方法,包括:在對準模組的對準台,接收載體,載體具有設置在載體的表面上的基板,將遮罩從對準模組的遮罩儲存器的遮罩盒傳送至對準台上方的位置,並將遮罩放在載體上。方法亦包括獲取遮罩和基板的一或多個圖像,其中遮罩包括穿過遮罩的一或多個對準孔,且基板包括設置在基板的上表面上的一或多個對準點,分析一或多個圖像以判定遮罩的一或多個對準孔與基板上的一或多個對準點之間的一或多個差異,並基於一或多個差異將遮罩與基板對準。
在一些實施例中,一種用於將遮罩與基板對準的方法,包括:在對準模組的對準台,接收載體,載體具有設置在載體的表面上的基板,經由傳送機器人將遮罩從對準模組的遮罩儲存器的遮罩盒傳送至對準台上方的位置,和透過將對準台垂直地朝向傳送機器人移動以將遮罩放在載體上,來將遮罩放在載體上。方法亦包括:獲取遮罩和基板的一或多個圖像,分析一或多個圖像以判定遮罩的一或多個對準元件與基板上的一或多個對準元件之間的一或多個差異,並透過移動對準台以調整基板相對於遮罩的位置,來基於一或多個差異將遮罩與基板對準。
在一個或多個實施例中,一種用於將遮罩與基板對準的對準模組,包括:遮罩儲存器,其經配置以容納遮罩盒,遮罩盒經配置以儲存複數個遮罩;對準台,其經配置以支撐載體和基板;傳送機器人,其經配置以將複數個遮罩中的遮罩從遮罩儲存器傳送到對準台,並將遮罩放在基板上方;和控制器,其經配置以控制系統以指揮、實行、或執行本文描述和討論的任一方法。
遮罩通常在基板的製程期間使用,以控制沉積材料在基板表面上的對準和放置和/或從基板的一或多個表面蝕刻材料。例如,可將遮罩放置在基板上方以控制基板表面的哪些區域將接收沉積的材料。此外,可以將多層不同材料沉積到每個基板上以產生電子元件,其中每個層都使用不同的遮罩。因此,在不同的沉積製程或蝕刻製程中,任何遮罩和基板之間的任何未對準都可能使相對應的電子元件無法使用。在以下公開案中,描述了一種改良的遮罩對準腔室,該遮罩對準腔室既容納遮罩又將遮罩精確地放置在基板上以用於隨後的製程步驟。儘管本文的公開內容主要討論在沉積製程中遮罩的使用,本配置並不旨在限制本文提供的公開內容的範疇,因為本文所述的設備和方法也可用於其他基板製程步驟,例如蝕刻步驟、材料摻雜或植入步驟、熱處理步驟或其他有用的處理步驟。
第1圖描繪了根據本文描述和討論的一或多個實施例的用於處理基板的整合平台100。例如,整合平台100可以在基板上沉積一或多個金屬層和/或有機材料以製造光感測元件。例如,光感測元件可以是有機圖像感測元件。包括多個處理腔室的整合平台的範例包括ENDURA®平台,其可從加州聖克拉拉的應用材料公司商購獲得。替代地,也可以根據本公開修改其他基板處理平台。
整合平台100可包括真空密封處理平台160、工廠介面162、和控制器150。此外,整合平台100也可稱為群集工具或多腔室處理系統。
處理平台160包括一或多個處理腔室。例如,處理平台160可包括處理腔室112、114、116、118、132、134、136和138以及對準模組140。此外,處理平台160包括一或多個傳送腔室。例如,如第1圖所示,處理平台160包括傳送腔室110和130。處理平台160亦可包括一或多個通過腔室,其允許基板在傳送腔室之間傳送。例如,通過腔室122、124可以允許基板在傳送腔室110和130之間傳送。
處理平台160亦可包括一或多個裝載閘腔室。例如,如第1圖所示,處理平台160包括裝載閘腔室102、104。在從工廠介面162和傳送腔室110傳送基板之前,可以將裝載閘腔室102、104抽真空以在真空下操作。
工廠介面162包括一或多個對接站183、一或多個工廠介面機器人185、以及基板載體腔室190。對接站183包括一或多個晶圓傳送盒(FOUPS)187A-187D。工廠介面機器人185能夠進行線性和旋轉運動,如箭頭182所示。此外,工廠介面機器人185可以在FOUPS 187、裝載閘腔室102、104和基板載體腔室190之間傳送基板。基板載體腔室190可以被配置為將基板安裝在載體(例如,夾盤)上或從載體移除基板。例如,載體可包括一或多個導電元件(例如,夾盤電極),該一或多個導電元件經配置以將基板靜電地保持在載體上。可以透過工廠介面機器人185將載體和基板從基板載體腔室190傳送到一或多個裝載閘腔室102、104。另外,可以將載體和處理過的基板從裝載閘腔室102、104傳送到基板載體腔室190,使得可以從載體上移除處理過的基板,且可以將處理過的基板由工廠介面機器人185從基板載體腔室190傳送到FOUP 187中的一個FOUP。
傳送腔室110包括傳送機器人111。傳送機器人111傳送基板進出裝載閘腔室102、104、傳送進出處理腔室112、114、116和118,以及傳送進出通過腔室122、124。通過腔室122和124可用於維持真空條件,同時允許基板在傳送腔室110和130之間的整合平台100內傳送。傳送機器人131在通過腔室122、124與處理腔室132、134、136和138和對準模組140之間以及在處理腔室132、134、136和138與對準模組140之間傳送基板。
處理腔室112、114、116、118、132、134、136和138以及對準模組140可以以適合於處理基板的任何方式配置。例如,處理腔室112、114、116、118、132、134、136和138可以被配置為沉積一或多個金屬層、一或多個有機膜,並且對基板施加一或多個清潔處理以產生諸如光感測元件等的半導體元件。在其他範例中,對準模組140可以被配置以將基板和遮罩對準在一起。
第一組一或多個處理腔室,例如處理腔室116、118,被配置以實行預清潔製程,以在將基板傳送到另一處理腔室之前從基板移除污染物和/或對揮發性組分除氣。處理腔室114和112可被配置以在基板上沉積一或多個金屬層。處理腔室138可經配置以在基板上沉積一或多層銦錫氧化物(ITO)材料。處理腔室132、134、和136可被配置以在基板上沉積一或多個有機膜。此外,對準模組140可被配置為在將基板傳送到一或多個處理腔室132、134、136和138之前在基板上放置遮罩(例如,陰影遮罩),並且在一或多個處理腔室132、134、136和138中進行處理之後將遮罩從基板上卸載。處理腔室116、118、132、134、136、和138可以被配置以使用諸如化學氣相沉積(CVD)、原子層沉積(ALD)、金屬有機化學氣相沉積(MOCVD)、電漿增強化學氣相沉積(PECVD)的化學沉積製程和物理氣相沉積(PVD)(例如濺射製程或蒸發製程)等來沉積材料(例如,金屬層或有機膜)。
控制器150被配置以控制整合平台100的部件。控制器150可以是用於控制處理腔室、傳送腔室、通過腔室、和工廠介面中的一個或多個的操作的任何合適的控制器。例如,控制器150可以被配置為控制傳送機器人111和/或傳送機器人131的操作。控制器150包括中央處理單元(CPU)152、記憶體154、和支援電路156。CPU 152可以是可以在工業環境中利用的任何通用電腦處理器。支援電路156耦接到CPU 152,並且可以包括快取、時鐘電路、輸入/輸出子系統、電源等。軟體常式可儲存在記憶體154內。軟體常式可以由CPU 152執行,並且因此適於使整合平台100內的各種部件實行本文描述的一或多種方法。替代地或附加地,一或多個軟體常式可以由未示出的第二CPU執行。第二CPU可以是控制器150的一部分或在控制器150的遠端。
一或多個處理腔室、一或多個傳送腔室、一或多個通過腔室、和/或工廠介面可以具有一或多個專用控制器(例如第2圖的控制器270),其被配置以控制本文揭露的方法的至少一部分。專用控制器可以被配置為類似於控制器150,並且可以與控制器150耦接以同步整合平台100內的基板的處理。
第2圖是根據本文描述和討論的一或多個實施例的對準模組140的示意圖。對準模組140可包括對準腔室210和遮罩儲存器(mask stocker)220。此外,對準模組140可包括第二遮罩儲存器,例如,遮罩儲存器230。
另外,對準腔室210可包括對準台212、傳送機器人214、對準系統216、和閥組件218。閥組件218可被配置以將對準模組140的內部體積與處理平台160的傳送腔室(例如傳送腔室130)隔離。閥組件218可以包括常規的狹縫閥或閘閥,其被配置為透過打開、關閉、或阻塞在相鄰區域或體積之間形成的端口或通道來將一個區域或體積與另一區域或體積隔離。此外,閥組件218可被配置以允許載體250攜帶(或支撐)基板252被傳送進出對準模組140。閥組件218可以是用於將對準模組140與處理平台160分離或隔離的任何閥組件。此外,每當基板被裝載到對準模組140中或從對準模組140中傳送出時,閥組件218可以幫助限制或消除對準模組140和處理平台160之間的串擾和交叉污染。另外,閥組件218打開以允許傳送機器人131、111以及基板和載體移動進出對準模組140。此外,傳送腔室130內的壓力可以比對準模組140或任何其他處理腔室的壓力稍高一些。壓力差可以保護處理腔室不流入流體。
對準台212被配置以在遮罩(例如,遮罩260)位於基板252上方或從基板252移除的同時保持載體250和基板252。對準台212可以在一或多個方向(X方向、Y方向、Z方向、和/或θ方向)上移動以定位基板252並與遮罩260對準。
遮罩260可定位在基板252上方,使得在整個基板252的表面上遮罩260和基板252之間具有均勻的距離。遮罩260可置放在一或多個撓性元件上,該一或多個撓性元件設置在載體250內或載體250上,或在遮罩260的表面內或表面上。撓性元件可在遮罩260的重量下變形並且限制遮罩260的橫向運動。
遮罩260可具有形成晶粒圖案的複數個開口262。每個開口262可具有任何形狀、尺寸、或維度。例如,每個開口262可具有矩形幾何形狀,其長度和寬度獨立地在約3 μm至約50 mm的範圍內,例如約1 mm至約40 mm。在其他範例中,每個開口262可具有環形或圓形的幾何形狀,其直徑在約3μm至約50 mm的範圍內,例如約1 mm至約40 mm。替代地,遮罩260可具有一或多個開口262,其具有大於50 mm的特徵尺寸(例如,寬度、長度、和/或直徑)。
遮罩260可以在基板的表面上具有大約3 μm至大約200 μm的厚度。替代地,在其他實施例中,遮罩260可具有小於3 μm或大於200 μm的厚度。遮罩的框架(例如,在基板直徑之外的區域)可以具有大約3 mm和大約10 mm的厚度,及大約3 mm和大約10 mm的橫截面。替代地,在其他實施例中,遮罩的框架可具有小於3 mm或大於10 mm的厚度和橫截面。遮罩260可包含不變的材料或由不變的材料形成,例如,不變鋼(invar)。例如,遮罩260可包含鎳、鎳合金等或由鎳、鎳合金等形成。在一些實施例中,遮罩的直徑可以大於基板的直徑和/或大於載體的直徑。
第3圖是根據本文描述和討論的一或多個實施例的對準台212的橫截面的示意圖。對準台212包括負載銷310、對準表面312、對準機構314、和基座316。負載銷310被配置以引導載體250並將其對準到對準台212上,並且有助於將載體250從對準台212上移除。例如,承載基板252的載體250可以被裝載到負載銷310上,並且負載銷310可以縮回,使得載體250朝向對準表面312移動。另外,負載銷310可以縮回,直到載體250接觸並被放置在對準表面312上。
對準機構314可包括一或多個致動器,該一或多個致動器配置以沿一或多個方向(例如,X方向、Y方向、Z方向、和/或theta(θ)(繞Z軸旋轉)移動對準表面312。例如,對準機構314可配置以在X方向或Y方向上移動對準表面312至少約2mm,以使載體250和基板252與遮罩260對準。此外,如第3圖所示,對準機構314可使對準表面312繞著沿Z方向延伸的對準台212的中心軸213在θ方向上旋轉至少約2度。對準機構314可在Z方向上移動對準表面以使基板252進入對準系統216的焦點區域(例如,靠近遮罩),並且移動載體250和基板252以從傳送機器人214接收遮罩261。替代地,可以利用負載銷310在Z方向(例如,垂直方向)上移動載體250和基板252,以接收遮罩260。
對準機構314可以根據由對準系統216捕捉的圖像來接收指令,以沿X方向、Y方向、或θ方向中的一或多個移動基板252,以使遮罩260與基板252對準。此外,對準機構314可以沿Z方向(例如,垂直方向)使載體250和基板252遠離基座316並且朝著傳送機器人214移動,以與遮罩260接合並接收遮罩260並且在Z方向上朝著基座並遠離傳送機器人214移動載體250和遮罩260,以使遮罩260與載體250和基板252分離。
進一步參照第2圖,對準系統216經配置以幫助遮罩260與基板252和/或載體250對準。對準系統216可包括一或多個攝影機217,該等攝影機被配置以捕捉遮罩260、載體250、和/或基板252的圖像。對準系統216可具有約100μm至約2,000μm的焦點深度。替代地,對準系統216可具有小於100μm或大於2,000μm的焦點深度。攝影機217可以被配置以獲取遮罩260、載體250、和/或基板252的一或多個圖像。可以分析圖像以判定遮罩260和基板252之間的對準差異。分析圖像可包括將遮罩260的一或多個對準元件(例如,基準標記)的位置與基板252或載體250的相對應對準元件(例如,基準標記)進行比較。例如,遮罩的對準元件可以是孔,且基板的對準元件可以是穿過遮罩的孔可見的任何類型的標記。遮罩260內的對準元件可以是任何形狀和/或尺寸,並且可以定位在遮罩260上的指定位置處。遮罩260內的對準元件可以是或可以包括孔、邊緣、拐角、條、條交叉、和/或類似物。基板252內的對準元件可以是任何形狀和/或尺寸,並且可以定位在基板252上的指定位置處。基板252內的對準元件可以是或可以包括點、圖案、線、邊緣、角、和/或類似物。
遮罩260內的對準元件的位置可以由控制器270和/或對準系統216知道。攝影機217可被配置以透過遮罩260的對準元件(例如,孔)獲取基板252的元件的對準的圖像。可以將圖像提供給控制器270,控制器270判定遮罩260上的對準元件與基板252或載體250的對準元件之間的差。進一步,控制器270判定一或多個方向以及移動基板252和載體250的量以使基板252與遮罩260對準。例如,控制器270可以判定移動基板252的一或多個方向和量以將基板252的對準元件與遮罩260的各個對準元件(例如,孔)的中心對準。要移動基板252的方向和量作為一組指令從控制器270發送至對準台212的對準機構314的致動器中的一或多者。
傳送機器人214被配置以在遮罩儲存器220、230和對準台212之間傳送遮罩。傳送機器人214可由控制器270控制。傳送機器人214可包括葉片215,葉片215被配置以沿著遮罩的邊緣支撐遮罩,例如遮罩260。例如,葉片215可包括兩個或更多個指狀物,該兩個或更多個指狀物經配置以沿著遮罩260的第一和第二平行邊緣抓取。遮罩260的第一和第二平行邊緣可包括基本平坦的部分。
傳送機器人214可以從控制器270接收指令,該等指令指示傳送機器人214進入第一遮罩儲存器,例如遮罩儲存器220,以獲取遮罩,例如遮罩260,並將遮罩260放置在基板252的表面和載體250之上。此外,傳送機器人214可以從控制器270接收指令,以從基板252和載體250上方的位置移除遮罩260,並將遮罩260傳送至遮罩儲存器220、230中之一者。傳送機器人214亦可在遮罩儲存器220、230之間傳送遮罩260。此外,如以下進一步描述和討論的,傳送機器人214可配置以將遮罩260定位在基板252上方在遮罩260和基板252之間的對準位置的一或多個預定距離內。替代地,傳送機器人214可被配置以將遮罩260定位在基板252上方在遮罩260與基板252之間的對準位置,距離相差在0.5mm左右以內。
傳送機器人214可以將遮罩260保持在對準台212上方的靜止位置。傳送機器人214可以將遮罩260保持在對準系統216判定的靜止位置。此外,傳送機器人214可將遮罩260保持在靜止位置,同時,對準台212基於由對準系統216獲取的圖像來移動,以使基板252與遮罩260對準。
遮罩儲存器220包括狹縫閥組件222,其類似於閥組件218,以將遮罩儲存器220的內部體積與對準腔室210的內部體積隔離。此外,如第4圖所示,遮罩儲存器220容納遮罩盒224。垂直致動器226被配置以在垂直方向上移動遮罩盒224,以將所選擇的遮罩與狹縫閥組件222對準,使得其可以被傳送機器人214接近。此外,垂直致動器226可以在垂直方向上移動遮罩盒224,以將遮罩盒224的空槽與狹縫閥組件222對準,使得遮罩可以被傳送機器人214傳送到遮罩盒224。
可以以與遮罩儲存器220的配置類似地來配置遮罩儲存器230。例如,遮罩儲存器230可包括狹縫閥組件232、遮罩盒234、和垂直致動器236,各者的配置分別類似於狹縫閥組件222、遮罩盒224、和垂直致動器226的配置。
遮罩儲存器220和230兩者都可以容納可在沉積製程中使用的複數個遮罩。此外,遮罩儲存器220可以容納第一類型的遮罩,並且遮罩儲存器230可以容納第二類型的遮罩。例如,遮罩儲存器220可容納在有機材料的沉積期間利用的遮罩,並且遮罩儲存器230可容納在金屬層的沉積期間利用的遮罩。另外地或替代地,遮罩儲存器220和230中的至少一個可以容納各種類型的遮罩。例如,遮罩儲存器220和230中的至少一個可以容納對應於有機材料的沉積的第一類型的遮罩和對應於金屬層的沉積的第二類型的遮罩。此外,遮罩儲存器220和/或遮罩儲存器230可容納第三類型的遮罩。遮罩儲存器220和230中的至少一個可以容納第一類型的許多遮罩和第二類型的許多遮罩。第一類型的遮罩的數量可以等於、小於、或大於第二類型的遮罩的數量。此外,可以獨立於遮罩儲存器230來控制遮罩儲存器220。
替代地,遮罩儲存器220可容納可在沉積製程中使用的一或多個遮罩,並且遮罩儲存器230可容納待清潔的一或多個遮罩。例如,傳送機器人214可以將已經被識別為準備清潔的遮罩從遮罩儲存器220移動到遮罩儲存器230,或者從對準台212移動到遮罩儲存器230。
遮罩儲存器220和遮罩儲存器230可在彼此與對準模組140的對準腔室210之間以及對應的遮罩與周圍環境之間保持隔離。將遮罩與周圍環境隔離有助於防止遮罩上的氧化和水分吸收。此外,由於每個遮罩儲存器220、230彼此隔離且與對準腔室210隔離,每個遮罩儲存器220、230可以獨立地操作。例如,可以在對準模組140和處理平台160的操作不間斷的同時對遮罩儲存器220、230中的一或多個進行排氣。遮罩儲存器220、230可被排氣以允許接近相對應的遮罩盒和遮罩。此外,當將遮罩盒(例如遮罩盒224)放置在遮罩儲存器(例如遮罩儲存器220、230)內時,遮罩儲存器透過真空泵(例如真空泵420)被抽至真空。在遮罩儲存器被抽空之後,可以打開相對應的閥組件,例如狹縫閥組件222、232,以將遮罩儲存器連接到對準腔室以進行處理。
第4圖描繪了根據本文描述和討論的一或多個實施例的遮罩儲存器220的剖視圖。遮罩儲存器220包括內部體積410,在內部體積中可以放置遮罩盒224。遮罩盒224耦接到垂直致動器226,並且垂直致動器226被配置以沿著路徑440移動遮罩盒224,以將遮罩260a-260d或狹槽450中的選定一個與狹縫閥組件222對準。如第4圖所示,遮罩盒224被配置以保持5個遮罩,例如遮罩260a-260d。替代地,遮罩盒224可被配置以容納少於5個遮罩或多於5個遮罩。遮罩儲存器220亦可包括蓋430,該蓋可打開以便於進入內部體積410。例如,可以打開蓋430以從遮罩儲存器220移除遮罩盒224和/或一或多個遮罩260。此外,可以打開蓋430,使得可以將遮罩盒224裝載入遮罩儲存器220中。當蓋430打開時,垂直致動器226可將遮罩盒224移到卸載位置,以允許將遮罩盒224從遮罩儲存器220移除。將遮罩盒224放置在卸載位置可包括沿垂直方向朝著蓋430移動遮罩盒224。此外,垂直致動器226可被定位在裝載位置中,以在蓋430打開時接收遮罩盒224,以接收將被裝載到遮罩儲存器220中的遮罩盒224。垂直致動器226將遮罩盒224降低到內部體積410中,並且蓋430可關閉。
遮罩儲存器220亦可包括氣體供應源424,氣體供應源424被配置以經由氣體入口426向內部體積410提供一或多種氣體。氣體供應源424可被配置以將一或多種氣體泵入內部體積410中以增加內部體積的壓力。此外,遮罩儲存器220可包括真空泵420和氣體出口422。真空泵420可被配置以從內部體積410移除氣體以減小內部體積410的壓力。例如,真空泵420可從內部體積410排出一或多種氣體,以將內部體積410置於真空或接近真空。
控制器270可電耦接至垂直致動器226、氣體供應源424、和真空泵420。此外,控制器270可控制垂直致動器226以沿路徑440移動遮罩盒224、氣體供應源424將氣體輸入到內部體積410中,和/或真空泵420從內部體積410移除氣體。例如,控制器270可包括經配置以控制垂直致動器226的調度器。調度器可指示垂直致動器226沿路徑440移動遮罩盒224,以對準要從遮罩儲存器230中傳送出的所選擇的遮罩。可以基於基板252的下一沉積製程來選擇遮罩。例如,控制器270的調度器可具有與整個沉積製程相對應的資訊,並根據沉積製程選擇遮罩。此外,控制器270可被以類似於控制器150的配置來配置,或者形成控制器150的一部分。
第5A圖和第5B圖描繪了分別向基板施加遮罩和從基板上移除遮罩的方法的流程圖。第5A圖描繪了根據本文描述和討論的一或多個實施例的用於將遮罩施加到基板上的方法500的流程圖,該方法包括將遮罩裝載在載體上並將遮罩定位在基板上。在操作510處,承載基板252的載體250傳送到對準模組140。例如,傳送機器人131將載體250和基板252傳送到對準模組140。傳送機器人131可從經由腔室122、124之一者或處理腔室132、134、136和138之一者傳送載體250和基板252。傳送機器人131可使載體250和基板252經由閥組件218進入對準模組140。此外,載體250可定位在對準台212的負載銷310上,並且可透過對準機構314降低到對準表面312上的位置。
在操作520,將遮罩從遮罩儲存器220傳送到對準台212。例如,控制器270可指示傳送機器人214將遮罩260從遮罩儲存器220或遮罩儲存器230傳送到對準台212,並將遮罩260定位在基板252上方。此外,在此操作期間,遮罩盒垂直移動以與在機器人傳送平面上方舉起的遮罩槽對準(操作522)。在一些範例中,控制器270可指示垂直致動器226沿著路徑440垂直地移動遮罩盒224,以使遮罩260中所選擇的一個遮罩與狹縫閥組件222對準。在操作524,傳送機器人從遮罩盒傳送選擇的遮罩。例如,控制器270可指示傳送機器人214進入遮罩儲存器220的遮罩盒224,並將所選擇的遮罩從遮罩盒224傳送到葉片215。在此操作期間,沿著所選擇的遮罩(例如,遮罩260b)的邊緣插入傳送機器人214的葉片215,並且傳送機器人214穿過狹縫閥組件222從遮罩儲存器220中移除所選擇的遮罩。
在操作520的一或多個範例中,將遮罩盒224與遮罩槽垂直對準並在機器人傳送平面上抬起,接著傳送機器人214延伸到遮罩儲存器220中,並且遮罩盒224將遮罩260降低到傳送機器人214上。此後,從遮罩儲存器220中取出傳送機器人214的葉片215,傳送機器人214旋轉到對準模組140,且傳送機器人214延伸以將遮罩260定位在對準模組140上。
在操作530,遮罩260可以與基板252和載體250對準。使遮罩260與基板252對準可以包括將遮罩260以第一預定距離定位在基板252和載體250上方(操作532)。第一預定距離可以是約1 mm至約8 mm、約2 mm至約6 mm、或約3 mm至約5 mm,例如大約4 mm。例如,控制器270可以指示傳送機器人214將遮罩260定位在基板252和載體250上方。隨後,將對準台216移動基板252和遮罩260之間的第二預定距離(操作534)。例如,第二預定距離可以是約0.2 mm至約0.8 mm、約0.3 mm至約0.7 mm、約0.4 mm至約0.6 mm,例如大約0.5 mm。此外,將遮罩260與基板252對準可包括取得遮罩260、載體250、和/或基板252的一或多個圖像(操作536)。例如,控制器270可指示對準系統216取得遮罩260和基板252的一或多個圖像。每個圖像可包括穿過遮罩260的至少一部分的基板252的至少一部分的視圖。圖像可由控制器270處理以判定基板252、載體250、和/或遮罩260之間的對準的差異。例如,控制器270可判定遮罩260上的對準元件和基板252上的相對應的對準元件之間的距離。距離可對應於遮罩260的對準元件(例如,孔)的中心與基板252上各自的對準元件之間的距離。
在操作538,移動對準台212以使遮罩260與基板252和/或載體250對準。例如,控制器270可指示對準台212的對準機構314在一或多個方向(例如,X方向、Y方向、Z方向、和/或θ方向)上移動對準表面312以將遮罩260上的對準元件與基板252上的對準元件對準。控制器270可基於遮罩260上的對準元件和基板252上的相對應對準元件之間的距離來指示對準台在一或多個方向(例如,X方向、Y方向、Z方向、和/或θ方向)上移動。例如,在一或多個方向(例如,X方向、Y方向、Z方向、和/或θ方向)上移動對準台212將遮罩260上的對準元件與基板252上的相對應的對準元件對準。移動基板252以將遮罩260的對準元件與基板252的對準元件對準可包括移動基板252以將基板252的每個對準元件定位在遮罩260的對準元件(例如,孔)中的相對應一個對準元件的中心內。
此外,在已經移動對準台之後,對準系統216可獲取遮罩260和基板252的一或多個附加圖像,以判定是否可需要額外的調整以將遮罩260與基板252對準。例如,控制器270可指示對準系統216獲取一或多個附加圖像並且處理那些圖像以判定在一或多個方向(例如,X方向、Y方向、Z方向、和/或θ方向)上要移動對準台212的程度。
在操作540,遮罩被載體接收。例如,在遮罩260已與基板252對準之後,遮罩260被載體250接收。控制器270可指示對準台212垂直移動,直到載體250接觸遮罩260。由於載體250和/或基板252的直徑小於遮罩260的直徑,並且傳送機器人214的葉片215位於載體250和/或基板的周邊之外。此外,隨著載體250和基板252朝著遮罩260垂直移動,載體接觸遮罩260,而基板252不受傳送機器人214的葉片215的干擾。在一或多個實施例中,在操作542,將具有包含遮罩260的基板252的載體250提升到傳送機器人214上方。在操作544,縮回傳送機器人214,留下具有包含遮罩260的基板252的載體250。
在操作550,從對準模組140傳送支撐基板和遮罩的載體。例如,傳送機器人131可經由閥組件218接近對準模組140,以拾取支撐基板252的載體250和遮罩260。控制器270可指示閥組件218打開,並且控制器150可指示傳送機器人131接近對準模組140,並將載體250、基板252、和遮罩260從對準模組140中移出。負載銷310將保持基板252和遮罩260的載體250提起遠離對準台212的對準表面312,而將載體250置於卸載位置,以便於傳送機器人131接近。一旦已經透過負載銷310將載體250定位在卸載位置,傳送機器人131拾取載體250,並將載體250、基板252、和遮罩260傳送出對準腔室。
第6A圖和第6B圖描繪了根據本文描述和討論的一或多個實施例的與佈置在載體250上的基板252對準並位於其上的遮罩260的示意圖。遮罩260包含一或多個穿過遮罩260的遮罩對準孔264或其他對準元件(在第6A圖中示出了三個對準孔264)。如第6B圖所示,基板252包含一或多個基板對準點258或設置在基板252的上表面上的其他對準元件。遮罩260內的遮罩對準孔264或其他對準元件可以是任何形狀和/或尺寸,並且可以定位在遮罩260上的指定位置處。遮罩對準孔264可以是或包括孔(如第6A圖所示)和/或邊緣、角、條、條交叉、和/或類似物。佈置在基板252的上表面上的基板對準點258或其他對準元件可以是任何形狀和/或尺寸,並且可以定位在基板252上的指定位置處。基板對準點258可以是或包括點(如第6A圖所示)和/或標記、圖案、線、邊緣、角、和/或類似物等。
通常,對準孔264的量對應於對準點258的量。在一或多個範例中,遮罩260包含兩個、三個、四個、或更多個遮罩對準孔264,且基板252具有兩個、三個、四個、或更多個基板對準點258。一旦遮罩260和基板252彼此適當對準,每個遮罩對準孔264與相應的基板對準點258對準。遮罩對準孔264和基板對準點258可以獨立地具有任何種類的對稱或不對稱的幾何或形狀,諸如圓形、橢圓形、矩形、或正方形、或其他形狀。
遮罩對準孔264或其他對準元件的直徑通常大於基板對準點258或其他對準元件的直徑。在其他範例中,遮罩對準孔264或其他對準元件的直徑可以等於或小於基板對準點258或其他對準元件的直徑。在一或多個實施例中,基板對準點258、遮罩對準孔264、和/或其他對準元件中的每一個可以獨立地具有約50 μm、約80 μm、約100 μm、約150 μm、約200 μm、約250 µm、或約300 µm至約350 µm、約375 µm、約400 µm、約500 µm、約650 µm、約800 µm、約1,000 µm、約1,200 µm、約1,500 µm、約1,800 µm、或約2,000 µm的直徑。例如,基板對準點258、遮罩對準孔264、和/或其他對準部件中的每一個可以獨立地具有約50 μm至約2,000 μm、約100 μm至約2,000 μm、約100 μm至約約1,500 μm、約100 μm至約1,000 μm、約100 μm至約800 μm、約100 μm至約500 μm、約100 μm至約300 μm、約100 μm至約250 μm、約100 μm至約200 μm、約250 μm至約2,000 μm、約250 μm至約1,500 μm、約250 μm至約1,000 μm、約250 μm至約800 μm、約250 μm至約500 μm、約250 μm至約300 μm、約375 μm至約2,000 μm、約375 μm至約1,500 μm、約375 μm至約1,000 μm、約375 μm至約800 μm、或約375 μm至約500 μm的直徑。
在一些實施例中,遮罩對準孔264被徑向地定位在遮罩260中的圖案(例如,包含複數個開口262的晶粒圖案)與基板252的基板排除區254之間。基板排除區254是徑向位於設置在基板252的圖案化部分與基板邊緣256之間的基板252的上表面上的邊界區域。
在一或多個實施例中,用於將遮罩260與基板252對準的方法包括在對準模組140的對準台212處接收具有設置在載體250的表面上的基板252的載體250。方法亦包括經由傳送機器人214或其他傳送裝置將遮罩260從對準模組140的遮罩儲存器220或230的遮罩盒224傳送到對準台212上方的位置。透過將對準台212垂直移向傳送機器人214以將遮罩260定位在載體250上,遮罩260可定位或以其他方式設置在載體250上。方法亦可包括獲取遮罩260和基板252的一或多個圖像、分析該一或多個圖像以判定遮罩260的一個、兩個、三個、或更多個對準元件(例如,遮罩對準孔264)和基板252上的一個、兩個、三個、或更多個對準元件(例如,基板對準點258)之間的一或多個差異、及基於該一或多個差異將遮罩260與基板252對準。例如,遮罩260可包括穿過遮罩260的一個、兩個、三個、或更多個遮罩對準孔264,且基板252可包括設置在基板252的上表面上的一個、兩個、三個、或更多個基板對準點258。可以放置一個、兩個、三個、或更多個攝影機以獲取遮罩260和/或基板252的對準元件的圖像。如此,透過移動對準台212以調節基板252相對於遮罩260的位置,可以將遮罩260與基板252對準。遮罩260上的遮罩對準孔264或其他對準元件可以與基板252上的基板對準點258或其他對準元件對準。
在一些範例中,可以透過移動對準台212以調整基板252相對於遮罩260的位置來對準具有基板252的遮罩260。在其他範例中,透過將對準台212垂直移向傳送機器人214以將遮罩260定位在載體250上,可將遮罩260置於載體250上。對準方法的其他元件可包括:在對準台212處接收容納或以其他方式承載基板252和遮罩260的載體250、以傳送機器人214抓取遮罩260、以及使對準台212垂直地移離傳送機器人214,以將遮罩260與載體250分離。
第5B圖描繪了根據本文描述和討論的一或多個實施例的用於從載體移除遮罩的方法600的流程圖。在操作610,將承載基板252和遮罩260的載體250傳送到對準模組140中。此外,控制器270可指示對準台212將負載銷310移離對準表面312,使得它們被定位到裝載位置。控制器150可指示傳送機器人131穿過閥組件218將載體250、基板252、和遮罩260傳送到負載銷310上。在將載體250放置在負載銷310上之後,控制器270可指示對準台212將負載銷310朝向對準表面312移動,直到載體250被對準表面312支撐。在操作620,從載體250移除遮罩260。例如,控制器270可指示傳送機器人214將葉片215沿著遮罩260的邊緣定位(操作622),使得遮罩至少部分地由傳送機器人214支撐。在操作624,降低對準台,使載體與遮罩分離。例如,控制器270可指示對準台212垂直移離傳送機器人214,並且當對準台212降低時,遮罩260由傳送機器人214保持和支撐。
在操作620的一些範例中,遮罩260、基板252、和載體250被提升到機器人傳送平面上方,接著傳送機器人214在對準站上延伸。此後,使升降銷下降,將遮罩260留在傳送機器人214上,且基板252和載體250留在對準台216上,並且傳送機器人214從對準台216縮回並移入遮罩儲存器220的槽中。接著升高遮罩儲存器220的升降器以將遮罩260放置在遮罩盒224中,且接著將傳送機器人214從遮罩盒224縮回。
在操作630,將遮罩傳送到遮罩儲存器中。例如,控制器270指示傳送機器人214將遮罩260傳送到遮罩儲存器220和230中之一個。在操作632,遮罩盒中的開口槽與閥組件垂直對準。例如,控制器270指示垂直致動器226使遮罩盒224沿路徑440移動,以使遮罩盒224的開口槽450與狹縫閥組件222對準。在操作634,傳送機器人214將遮罩260傳送到開口槽450中。例如,控制器270可指示狹縫閥組件222打開,並且指示傳送機器人214穿過狹縫閥組件222將遮罩260傳送到遮罩盒224的開口槽中。
第7圖描繪了根據本文描述和討論的一或多個實施例的對準模組700。對準模組700以類似於對準模組140的配置來配置;然而,對準模組700包括清潔腔室710,其中對準模組140包括遮罩儲存器230。清潔腔室710可經配置以清潔一或多個遮罩以從遮罩移除材料積聚。清潔腔室710包括閥組件722,閥組件722經配置以將清潔腔室710的內部體積與對準腔室210隔離。
在用以在基板上沉積一或多層有機或金屬膜的各種沉積製程期間,遮罩經歷有機或金屬材料的積聚。隨著時間有機或金屬材料的積聚開始阻礙遮罩在沉積製程期間被使用的能力,並且如果不清潔遮罩以移除沉積的材料,遮罩可能變得無法使用。然而,從遮罩儲存器220移除遮罩以進行清潔是費時的,並且在處理遮罩並將其移動到另一待清潔的腔室時可能造成損壞。因此,透過清潔對準模組700內的遮罩,增加了遮罩的使用壽命,並且減少了在清潔期間遮罩損壞的可能性。此外,清潔對準模組700內的遮罩減少了對準模組700的停機時間,因為對準模組700不需要取離線以移除遮罩供清潔。
第8A圖是根據本公開的一個實施的清潔腔室710的橫截面的示意圖。清潔腔室710包括腔室主體800,腔室主體800具有用於在其上支撐遮罩260的支撐底座808。此外,清潔腔室710包括蓋組件810,蓋組件810經配置以將一或多種清潔氣體引入到腔室主體800的製程空間805中。例如,蓋組件810可耦接到將清潔氣體提供到蓋組件810的氣體供應源811。氣體供應源811可包括遠端電漿源(RPS)812,遠端電漿源(RPS)812經配置以產生電漿並使所產生的電漿流入腔室主體800或任何其他類型的氣體供應源。
蓋組件810包括噴頭806,其將一或多種氣體引入處理空間805。氣體可以是或包括一或多種清潔氣體,例如一或多種含氧氣體和/或一或多種含鹵素氣體。示例性清潔氣體可以是或包括以下一或多種:氧氣(O2 )、臭氧、水、溴(Br2 )、氯化氫(HCl)、氯(Cl2 )、三氟化氮(NF3 )、氟(F2 )、氟化氫( HF)、或其中之任意組合。清潔氣體被引入到處理空間805中,並在遮罩260上或在遮罩260上方反應,以從遮罩260中移除材料。例如,可在處理空間805中產生電漿,以促進從遮罩260移除材料。腔室主體800可包括一或多個電源817,其在整個基板處理腔室804和/或其部件中傳播射頻(RF)能量、直流(DC)電壓、和/或交流(AC)。例如,一或多個電源817可利用RF信號驅動偏壓電極814,以在處理空間805內產生電漿。附加地或替代地,一或多個電源817可利用RF信號驅動噴頭824以在處理空間805內產生電漿。
此外,腔室主體800可包括一或多個加熱器和/或一或多個冷卻通道,例如在支撐底座808中,該一或多個加熱器和/或一或多個冷卻通道控制基板處理腔室804的各個部件和各方面的溫度。
此外,腔室主體800包括流體連接到真空泵818的排氣口816。真空泵818被配置以經由排氣口816從處理空間805排氣。此外,腔室主體800包括閥組件722,穿過閥組件722,遮罩260被插入到腔室主體800中並從腔室主體800移除。
附加地或替代地,遮罩儲存器可配置用於遮罩儲存和遮罩清潔兩者。例如,遮罩儲存器220和230中的一或多個可以類似於清潔腔室710的配置來配置。例如,遮罩儲存器220和230中的一或多個可以被配置成作為用於遮罩的儲存位置且亦作為清潔腔室以清潔遮罩兩者。第8B圖描繪了被配置用於遮罩儲存和遮罩清潔兩者的遮罩儲存器220。遮罩儲存器220包括蓋組件820,蓋組件820耦接到將清潔氣體提供給蓋組件820的氣體供應源811。氣體供應源811可包括RPS 812,RPS 812經配置以產生電漿並使所產生的電漿流入處理空間822或任何其他類型的氣體供應源。
蓋組件820以類似於第8A圖的蓋組件810的配置來配置。例如,蓋組件820包括噴頭824,噴頭824將氣體引入處理空間822。此外,可在處理空間822中建立電漿以促進從一或多個遮罩260移除材料。為了產生電漿,可利用一或多個電源817在整個基板處理腔室826和/或其中之部件中傳播RF能量、DC電壓、和/或AC。例如,一或多個電源817可利用RF信號驅動偏壓電極814,以在處理空間805內產生電漿。偏壓電極814可位於遮罩盒224內的任何位置。此外,遮罩盒224可以包括多於一個的偏壓電極814。每個偏壓電極814可由一或多個電源817同時驅動。替代地,可以在第一時段期間驅動第一個一或多個偏壓電極814,並且可以在與第一時段不重疊的第二時段期間驅動第二組一或多個偏壓電極814。額外地或替代地,一或多個電源817可利用RF信號驅動噴頭824以在處理空間805內產生電漿。此外,真空泵420可經由氣體出口422從處理空間822排出氣體。此外,在將遮罩儲存器220配置為用於遮罩儲存和遮罩清潔兩者的實施例中,可以省略氣體供應源424和氣體入口426。
第9圖描繪了根據本文描述和討論的一或多個實施例的用於清潔遮罩的方法900的範例流程圖。在操作910,識別要清潔的遮罩。例如,控制器270可基於一或多個參數判定準備好要被清潔的遮罩,例如遮罩260。一或多個參數可包括使用遮罩的沉積類型、使用遮罩實行的沉積製程的閾值量、和/或一或多個沉積步驟之間的時間段。沉積製程的閾值量可包括一或多個沉積製程。控制器270可回應於遮罩經歷(例如,超過)閾值量的沉積循環而識別出遮罩應清潔。控制器270可追蹤每個遮罩經歷的沉積循環的數量,並且回應於對應的遮罩的沉積循環來觸發清潔循環。此外,在沉積不同材料期間使用的遮罩可經歷沉積材料積聚的不同量和/或速率。例如,在有機材料的沉積期間使用的遮罩可以比在金屬材料的沉積期間使用的遮罩經歷更快地積聚並且需要更頻繁的清潔。因此,可以基於不同於第一個一或多個參數的第二組一或多個參數來判定應清潔第二遮罩。例如,第二組一或多個參數可對應於與第一組一或多個參數的沉積製程不同的沉積製程,以及不同於第一閾值量的第二閾值量的沉積製程。第二閾值量可大於或小於第一閾值量。此外,第一沉積製程可以對應於有機層的沉積,且第二沉積製程可以對應於金屬層的沉積。
在操作920,將識別為要清潔的遮罩傳送到清潔腔室。例如,控制器270可指示傳送機器人214在完成沉積循環之後從對準台212傳送遮罩或從遮罩儲存器230傳送遮罩。傳送機器人214可經由閥組件722傳送遮罩並將遮罩放置在支撐底座808上。
在操作930,清潔循環完成。在傳送機器人214將遮罩260定位在支撐底座808上並且從處理空間805移除之後,控制器270指示閥組件722將處理空間805與對準腔室210隔離,並且可完成清潔循環。例如,控制器270可指示氣體供應源811使一或多種清潔氣體經由蓋組件810和噴頭806流入處理空間805。此外,控制器270可指示電源817利用RF信號驅動偏壓電極814以點燃清潔氣體以產生包含清潔氣體的電漿。在清潔循環完成時,控制器270指示電源817停止利用RF信號驅動偏壓電極814,並且任何剩餘的清潔氣體經由真空泵818從處理空間805中移除。
在操作940,從清潔腔室移除經清潔的遮罩。例如,控制器270可指示閥組件722打開並指示傳送機器人214從清潔腔室710傳送經清潔的遮罩260。可以將經清潔的遮罩傳送到遮罩儲存器220中或傳送到對準台212以裝載到載體上並在沉積期間使用。
本公開的實施例還涉及以下段落1-16中的任意一或多個:
1.一種用於將遮罩與基板對準的方法,包括:在對準模組的對準台,接收載體,載體具有設置在載體的表面上的基板;經由傳送機器人將遮罩從對準模組的遮罩儲存器的遮罩盒傳送至對準台上方的位置;並將遮罩放在載體上。
2.一種用於將遮罩與基板對準的方法,包括:在對準模組的對準台,接收載體,載體具有設置在載體的表面上的基板;將遮罩從對準模組的遮罩儲存器的遮罩盒傳送到對準台上方的位置;將遮罩放在載體上;獲取遮罩和基板的一或多個圖像,其中遮罩包括穿過遮罩的一或多個對準孔,且基板包括設置在基板的上表面上的一或多個對準點;分析一或多個圖像以判定遮罩的一或多個對準孔與基板上的一或多個對準點之間的一或多個差異;並基於一或多個差異將遮罩與基板對準。
3.一種用於將遮罩與基板對準的方法,包括:在對準模組的對準台,接收載體,載體具有設置在載體的表面上的基板;經由一傳送機器人,將遮罩從對準模組的遮罩儲存器的遮罩盒傳送到對準台上方的位置;透過將對準台垂直地朝向傳送機器人移動以將遮罩放在載體上,來將該遮罩放在該載體上;獲取遮罩和基板的一或多個圖像;分析一或多個圖像以判定遮罩的一或多個對準元件與基板上的一或多個對準元件之間的一或多個差異;並透過移動對準台以調整基板相對於遮罩的一位置,來基於一或多個差異將遮罩與基板對準。
4.如段落1-3中的任一段落所述之方法,進一步包括:獲取遮罩和基板的一或多個圖像;分析一或多個圖像以判定遮罩的一或多個對準部件與基板上的一或多個對準部件之間的一或多個差異;並基於一或多個差異將遮罩與基板對準。
5.如段落1-4中的任一段落所述之方法,其中將遮罩與基板對準進一步包括移動對準台以調整基板相對於該遮罩的位置。
6.如段落1-5中的任一段落所述之方法,其中遮罩包括穿過遮罩的對準孔,並且基板包括設置在基板的上表面上的對準點,且其中將遮罩與基板對準進一步包括:將對準孔與對準點對準。
7.如段落1-6中的任一段落所述之方法,其中將遮罩與基板對準進一步包括:將兩個或更多個對準孔與兩個或更多個對準點對準,每個對準孔與對應的對準點對準。
8.如段落1-7中的任一段落所述之方法,其中對準孔具有約100 μm至約1,000 μm、約250 μm至約750 μm、或約375 μm至至約500 μm至的一直徑。
9.如段落1-8中的任一段落所述之方法,其中對準孔的直徑大於對準點的直徑。
10.如段落1-9中的任一段落所述之方法,其中對準孔在徑向上位於遮罩中的圖案與基板的基板排除區之間。
11.如段落1-10中的任一段落所述之方法,其中將遮罩放在載體上包括:將對準台垂直地朝向傳送機器人移動,以將遮罩放在載體上。
12.如段落1-11中的任一段落所述之方法,進一步包括:在對準台接收承載基板和遮罩的載體;以傳送機器人抓取遮罩;並垂直移動對準台遠離傳送機器人,以使遮罩與載體分離。
13.如段落1-12中的任一段落所述之方法,其中遮罩的每個對準元件是穿過遮罩的對準孔,其中基板的每個對準元件是設置在基板上的上表面上的對準點,且其中將遮罩與基板對準進一步包括:分別將每個對準孔與每個對準點對準。
14.一種用於指揮、實行、或執行根據段落1-13中的任一段落的方法的設備、系統、模組、和/或整合平台。
15.一種用於將遮罩與基板對準的對準模組,包括:遮罩儲存器,其經配置以容納遮罩盒,遮罩盒經配置以儲存複數個遮罩;對準台,其經配置以支撐載體和基板;傳送機器人,其經配置以將複數個遮罩中的遮罩從遮罩儲存器傳送到對準台,並將遮罩放在基板上方;和控制器,其經配置以控制系統以指揮、實行、或執行根據段落1-13中的任一段落的方法。
16.如段落15中所述之方法,其中控制器經配置以控制系統以:在對準模組的對準台,接收載體,載體具有設置在載體的表面上的基板;經由傳送機器人,將遮罩從對準模組的遮罩儲存器的遮罩盒傳送到對準台上方的位置;透過將對準台垂直地朝向傳送機器人移動以將遮罩放在載體上,來將該遮罩放在該載體上;獲取遮罩和基板的一或多個圖像;分析一或多個圖像以判定遮罩的一或多個對準部件與基板上的一或多個對準部件之間的一或多個差異;並透過移動對準台以調整基板相對於遮罩的一位置,來基於一或多個差異將遮罩與基板對準。
雖然前述內容針對本公開的實施例,但是可以在不脫離本公開的基本範疇的情況下設想其他和進一步的實施例,並且本公開的範疇由以下申請專利範圍界定。本文所述的所有文件均以引用的方式併入本文,包括任何優先權文件和/或測試程序,只要它們與本文不矛盾。由前面的一般描述和具體實施例中顯而易見的是,儘管已經圖示和描述了本公開的形式,但在不脫離本公開的精神和範疇的情況下可以進行各種修改。因此,並不意圖由此限制本公開。同樣,出於法律的目的,用語「包含」被認為與用語「包括」同義。同樣地,無論何時,在組成、元素、或元素組之前有前置詞「包含」時,應理解我們也設想具有前置詞「基本上由...組成」、「由...組成」、「選自由...組成的組」、或「是」在相同的組成、元素、或多個元素的敘述之前,反之亦然。
已經使用一組數字上限和一組數字下限描述了某些實施例和特徵。應當理解,除非另有說明,否則亦設想涵蓋包括任何兩個值的組合的範圍,例如,任何較低值與任何較高值的組合,任何兩個較低值的組合和/或任何兩個較高值的組合。某些下限、上限、和範圍出現在下文的一或多個申請專利範圍中。
100:整合平台 102:裝載閘腔室 104:裝載閘腔室 110:傳送腔室 111:傳送機器人 112:處理腔室 114:處理腔室 116:處理腔室 118:處理腔室 122:通過腔室 124:通過腔室 130:傳送腔室 131:傳送機器人 132:處理腔室 134:處理腔室 136:處理腔室 138:處理腔室 140:對準模組 150:控制器 152:CPU 154:記憶體 156:支援電路 160:處理平台 162:工廠介面 182:箭頭 183:對接站 185:機器人 187A:FOUPS 187B:FOUPS 187C:FOUPS 187D:FOUPS 190:基板載體腔室 210:對準腔室 212:對準台 213:中心軸 214:傳送機器人 215:葉片 216:對準系統 217:攝影機 218:閥組件 220:遮罩儲存器 222:狹縫閥組件 224:遮罩盒 226:垂直致動器 230:遮罩儲存器 232:狹縫閥組件 234:遮罩盒 236:垂直致動器 250:載體 252:基板 254:排除區 256:基板邊緣 258:基板對準點 260:遮罩 260a:遮罩 260b:遮罩 260c:遮罩 260d:遮罩 262:開口 264:對準孔 270:控制器 310:負載銷 312:對準表面 314:對準機構 316:基座 410:內部體積 420:真空泵 422:氣體出口 424:氣體供應源 426:氣體入口 430:蓋 440:路徑 450:開口槽 500:方法 520:操作 522:操作 524:操作 530:操作 532:操作 534:操作 536:操作 538:操作 540:操作 542:操作 544:操作 550:操作 600:方法 620:操作 622:操作 630:操作 632:操作 634:操作 700:對準模組 710:清潔腔室 722:閥組件 800:腔室主體 805:處理空間 806:噴頭 808:支撐底座 810:蓋組件 811:氣體供應源 812:遠端電漿源 814:電極 816:排氣口 817:電源 818:真空泵 820:蓋組件 822:處理空間 824:噴頭 826:基板處理腔室 900:方法 910:操作 920:操作 930:操作 940:操作
因此,可以詳細了解本公開的上述特徵的方法,本公開的更具體的描述,簡要概述於上,可參照實施例,其中一些實施例描繪在隨附圖式中 。然而,應當注意,隨附圖式僅示出本公開的典型實施例,且因此不應將其視為限制其範圍,因為本公開可承認其他等效的實施例。
第1圖描繪了根據本文描述和討論的一或多個實施例的整合平台的示意圖。
第2圖描繪了根據本文描述和討論的一或多個實施例的對準模組的示意圖。
第3圖描繪了根據本文描述和討論的一或多個實施例的對準台的示意圖。
第4圖描繪了根據本文描述和討論的一或多個實施例的遮罩儲存器的橫截面的示意圖。
第5A圖描繪了根據本文描述和討論的一或多個實施例的用於將遮罩定位在基板上方的方法的流程圖。
第5B圖描繪了根據本文描述和討論的一或多個實施例的用於從基板移除遮罩的方法的流程圖。
第6A圖和第6B圖描繪了根據本文描述和討論的一或多個實施例的與基板對準並定位在基板上的遮罩的示意圖。
第7圖描繪了根據本文描述和討論的一或多個實施例的對準模組的示意圖。
第8A圖和第8B圖描繪了根據本文描述和討論的一或多個實施例的清潔腔室的示意圖。
第9圖描繪了根據本文描述和討論的一或多個實施例的用於清潔遮罩的方法的流程圖。
為了便於理解,在可能的情況下,已使用相同的元件符號來表示圖中共同的相同元件。可以設想的是,一或多個實施例的元件和特徵可以有益地併入其他實施例中而無需與其相關之特定敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
250:載體
252:基板
254:排除區
256:基板邊緣
258:基板對準點
260:遮罩
262:開口
264:對準孔

Claims (20)

  1. 一種用於將一遮罩與一基板對準的方法,包括以下步驟: 在一對準模組的一對準台,接收一載體,該載體具有設置在該載體的一表面上的該基板; 經由一傳送機器人將該遮罩從該對準模組的一遮罩儲存器的一遮罩盒傳送至該對準台上方的一位置;並 將該遮罩放在該載體上。
  2. 如請求項1所述之方法,進一步包括以下步驟: 獲取該遮罩和該基板的一或多個圖像; 分析該一或多個圖像以判定該遮罩的一或多個對準部件與該基板上的一或多個對準部件之間的一或多個差異;和 基於該一或多個差異將該遮罩與該基板對準。
  3. 如請求項2所述之方法,其中將該遮罩與該基板對準進一步包括移動該對準台以調整該基板相對於該遮罩的一位置。
  4. 如請求項2所述之方法,其中該遮罩包括穿過該遮罩的一對準孔,並且該基板包括設置在該基板的一上表面上的一對準點,且其中將該遮罩與該基板對準進一步包括:將該對準孔與該對準點對準。
  5. 如請求項4所述之方法,其中將該遮罩與該基板對準進一步包括:將兩個或更多個對準孔與兩個或更多個對準點對準,每個對準孔與一對應的對準點對準。
  6. 如請求項4所述之方法,其中該對準孔具有約100 μm至約1,000 μm的一直徑。
  7. 如請求項4所述之方法,其中該對準孔的一直徑大於該對準點的一直徑。
  8. 如請求項4所述之方法,其中該對準孔在徑向上位於該遮罩中的一圖案與該基板的一基板排除區之間。
  9. 如請求項1所述之方法,其中將該遮罩放在該載體上包括:將該對準台垂直地朝向該傳送機器人移動,以將該遮罩放在該載體上。
  10. 如請求項1所述之方法,進一步包括以下步驟: 在該對準台接收承載該基板和該遮罩的該載體; 以該傳送機器人抓取該遮罩;並 垂直移動該對準台遠離該傳送機器人,以使該遮罩與該載體分離。
  11. 一種用於將一遮罩與一基板對準的方法,包括以下步驟: 在一對準模組的一對準台,接收一載體,該載體具有設置在該載體的一表面上的該基板; 將該遮罩從該對準模組的一遮罩儲存器的一遮罩盒傳送到該對準台上方的一位置; 將該遮罩放在該載體上; 獲取該遮罩和該基板的一或多個圖像,其中該遮罩包括穿過該遮罩的一或多個對準孔,且該基板包括設置在該基板的一上表面上的一或多個對準點; 分析該一或多個圖像以判定該遮罩的一或多個對準孔與該基板上的一或多個對準點之間的一或多個差異;並 基於該一或多個差異將該遮罩與該基板對準。
  12. 如請求項11所述之方法,其中將該遮罩與該基板對準進一步包括移動該對準台以調整該基板相對於該遮罩的一位置。
  13. 如請求項11所述之方法,其中該對準孔具有約100 μm至約1,000 μm的一直徑。
  14. 如請求項11所述之方法,其中該對準孔的一直徑大於該對準點的一直徑。
  15. 如請求項11所述之方法,其中該對準孔在徑向上位於該遮罩中的一圖案與該基板的一基板排除區之間。
  16. 如請求項11所述之方法,其中將該遮罩放在該載體上進一步包括:將該對準台垂直地朝向一傳送機器人移動,以將該遮罩放在該載體上。
  17. 如請求項11所述之方法,進一步包括以下步驟: 在該對準台接收承載該基板和該遮罩的該載體; 以一傳送機器人抓取該遮罩;並 垂直移動該對準台遠離該傳送機器人,以使該遮罩與該載體分離。
  18. 一種用於將一遮罩與一基板對準的一對準模組,包括: 一遮罩儲存器,其經配置以容納一遮罩盒,該遮罩盒配置以儲存複數個遮罩; 一對準台,其經配置以支撐一載體和一基板; 一傳送機器人,其經配置以將該複數個遮罩中的一遮罩從該遮罩儲存器傳送到該對準台,並將該遮罩放在該基板上方;和 一控制器,其經配置以控制該系統以: 在該對準模組的該對準台,接收該載體,該載體具有設置在該載體的一表面上的該基板; 經由該傳送機器人將該遮罩從該對準模組的一遮罩儲存器的一遮罩盒傳送至該對準台上方的一位置; 透過將該對準台垂直地朝向該傳送機器人移動以將該遮罩放在該載體上,來將該遮罩放在該載體上; 獲取該遮罩和該基板的一或多個圖像; 分析該一或多個圖像以判定該遮罩的一或多個對準元件與該基板上的一或多個對準元件之間的一或多個差異;和 透過移動該對準台以調整該基板相對於該遮罩的一位置,來基於該一或多個差異將該遮罩與該基板對準。
  19. 如請求項18所述之對準模組,其中該遮罩的每個對準元件是穿過該遮罩的一對準孔,其中該基板的每個對準元件是設置在該基板的一上表面上的一對準點,且其中透過分別將每個對準孔與每個對準點對準來將該遮罩與該基板對準。
  20. 如請求項18所述之對準模組,其中該遮罩包括三個或更多個對準孔,並且該基板包括三個或更多個對準點。
TW109115189A 2019-05-24 2020-05-07 用於對準遮罩和基板的方法 TWI841732B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201962852748P 2019-05-24 2019-05-24
US62/852,748 2019-05-24
US201962878469P 2019-07-25 2019-07-25
US62/878,469 2019-07-25
US16/807,860 US11189516B2 (en) 2019-05-24 2020-03-03 Method for mask and substrate alignment
US16/807,860 2020-03-03

Publications (2)

Publication Number Publication Date
TW202046024A true TW202046024A (zh) 2020-12-16
TWI841732B TWI841732B (zh) 2024-05-11

Family

ID=

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114908329A (zh) * 2021-02-08 2022-08-16 台湾积体电路制造股份有限公司 校正方法及半导体制造设备

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114908329A (zh) * 2021-02-08 2022-08-16 台湾积体电路制造股份有限公司 校正方法及半导体制造设备
CN114908329B (zh) * 2021-02-08 2024-03-08 台湾积体电路制造股份有限公司 校正方法及半导体制造设备

Also Published As

Publication number Publication date
US20220051921A1 (en) 2022-02-17
US20200371448A1 (en) 2020-11-26
US11189516B2 (en) 2021-11-30
WO2020242712A1 (en) 2020-12-03

Similar Documents

Publication Publication Date Title
US10304659B2 (en) Ale smoothness: in and outside semiconductor industry
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10714354B2 (en) Self limiting lateral atomic layer etch
CN107464747B (zh) 使用ale和选择性沉积蚀刻衬底
CN111489984B (zh) 前开式环形盒
KR102537053B1 (ko) 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
US11538706B2 (en) System and method for aligning a mask with a substrate
KR102662003B1 (ko) 영상 기반 웨이퍼 노치 위치 측정
JP2016512925A (ja) 電子デバイス製造における基板の処理に適合される処理システム、装置、及び方法
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
US20230264238A1 (en) Condition selectable backside gas
US10535513B2 (en) Apparatus and methods for backside passivation
US20220051921A1 (en) Method for mask and substrate alignment
US11637004B2 (en) Alignment module with a cleaning chamber
TWI841732B (zh) 用於對準遮罩和基板的方法
KR20240007263A (ko) 후면 입자들을 감소시키기 위한 챔버 프로세스들
US20220238349A1 (en) Polymerization protective liner for reactive ion etch in patterning
WO2024135476A1 (ja) 基板処理方法、基板処理装置、及び基板処理システム
WO2024064550A1 (en) In-situ carbon liner for high aspect ratio features
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
KR20240108547A (ko) 금속-함유 포토레지스트의 재작업