KR20240007263A - 후면 입자들을 감소시키기 위한 챔버 프로세스들 - Google Patents

후면 입자들을 감소시키기 위한 챔버 프로세스들 Download PDF

Info

Publication number
KR20240007263A
KR20240007263A KR1020237042970A KR20237042970A KR20240007263A KR 20240007263 A KR20240007263 A KR 20240007263A KR 1020237042970 A KR1020237042970 A KR 1020237042970A KR 20237042970 A KR20237042970 A KR 20237042970A KR 20240007263 A KR20240007263 A KR 20240007263A
Authority
KR
South Korea
Prior art keywords
containing material
silicon
substrate
processing
processing chamber
Prior art date
Application number
KR1020237042970A
Other languages
English (en)
Inventor
이 저우
신월 천
무쿨 코슬라
양충 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240007263A publication Critical patent/KR20240007263A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

반도체 프로세싱 방법은 제1 탄소-함유 재료를 제거하기 위해 프로세싱 챔버 내에서 제1 플라즈마 처리를 수행하는 단계를 포함할 수 있다. 이 방법은 제1 실리콘-함유 재료를 제거하기 위해 프로세싱 챔버 내에서 제2 플라즈마 처리를 수행하는 단계를 포함할 수 있다. 이 방법은 프로세싱 챔버의 표면들 상에 제2 실리콘-함유 재료를 증착하는 단계를 포함할 수 있다. 이 방법은 제2 실리콘-함유 재료 위에 제2 탄소-함유 재료를 증착하는 단계를 포함할 수 있다.

Description

후면 입자들을 감소시키기 위한 챔버 프로세스들
[0001] 본 출원은 2021년 5월 17일자로 출원되고 발명의 명칭이 "후면 입자들을 감소시키기 위한 챔버 프로세스들(CHAMBER PROCESSES FOR REDUCING BACKSIDE PARTICLES)"인 미국 정규 출원 제17/322,242호의 이익 및 우선권을 주장하며, 이 미국 정규 출원의 내용은 모든 목적들을 위해 그 전체가 본원에 인용에 의해 포함된다.
[0002] 본 기술은 반도체 시스템(semiconductor system)들, 프로세스들 및 장비에 관한 것이다. 보다 구체적으로, 본 기술은 지지 조립체들 상의 기판들을 보호하기 위한 프로세스들 및 시스템들에 관한 것이다.
[0003] 많은 기판 프로세싱 시스템(substrate processing system)은 반도체 기판 프로세싱 동안에 웨이퍼(wafer)를 유지하기 위해 베이스(base)와 조합된 정전 척(electrostatic chuck)과 같은 기판 지지체를 사용한다. 매립된 전극은 웨이퍼 또는 기판을 기판 지지체에 정전기적으로 척킹할 수 있다. 전극에 전압이 인가되어 클램핑력(clamping force)을 제공할 수 있다. 그러나, 이러한 클램핑력은 기판의 후면 손상을 유발할 수 있으며, 또한 후속 프로세싱에서 문제들을 유발할 수 있는 후면 입자들을 생성할 수 있다.
[0004] 따라서, 프로세싱 챔버(processing chamber)들 및 구성요소들의 수명 및 성능을 개선하는 데 사용될 수 있는 개선된 시스템들 및 방법들에 대한 요구가 존재한다. 이들 및 다른 요구들이 본 기술에 의해 해결된다.
[0005] 반도체 프로세싱 방법들은 제1 탄소-함유 재료를 제거하기 위해 프로세싱 챔버 내에서 제1 플라즈마 처리를 수행하는 단계를 포함할 수 있다. 이 방법들은 제1 실리콘-함유 재료를 제거하기 위해 프로세싱 챔버 내에서 제2 플라즈마 처리를 수행하는 단계를 포함할 수 있다. 이 방법들은 프로세싱 챔버의 표면들 상에 제2 실리콘-함유 재료를 증착하는 단계를 포함할 수 있다. 이 방법들은 제2 실리콘-함유 재료 위에 제2 탄소-함유 재료를 증착하는 단계를 포함할 수 있다.
[0006] 일부 실시예들에서, 이 방법들은 제2 탄소-함유 재료를 증착한 후에, 프로세싱 챔버 내에 기판을 포지셔닝하는 단계를 포함할 수 있다. 이 방법들은 프로세싱 챔버 내에서 기판 상에 에칭 프로세스를 수행하는 단계를 포함할 수 있다. 이 방법들은 에칭 프로세스를 수행한 후에 프로세싱 챔버로부터 기판을 제거하는 단계를 포함할 수 있다. 이 방법들은 반도체 프로세싱 방법을 반복하는 단계를 포함할 수 있다. 제1 플라즈마 처리는 산소-함유 전구체의 플라즈마를 형성할 수 있다. 제2 플라즈마 처리는 불소-함유 전구체의 플라즈마를 형성할 수 있다. 제2 탄소-함유 재료는 할로겐을 포함할 수 있고, 제2 실리콘-함유 재료는 실리콘 산화물이거나 이를 포함할 수 있다. 이 방법들은 제1 플라즈마 처리를 수행하는 단계와 제2 플라즈마 처리를 수행하는 단계 사이 이전에 프로세싱 압력을 증가시키는 단계를 포함할 수 있다. 제2 플라즈마 처리는 프로세싱 챔버를 제1 프로세싱 압력으로 유지하면서 하나 이상의 불소-함유 전구체들의 플라즈마를 형성하는 것을 포함할 수 있다. 이 방법들은 제1 프로세싱 압력을 제2 프로세싱 압력으로 감소시키는 단계를 포함할 수 있다. 이 방법들은 프로세싱 챔버를 제2 프로세싱 압력으로 유지하면서 하나 이상의 불소-함유 전구체들의 플라즈마를 형성하는 단계를 포함할 수 있다. 이 방법들은 프로세싱 챔버의 표면들 상에 제2 실리콘-함유 재료를 증착한 후에, 프로세싱 챔버에서 플라즈마를 유지하면서 실리콘-함유 전구체의 유동을 중단시키는 단계를 포함할 수 있다.
[0007] 본 기술의 일부 실시예들은 반도체 프로세싱 방법들을 포함할 수 있다. 이 방법들은 프로세싱 챔버의 노출된 표면들 상에 실리콘-함유 재료를 증착하는 단계를 포함할 수 있다. 이 방법들은 프로세싱 챔버 내에서 실리콘-함유 재료 위에 탄소-함유 재료를 증착하는 단계를 포함할 수 있다. 이 방법들은 프로세싱 챔버 내에 수용된 기판 상에 에칭 프로세스를 수행하는 단계를 포함할 수 있다. 이 방법들은 탄소-함유 재료를 제거하기 위해 프로세싱 챔버 내에서 제1 플라즈마 처리를 수행하는 단계를 포함할 수 있다. 이 방법들은 실리콘-함유 재료를 제거하기 위해 프로세싱 챔버 내에서 제2 플라즈마 처리를 수행하는 단계를 포함할 수 있다.
[0008] 일부 실시예들에서, 기판은 프로세싱 챔버 내의 정전 척 상의 탄소-함유 재료 상에 안착될 수 있다. 실리콘-함유 재료는 실리콘 산화물이거나 이를 포함할 수 있다. 탄소-함유 재료는 불화탄소이거나 이를 포함할 수 있다. 제1 플라즈마 처리는 탄소-함유 재료를 산소-함유 전구체의 플라즈마 유출물들과 접촉시키는 것을 포함할 수 있다. 제2 플라즈마 처리는 실리콘-함유 재료를 불소-함유 전구체의 플라즈마 유출물들과 접촉시키는 것을 포함할 수 있다. 이 방법들은 제1 플라즈마 처리를 수행하는 단계와 제2 플라즈마 처리를 수행하는 단계 사이 이전에 프로세싱 압력을 증가시키는 단계를 포함할 수 있다. 제2 플라즈마 처리는 프로세싱 챔버를 제1 프로세싱 압력으로 유지하면서 하나 이상의 불소-함유 전구체들의 플라즈마를 형성하는 것을 포함할 수 있다. 이 방법들은 제1 프로세싱 압력을 제2 프로세싱 압력으로 감소시키는 단계를 포함할 수 있다. 이 방법들은 프로세싱 챔버를 제2 프로세싱 압력으로 유지하면서 하나 이상의 불소-함유 전구체들의 플라즈마를 형성하는 단계를 포함할 수 있다. 실리콘-함유 재료 및 탄소-함유 재료 각각은 약 100 ㎚ 이하의 두께를 특징으로 할 수 있다.
[0009] 본 기술의 일부 실시예들은 반도체 프로세싱 방법들을 포함할 수 있다. 이 방법들은 프로세싱 챔버의 노출된 표면들 상에 실리콘-함유 재료를 증착하는 단계를 포함할 수 있다. 실리콘-함유 재료는 약 3 ㎚ 이상의 평균 표면 거칠기를 특징으로 할 수 있다. 이 방법들은 프로세싱 챔버 내에 수용된 기판 상에 에칭 프로세스를 수행하는 단계를 포함할 수 있다. 이 방법들은 실리콘-함유 재료를 제거하기 위해 프로세싱 챔버 내에서 플라즈마 처리를 수행하는 단계를 포함할 수 있다. 일부 실시예들에서, 실리콘-함유 재료는 약 90° 이상의 물 접촉각을 특징으로 할 수 있다.
[0010] 그러한 기술은 기존의 시스템들 및 기법들에 비해 많은 이점들을 제공할 수 있다. 예를 들어, 본 프로세스들은 기판 지지체 전체에 걸친 위치들에서 국부적인 척킹력을 감소시키면서도 여전히 충분한 전반적인 척킹력을 제공할 수 있다. 추가적으로, 본 프로세스들은 후면 입자들을 감소시키거나 제한할 수 있으며, 이는 하류 프로세싱을 용이하게 할 수 있다. 이들 및 다른 실시예들은, 많은 이점들 및 특징들과 함께, 하기의 설명 및 첨부 도면들과 관련하여 보다 상세하게 설명된다.
[0011] 개시된 기술의 특성 및 이점들에 대한 추가 이해가 본 도면들 및 본 명세서의 나머지 부분들을 참조하여 실현될 수 있다.
[0012] 도 1은 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 시스템의 개략적인 평면도를 도시한다.
[0013] 도 2는 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 시스템의 개략적인 단면도를 도시한다.
[0014] 도 3은 본 기술의 일부 실시예들에 따른 반도체 프로세싱 방법의 선택된 동작들을 도시한다.
[0015] 도면들 중 몇몇은 개략도로서 포함되어 있다. 도면들은 예시의 목적들을 위한 것이며, 실척인 것으로 구체적으로 명시되지 않는 한 실척인 것으로 간주되어서는 안 된다는 것이 이해되어야 한다. 추가적으로, 개략도로서, 도면들은 이해를 돕도록 제공된 것이며, 실제 표현들과 비교하여 모든 양상들 또는 정보를 포함하지 않을 수 있고, 예시의 목적들을 위해 과장된 자료를 포함할 수 있다.
[0016] 첨부된 도면들에서, 유사한 구성요소들 및/또는 특징부들은 동일한 참조 부호를 가질 수 있다. 또한, 동일한 유형의 다양한 구성요소들은 참조 라벨 이후에 유사한 컴포넌트들 사이를 구별하는 문자에 의해 구별될 수 있다. 본 명세서에서 제1 참조 라벨만이 사용되는 경우, 설명은 문자와 상관없이 동일한 제1 참조 라벨을 갖는 유사한 구성요소들 중 임의의 구성요소에 적용 가능하다.
[0017] 플라즈마 에칭 프로세스(plasma etching process)들은 기판으로부터의 재료 제거를 용이하게 하기 위해 하나 이상의 구성 전구체(constituent precursor)들에 에너지를 공급할 수 있다. 적절하게 클램핑되지 않으면, 프로세스 조건들로 인해 기판이 이탈되거나 시프트(shift)될 수 있다. 추가적으로, 기판 상에 형성된 막들은 기판에 응력들을 유발할 수 있다. 예를 들어, 복잡한 구조물들을 생성하기 위해 프로세싱은 보다 많은 막들을 포함할 수 있으므로, 보다 두꺼운 재료 층들이 기판 상에 생성될 수 있다. 이렇게 생성된 막들은 기판에 작용하는 내부 응력들을 특징으로 할 수 있다. 이것은 프로세싱 동안에 기판에 보잉(bowing)이 발생하게 할 수 있으며, 이를 제어하지 않으면 제거의 균일성이 불량해질 뿐만 아니라, 디바이스 손상 또는 오작동이 초래될 수 있다.
[0018] 에칭 프로세스들 동안에 기판을 유지하고 보잉 응력(bowing stress)을 극복하기 위해 기판에 대한 클램핑 작용을 생성하는 데 정전 척이 사용될 수 있다. 그러나, 이러한 디바이스 구조물들의 두께 및 복잡성이 증가함에 따라, 기판에 작용되는 응력들이 증가하고, 이는 척킹 전압의 비례적인 증가를 필요로 할 수 있다. 추가적으로, 많은 에칭 프로세스들은 상대적으로 높은 온도들에서 수행될 수 있으며, 이는 챔버의 구성요소들에 추가로 영향을 미친다. 예를 들어, 일부 에칭 활동들은 수백도 이상의 온도들에서 일어날 수 있으며, 이는 기판이 반경방향 외측으로 열적으로 팽창하게 할 수 있다. 팽창은, 증가된 척킹 전압과 조합하여, 기판 지지체와 접촉하는 반도체 기판의 후면에 스크래치(scratch)들이 형성되게 할 뿐만 아니라, 아래의 척 본체로부터 입자들이 이탈되게 할 수도 있다.
[0019] 하나의 비제한적인 예로서, 많은 프로세스들은 반도체 프로세싱 동안에 챔버 구성요소들을 보호하는 역할을 할 수 있는 챔버 내의 코팅을 이용할 수 있다. 그러나, 이러한 코팅은 웨이퍼와 상호작용할 수 있다. 예를 들어, 산화물 챔버 코팅이 정전 척 전체에 걸쳐 연장될 수 있다. 기판은 척 및 코팅 위에 포지셔닝될 수 있다. 산화물 층은 보다 높은 친수성을 특징으로 할 수 있기 때문에, 재료와 기판 사이에 상당량의 고착이 일어날 수 있으며, 기판이 재료에 대해 클램핑되어 강제로 구속될 수 있다. 클램핑에 이어서, 기판이 챔버 온도에 순응함에 따라, 열 팽창으로 인해 상당량의 산화물 층 코팅이 코팅의 나머지 부분으로부터 분리될 수 있으며, 이는 디척킹(dechucking) 후에 미립자 재료로서 기판의 후면에 부착될 수 있다.
[0020] 이러한 스크래치들 및 입자들은 다수의 과제들을 유발할 수 있다. 예를 들어, 기판이 프로세싱으로부터 제거되고 전면 개방 통합 포드(front opening unified pod)에서 다른 프로세싱된 기판들로 교체되는 경우, 접촉으로 인해 발생된 입자들이 아래의 기판들로 떨어질 수 있으며, 이는 아래의 기판들에 대해 생성된 막들에서 결함들로서 작용할 수 있다. 추가적으로, 일부 후속 프로세싱은 손상으로 인해 영향을 받을 수 있다. 예를 들어, 후속 동작들은 리소그래피(lithography)를 포함할 수 있다. 후면 손상은 기판을 통해 투사된 빔의 변위를 유발할 수 있어, 리소그래피 프로세스에 영향을 미칠 수 있거나, 입자들은 민감한 리소그래피 베이스에 영향을 미칠 수 있다. 이러한 문제들로 인해, 기존 기술들에는 한계가 있으며, 후속 프로세싱에 대한 영향으로 인한 웨이퍼들의 손실뿐만 아니라, 리소그래피 구성요소들의 손상이 유발된다. 본 기술은 코팅과 기판 사이의 고착을 제한할 수 있는 소수성 코팅(hydrophobic coating)을 기판 지지체 위에 형성함으로써 이러한 과제들을 극복한다. 이것은, 특히 증가된 온도들에서, 기판 지지체로부터 제거되는 재료의 측면에서 기판 입자 형성을 제한할 수 있다.
[0021] 나머지 개시내용은 개시된 기술을 이용하는 특정 에칭 및 세정 프로세스들을 관례적으로 식별할 것이지만, 시스템들 및 방법들은 설명된 챔버들에서 일어날 수 있는 다양한 다른 프로세스들에 동일하게 적용 가능하다는 것이 쉽게 이해될 것이다. 따라서, 본 기술은 설명된 에칭 또는 세정 프로세스들과만 함께 사용하는 것에 제한되는 것으로 간주되어서는 안 된다. 본 개시내용은 본 기술의 일부 실시예들에 따른 예시적인 프로세스 시퀀스(process sequence)들의 시스템들 및 방법들 또는 동작들을 설명하기 전에 본 기술과 함께 사용될 수 있는 하나의 가능한 시스템 및 챔버를 논의할 것이다. 본 기술은 설명된 장비에 제한되지 않으며 논의된 프로세스들은 임의의 수의 프로세싱 챔버들 및 시스템들에서 수행될 수 있다는 것이 이해되어야 한다.
[0022] 도 1은 실시예들에 따른 증착, 에칭, 베이킹(baking) 및/또는 경화 챔버들의 프로세싱 시스템(10)의 일 실시예의 평면도를 도시한다. 도 1에 묘사된 툴(tool) 또는 프로세싱 시스템(10)은 복수의 프로세스 챔버(process chamber)들(24a 내지 24d), 이송 챔버(transfer chamber)(20), 서비스 챔버(service chamber)(26), 통합 계측 챔버(integrated metrology chamber)(28) 및 한 쌍의 로드록 챔버(load lock chamber)들(16a, 16b)을 포함할 수 있다. 프로세스 챔버들은 임의의 수의 구조물들 또는 구성요소뿐만 아니라, 임의의 수의 프로세싱 챔버들 또는 프로세싱 챔버들의 조합을 포함할 수 있다.
[0023] 챔버들 사이에서 기판을 반송하기 위해, 이송 챔버(20)는 로봇 반송 메커니즘(robotic transport mechanism)(22)을 포함할 수 있다. 반송 메커니즘(22)은 신축 가능한 아암(extendible arm)들(22b)의 원위 단부들에 각각 부착된 한 쌍의 기판 반송 블레이드(substrate transport blade)들(22a)을 가질 수 있다. 블레이드들(22a)은 개별 기판들을 프로세스 챔버들로 그리고 프로세스 챔버들로부터 운반하는 데 사용될 수 있다. 작동 시에, 반송 메커니즘(22)의 블레이드(22a)와 같은 기판 반송 블레이드들 중 하나는 챔버들(16a, 16b)과 같은 로드록 챔버들 중 하나로부터 기판(W)을 회수하고, 기판(W)을 제1 프로세싱 단계, 예를 들어 챔버들(24a 내지 24d)에서의 후술하는 바와 같은 처리 프로세스로 운반할 수 있다. 챔버들은 설명되는 기술의 개별 또는 조합 동작들을 수행하기 위해 포함될 수 있다. 예를 들어, 하나 이상의 챔버들은 증착 또는 에칭 동작을 수행하도록 구성될 수 있는 반면, 하나 이상의 다른 챔버들은 설명되는 전처리 동작 및/또는 하나 이상의 후처리 동작들을 수행하도록 구성될 수 있다. 반도체 프로세싱에서 전형적으로 수행되는 임의의 수의 추가 제조 동작들을 또한 수행할 수 있는 임의의 수의 구성들이 본 기술에 의해 포함된다.
[0024] 챔버가 점유된 경우, 로봇은 프로세싱이 완료될 때까지 대기한 후에 하나의 블레이드(22a)에 의해 챔버로부터 프로세싱된 기판을 제거할 수 있고, 제2 블레이드에 의해 새로운 기판을 삽입할 수 있다. 기판이 프로세싱되면, 기판은 제2 프로세싱 단계로 이동될 수 있다. 각각의 이동에 대해, 반송 메커니즘(22)은 일반적으로 기판을 운반하는 하나의 블레이드 및 기판 교환을 실행하기 위해 비어 있는 하나의 블레이드를 가질 수 있다. 반송 메커니즘(22)은 교환이 완료될 때까지 각각의 챔버에서 대기할 수 있다.
[0025] 프로세싱이 프로세스 챔버들 내에서 완료되면, 반송 메커니즘(22)은 최종 프로세스 챔버로부터 기판(W)을 이동시키고 로드록 챔버들(16a, 16b) 내의 카세트(cassette)로 기판(W)을 이송할 수 있다. 로드록 챔버들(16a, 16b)로부터 기판은 팩토리 인터페이스(factory interface)(12) 내로 이동할 수 있다. 팩토리 인터페이스(12)는 일반적으로 대기압 청정 환경의 포드 로더(pod loader)들(14a 내지 14d)과 로드록 챔버들(16a, 16b) 사이에서 기판들을 이송하도록 작동할 수 있다. 팩토리 인터페이스(12) 내의 청정 환경은 일반적으로 예를 들어 HEPA 여과와 같은 공기 여과 프로세스를 통해 제공될 수 있다. 팩토리 인터페이스(12)는 또한 프로세싱 이전에 기판들을 적절하게 정렬하는 데 사용될 수 있는 기판 배향기/정렬기(substrate orienter/aligner)를 포함할 수 있다. 로봇들(18a, 18b)과 같은 적어도 하나의 기판 로봇은 팩토리 인터페이스(12) 내의 다양한 포지션들/위치들 사이에서 그리고 그와 연통하는 다른 위치들로 기판을 반송하기 위해 팩토리 인터페이스(12)에 포지셔닝될 수 있다. 로봇들(18a, 18b)은 팩토리 인터페이스(12) 내의 트랙 시스템(track system)을 따라 팩토리 인터페이스(12)의 제1 단부로부터 제2 단부까지 이동하도록 구성될 수 있다.
[0026] 프로세싱 시스템(10)은 프로세싱 챔버들에서 수행되는 프로세스들 중 임의의 프로세스에 대한 적응형 제어를 제공할 수 있는 제어 신호들을 제공하기 위한 통합 계측 챔버(28)를 더 포함할 수 있다. 통합 계측 챔버(28)는 두께, 거칠기, 조성과 같은 다양한 막 특성들을 측정하기 위해 다양한 계측 디바이스들 중 임의의 계측 디바이스를 포함할 수 있으며, 계측 디바이스들은 추가로 임계 치수들, 측벽 각도 및 진공 하에서의 피쳐 높이(feature height)와 같은 격자 파라미터들을 자동화된 방식으로 특성화 가능할 수 있다.
[0027] 프로세싱 챔버들(24a 내지 24d) 각각은 반도체 구조물의 제조에 있어서의 하나 이상의 프로세스 단계들을 수행하도록 구성될 수 있으며, 임의의 수의 프로세싱 챔버들 및 프로세싱 챔버들의 조합이 다중-챔버 프로세싱 시스템(10)에서 사용될 수 있다. 예를 들어, 프로세싱 챔버들 중 임의의 프로세싱 챔버는 주기적 층 증착, 원자층 증착, 화학 기상 증착, 물리 기상 증착을 포함하는 임의의 수의 증착 프로세스들을 포함하는 다수의 기판 프로세싱 동작들뿐만 아니라, 에칭, 사전-세정, 전처리, 후처리, 어닐링(anneal), 플라즈마 프로세싱, 탈가스, 배향 및 다른 기판 프로세스들을 포함하는 다른 동작들을 수행하도록 구성될 수 있다. 챔버들 중 임의의 챔버 또는 챔버들의 임의의 조합에서 수행될 수 있는 일부 특정 프로세스들은 금속 증착, 표면 세정 및 준비, 급속 열 프로세싱과 같은 열 어닐링, 및 플라즈마 프로세싱일 수 있다. 당업자에 의해 쉽게 이해될 수 있는 바와 같이, 후술하는 임의의 프로세스를 포함하여 임의의 다른 프로세스들이 다중-챔버 프로세싱 시스템(10)에 통합된 특정 챔버들에서 유사하게 수행될 수 있다.
[0028] 도 2는 프로세싱 챔버(100)에서 기판(302) 상에 배치된 재료 층을 패터닝하기에 적합한 예시적인 프로세싱 챔버(100)의 개략적인 단면도를 예시한다. 예시적인 프로세싱 챔버(100)는 패터닝 프로세스(patterning process)를 수행하기에 적합하지만, 본 기술의 양상들은 임의의 수의 챔버들에서 수행될 수 있고, 본 기술에 따른 기판 지지체들이 에칭 챔버들, 증착 챔버들, 처리 챔버들, 또는 임의의 다른 프로세싱 챔버에 포함될 수 있다는 것이 이해되어야 한다. 플라즈마 프로세싱 챔버(100)는 기판이 프로세싱될 수 있는 챔버 용적부(chamber volume)(101)를 한정하는 챔버 본체(105)를 포함할 수 있다. 챔버 본체(105)는 접지(126)와 커플링되는 측벽들(112) 및 바닥(118)을 가질 수 있다. 측벽들(112)은 측벽들(112)을 보호하고 플라즈마 프로세싱 챔버(100)의 유지보수 주기들 사이의 시간을 연장시키기 위해 라이너(liner)(115)를 가질 수 있다. 플라즈마 프로세싱 챔버(100)의 챔버 본체(105) 및 관련 구성요소들의 치수들은 제한되지 않으며, 일반적으로 내부에서 프로세싱될 기판(302)의 크기보다 비례적으로 더 클 수 있다. 기판 크기들의 예들은, 그 중에서도, 200 ㎜의 직경, 250 ㎜의 직경, 300 ㎜의 직경 및 450 ㎜의 직경을 포함하며, 예컨대 디스플레이 또는 태양전지 기판들도 포함한다.
[0029] 챔버 본체(105)는 챔버 용적부(101)를 밀폐하도록 챔버 덮개 조립체(110)를 지지할 수 있다. 챔버 본체(105)는 알루미늄 또는 다른 적합한 재료들로 제조될 수 있다. 기판 접근 포트(substrate access port)(113)가 챔버 본체(105)의 측벽(112)을 통해 형성되어, 플라즈마 프로세싱 챔버(100) 내외로 기판(302)을 이송하는 것을 용이하게 한다. 접근 포트(113)는 이전에 설명된 바와 같이 기판 프로세싱 시스템의 이송 챔버 및/또는 다른 챔버들과 커플링될 수 있다. 펌핑 포트(pumping port)(145)가 챔버 본체(105)의 측벽(112)을 통해 형성되고 챔버 용적부(101)에 연결될 수 있다. 프로세싱 용적부 내의 압력을 배기 및 제어하기 위해 펌핑 디바이스(pumping device)가 펌핑 포트(145)를 통해 챔버 용적부(101)에 커플링될 수 있다. 펌핑 디바이스는 하나 이상의 펌프들 및 스로틀 밸브(throttle valve)들을 포함할 수 있다.
[0030] 프로세스 가스들을 챔버 용적부(101) 내로 공급하기 위해 가스 패널(gas panel)(160)이 가스 라인(gas line)(167)에 의해 챔버 본체(105)와 커플링될 수 있다. 가스 패널(160)은 하나 이상의 프로세스 가스 소스(process gas source)들(161, 162, 163, 164)을 포함할 수 있고, 추가적으로 불활성 가스들, 비반응성 가스들 및 반응성 가스들을 포함할 수 있으며, 이는 임의의 수의 프로세스들에 이용될 수 있다. 가스 패널(160)에 의해 제공될 수 있는 프로세스 가스들의 예들은 메탄, 육불화황, 염화규소, 사불화탄소, 브롬화수소, 탄화수소 함유 가스, 아르곤 가스, 염소, 질소, 헬륨 또는 산소 가스를 포함하는 탄화수소 함유 가스뿐만 아니라, 임의의 수의 추가 재료들을 포함하지만 이에 제한되지 않는다. 추가적으로, 프로세스 가스들은, 임의의 수의 추가 전구체들 중에서, 질소, 염소, 불소, 산소, 및 수소 함유 가스들, 예컨대 BCl3, C2F4, C4F8, C4F6, CHF3, CH2F2, CH3F, NF3, NH3, CO2, SO2, CO, N2, NO2, N2O 및 H2를 포함할 수 있다.
[0031] 밸브들(166)은 가스 패널(160)로부터 소스들(161, 162, 163, 164)로부터의 프로세스 가스들의 유동을 제어할 수 있으며, 제어기(165)에 의해 관리될 수 있다. 가스 패널(160)로부터 챔버 본체(105)에 공급되는 가스들의 유동은 하나 이상의 소스들로부터의 가스들의 조합을 포함할 수 있다. 덮개 조립체(110)는 노즐(nozzle)(114)을 포함할 수 있다. 노즐(114)은 프로세스 가스들을 가스 패널(160)의 소스들(161, 162, 164, 163)로부터 챔버 용적부(101) 내로 도입하기 위한 하나 이상의 포트들일 수 있다. 프로세스 가스들이 플라즈마 프로세싱 챔버(100) 내로 도입된 후에, 가스들에 에너지가 공급되어 플라즈마를 형성할 수 있다. 하나 이상의 인덕터 코일(inductor coil)들과 같은 안테나(antenna)(148)가 플라즈마 프로세싱 챔버(100)에 인접하게 제공될 수 있다. 플라즈마 프로세싱 챔버(100)의 챔버 용적부(101)에서 프로세스 가스로부터 형성된 플라즈마를 유지하도록 RF 에너지와 같은 에너지를 프로세스 가스에 유도적으로 커플링하기 위해 안테나 전력 공급부(142)가 매칭 회로(match circuit)(141)를 통해 안테나(148)에 전력을 공급할 수 있다. 대안적으로, 또는 안테나 전력 공급부(142)에 부가하여, 기판(302) 아래 및/또는 기판(302) 위의 프로세스 전극들이 챔버 용적부(101) 내에서 플라즈마를 유지하도록 RF 전력을 프로세스 가스들에 용량적으로 커플링하는 데 사용될 수 있다. 전력 공급부(142)의 작동은 제어기(165)와 같은 제어기에 의해 제어될 수 있으며, 이 제어기는 플라즈마 프로세싱 챔버(100) 내의 다른 구성요소들의 작동을 또한 제어한다.
[0032] 기판 지지 페디스털(substrate support pedestal)(135)은 프로세싱 동안에 기판(302)을 지지하도록 챔버 용적부(101)에 배치될 수 있다. 기판 지지 페디스털(135)은 프로세싱 동안에 기판(302)을 유지하기 위한 정전 척(122)을 포함할 수 있다. 정전 척("ESC")(122)은 정전기 인력을 사용하여 기판 지지 페디스털(135)에 기판(302)을 유지할 수 있다. ESC(122)는 매칭 회로(124)와 통합된 RF 전력 공급부(125)에 의해 전력을 공급받을 수 있다. ESC(122)는 유전체 본체 내에 매립된 전극(121)을 포함할 수 있다. 전극(121)은 RF 전력 공급부(125)와 커플링될 수 있고, 챔버 용적부(101) 내의 프로세스 가스들에 의해 형성된 플라즈마 이온들을 페디스털 상에 안착된 기판(302) 및 ESC(122)로 끌어당기는 바이어스(bias)를 제공할 수 있다. RF 전력 공급부(125)는 기판(302)의 프로세싱 동안에 온 및 오프를 반복하거나 펄싱(pulsing)할 수 있다. ESC(122)는 ESC(122)의 유지보수 수명 주기를 연장하기 위해 ESC(122) 측벽이 플라즈마를 덜 끌어당기게 하는 목적으로 절연체(isolator)(128)를 가질 수 있다. 추가적으로, 기판 지지 페디스털(135)은 플라즈마 가스들로부터 기판 지지 페디스털(135)의 측벽들을 보호하고 플라즈마 프로세싱 챔버(100)의 유지보수 사이의 시간을 연장시키기 위해 캐소드 라이너(cathode liner)(136)를 가질 수 있다.
[0033] 전극(121)은 전원(150)과 커플링될 수 있다. 전원(150)은 약 200 볼트 내지 약 2000 볼트의 척킹 전압을 전극(121)에 제공할 수 있다. 전원(150)은 또한 기판(302)을 척킹 및 디척킹하기 위해 전극(121)에 DC 전류를 보냄으로써 전극(121)의 작동을 제어하기 위한 시스템 제어기를 포함할 수 있다. ESC(122)는 페디스털 내에 배치되고 기판을 가열하기 위한 전원에 연결된 히터(heater)들을 포함할 수 있는 반면, ESC(122)를 지지하는 냉각 베이스(cooling base)(129)는 ESC(122) 및 그 위에 배치된 기판(302)의 온도를 유지하기 위해 열 전달 유체를 순환시키기 위한 도관들을 포함할 수 있다. ESC(122)는 기판(302) 상에 제조되는 디바이스의 서멀 버짓(thermal budget)에 의해 요구되는 온도 범위에서 수행하도록 구성될 수 있다. 예를 들어, ESC(122)는 수행되는 프로세스에 따라 기판(302)을 약 -150 ℃ 이하 내지 약 500 ℃ 이상의 온도로 유지하도록 구성될 수 있다.
[0034] 냉각 베이스(129)는 기판(302)의 온도 제어를 돕기 위해 제공될 수 있다. 프로세스 드리프트(drift) 및 시간을 경감하기 위해, 기판(302)의 온도는 기판(302)이 세정 챔버 내에 있는 시간 전체에 걸쳐 냉각 베이스(129)에 의해 실질적으로 일정하게 유지될 수 있다. 일부 실시예들에서, 기판(302)의 온도는 후속 세정 프로세스들 전체에 걸쳐 약 -150 ℃ 내지 약 500 ℃의 온도들로 유지될 수 있지만, 임의의 온도들이 이용될 수 있다. ESC(122) 상에 그리고 기판 지지 페디스털(135)의 주변부를 따라 커버 링(cover ring)(130)이 배치될 수 있다. 커버 링(130)은 플라즈마 프로세싱 챔버(100) 내부의 플라즈마 환경으로부터 기판 지지 페디스털(135)의 상부면을 차폐하면서, 기판(302)의 노출된 상부면의 원하는 부분에 에칭 가스들을 가두도록 구성될 수 있다. 리프트 핀(lift pin)들이 기판 지지 페디스털(135)을 통해 선택적으로 병진이동되어, 기판(302)을 기판 지지 페디스털(135) 위로 상승시켜서 이전에 설명된 바와 같은 이송 로봇 또는 다른 적합한 반송 메커니즘에 의해 기판(302)에 대한 접근을 용이하게 할 수 있다.
[0035] 제어기(165)는 프로세스 시퀀스를 제어하여, 가스 패널(160)로부터 플라즈마 프로세싱 챔버(100) 내로의 가스 유동들 및 다른 프로세스 파라미터들을 을 조절하는 데 이용될 수 있다. 소프트웨어 루틴(software routine)들은, CPU에 의해 실행될 때, 프로세스들이 본 개시내용에 따라 수행되도록 플라즈마 프로세싱 챔버(100)를 제어할 수 있는 제어기와 같은 특정 목적 컴퓨터로 CPU를 변환한다. 소프트웨어 루틴들은 또한 플라즈마 프로세싱 챔버(100)와 연관될 수 있는 제2 제어기에 의해 저장 및/또는 실행될 수 있다.
[0036] 상기에서 설명된 프로세싱 챔버들은 본 기술의 실시예들에 따른 방법들 동안에 사용될 수 있다. 도 3은 반도체 기판을 프로세싱하는 방법(300)을 예시하며, 방법(300)의 동작들은 예를 들어 이전에 설명된 바와 같이 다중-챔버 프로세싱 시스템(10)에 통합된 하나 이상의 챔버들(100)에서 수행될 수 있다. 설명되는 임의의 방법 또는 프로세스의 하나 이상의 동작들을 수행할 수 있는 임의의 다른 챔버가 또한 이용될 수 있다. 방법(300)은, 프론트엔드 프로세싱(front end processing), 증착, 에칭, 폴리싱(polishing), 세정, 또는 설명된 동작들 이전에 수행될 수 있는 임의의 다른 동작들을 포함하여, 기술된 방법 동작들의 개시 이전에 하나 이상의 동작들을 포함할 수 있다. 이 방법은, 본 기술에 따른 방법과 구체적으로 연관될 수 있거나 연관되지 않을 수 있는, 도면에 나타낸 바와 같은 다수의 선택적인 동작들을 포함할 수 있다. 예를 들어, 반도체 프로세스의 보다 넓은 범위를 제공하기 위해 많은 동작들이 설명되지만, 이 많은 동작들은 본 기술에 중요하지 않거나, 또는 하기에서 추가로 논의되는 바와 같은 대안적인 방법에 의해 수행될 수 있다.
[0037] 방법(300)은, 예컨대 상이한 프로세싱 동작들에서 시작하는 것을 포함하여, 다수의 변형들로 수행될 수 있는 다수의 동작들을 포함할 수 있다. 방법(300)은 일반적으로 에칭 프로세스들이 수행될 수 있는 챔버들에서 일어날 수 있는 코팅 및 세정 동작들의 세트를 포함할 수 있다. 종종, 코팅은 반도체 프로세싱을 수행하기 위해 프로세싱 챔버 내에 기판을 포지셔닝하기 전에 수행될 수 있으며, 세정 동작들의 세트는 프로세싱 이후에 수행될 수 있고, 기판이 제거되면 일어날 수 있다. 따라서, 방법(300)이 특정 순서로 설명될 것이지만, 이 방법은 본 기술의 실시예들에 따라 다수의 상이한 변형들로 수행될 수 있다는 것이 이해되어야 한다.
[0038] 동작(305)에서, 이 방법은 기판 프로세싱 챔버의 표면들 상에 재료 층을 형성하는 단계를 포함할 수 있다. 예를 들어, 실리콘 산화물 코팅과 같은 산화물 코팅이 챔버의 표면들 위에 형성될 수 있다. 임의의 수의 실리콘-함유 전구체들이 프로세싱에 사용될 수 있고, 일부 실시예들에서는 실리콘-함유 전구체가 프로세싱 챔버로 전달될 수 있다. 본 기술의 일부 실시예들에 따른 프로세싱 동안에 사용될 수 있는 실리콘-함유 전구체들은 실란(silane), 디실란(disilane), 사불화규소, 사염화규소, 디클로로실란(dichlorosilane), 테트라에틸 오르토실리케이트(tetraethyl orthosilicate)뿐만 아니라, 실리콘-함유 막 형성에 사용될 수 있는 임의의 다른 실리콘-함유 전구체들을 포함할 수 있다. 실리콘-함유 전구체와 함께, 임의의 산소-함유 전구체이거나 이를 포함할 수 있는 산화 가스가 전달될 수 있다. 예를 들어, 산소-함유 전구체들은 이원자 산소, 오존, 수증기, 알코올, 산화질소, 아산화질소, 또는 임의의 다른 산소-함유 재료들 중 하나 이상을 포함할 수 있다.
[0039] 증착 동안, 프로세스 압력들은 약 3 mT 내지 약 300 mT의 임의의 압력으로 유지될 수 있다. 프로세스 압력들이 높을수록, 예를 들어 전달 구성요소들 내에 형성된 구멍들에서의 코팅 재료의 축적을 감소시킬 수 있다. 그러나, 일부 실시예들에서, 임의의 형성 또는 증착 동작들 동안에 프로세싱 챔버 내에 유지되는 프로세스 압력은 기판 상에 수행되는 프로세싱 동작들 동안에 유지되는 프로세스 압력보다 낮을 수 있다. 예를 들어, 프로세싱 동안보다 낮은 압력을 유지함으로써, 체류 시간의 증가로 인해 보다 포괄적인 커버리지(coverage)가 생성될 수 있다. 결과적으로, 보다 높은 압력의 에칭 프로세스가 수행될 수 있는 경우, 에칭 프로세스 동안의 유동 프로파일은 프로세싱 챔버의 커버리지 양상들을 넘어서 확장되지 않을 수 있다. 형성 동안, 프로세스는 프로세싱 챔버 내의 플라즈마를 소멸시키기 전에 실리콘-함유 전구체의 유동을 중단시키는 것을 포함할 수 있다. 산소-함유 전구체 유량은 실리콘-함유 전구체 유량을 중단시킨 후에도 유지될 수 있으며, 이는 산소-플라즈마 유출물들이 실리콘 산화물 코팅의 표면 상의 댕글링 본드(dangling bond)들을 종결시킬 수 있게 할 수 있다.
[0040] 실리콘-함유 층의 형성에 이어서, 실리콘-함유 층 위에 제2 층이 형성될 수 있다. 이전에 설명된 바와 같이, 실리콘 산화물과 같은 실리콘-함유 재료는 기판의 후면에 부착되기 더 쉬울 수 있으며, 열 팽창 동안에 기판은 고착으로 인해 산화물 층의 일부를 제거할 수 있다. 따라서, 덜 친수성인 층을 형성함으로써, 본 기술은 기판의 후면으로의 재료 전이를 제한하면서도 코팅들 전체에 걸친 이동성을 또한 증가시킬 수 있고, 이는, 후면 손상도 마찬가지로 저감시킬 수 있다.
[0041] 일부 실시예들에서, 본 기술은 하기에서 추가로 설명되는 바와 같이 제2 재료 층을 형성할 수 있다. 추가적으로, 제2 재료 층이 있든지 없든지 간에, 본 기술은 막의 표면 거칠기를 증가시키는 방식으로 산화물 코팅을 생성할 수 있다. 예를 들어, 일부 실시예들에서, 실리콘 산화물 재료는 막의 소수성을 증가시키기 위해 표면 거칠기를 증가시키도록 형성될 수 있으며, 이는 코팅과 기판의 후면 사이의 고착을 감소시킬 수 있다. 예를 들어, 일부 실시예들에서, 이 재료는 결정립 크기를 증가시키거나 저주파 플라즈마를 증가시켜 충격을 증가시키도록 형성될 수 있으며, 이들 중 임의의 것은 막 전체에 걸쳐 표면 거칠기를 증가시킬 수 있다. 따라서, 일부 실시예들에서, 산화물 막의 평균 거칠기는 약 0.5 ㎚ 이상일 수 있고, 약 1.0 ㎚ 이상, 약 1.5 ㎚ 이상, 약 2.0 ㎚ 이상, 약 2.5 ㎚ 이상, 약 3.0 ㎚ 이상, 약 3.5 ㎚ 이상, 약 4.0 ㎚ 이상, 약 4.5 ㎚ 이상, 약 5.0 ㎚ 이상, 또는 그 초과일 수 있다. 그러나, 표면이 점점 더 거칠어지는 경우, 기판에 대한 후면 스크래치들이 증가할 수 있다. 따라서, 일부 실시예들에서, 평균 거칠기는 약 8.0 ㎚ 이하로 유지될 수 있고, 약 7.5 ㎚ 이하, 약 7.0 ㎚ 이하, 약 6.5 ㎚ 이하, 약 6.0 ㎚ 이하, 약 5.5 ㎚ 이하, 약 5.0 ㎚ 이하, 또는 그 미만으로 유지될 수 있다.
[0042] 산화물 막뿐만 아니라, 후술하는 바와 같은 임의의 상부의 재료는 또한 소수성을 증가시킬 수 있는 특정의 물 접촉각(water contact angle)을 생성하는 것을 특징으로 할 수 있으며, 산화물 코팅으로부터 기판의 후면으로의 전이 가능성을 감소시킬 수 있다. 예를 들어, 보다 친수성인 산화물 재료들은 약 50° 이하의 생성된 물 접촉각을 특징으로 할 수 있지만, 일부 실시예들에서, 표면 거칠기는 약 90° 이상의 유효 물 접촉각을 생성할 수 있으며, 약 100° 이상, 약 110° 이상, 약 120° 이상, 약 130° 이상, 약 140° 이상, 약 150° 이상, 약 160° 이상, 또는 그 초과의 물 접촉각을 생성할 수 있다.
[0043] 추가적으로 또는 대안적으로, 일부 실시예들에서, 본 기술은 산화물 층 위에 추가 층을 형성하는 것을 포함할 수 있다. 예를 들어, 선택적인 동작(310)에서, 본 기술은 제1 코팅 층 위에 제2 코팅 층을 형성하는 것을 포함할 수 있다. 제2 코팅 층은 탄소-함유 층, 할로겐-함유 층, 또는 둘 모두일 수 있거나 이를 포함할 수 있다. 예를 들어, 일부 실시예들에서는 산화물 층 위에 재료 층을 생성하도록 할로겐 및 탄소-함유 전구체가 제공될 수 있다. 예시적인 전구체들은 임의의 수의 탄소-함유 또는 유기 전구체들뿐만 아니라, 할로겐-치환 탄화수소 전구체들이거나 이들을 포함할 수 있다. 예를 들어, 전구체들은 플루오로메탄, 트리플루오로메탄, 또는 탄소, 할로겐 및/또는 수소를 포함하는 임의의 다른 재료이거나 이를 포함할 수 있다. 실리콘-함유 재료 및/또는 탄소-함유 재료 중 어느 하나뿐만 아니라, 2 개의 층들의 조합은 20 ㎚ 이상의 두께를 특징으로 할 수 있으며, 약 30 ㎚ 이상, 약 40 ㎚ 이상, 약 50 ㎚ 이상, 약 60 ㎚ 이상, 약 70 ㎚ 이상, 약 80 ㎚ 이상, 약 90 ㎚ 이상, 약 100 ㎚ 이상, 약 110 ㎚ 이상, 약 120 ㎚ 이상, 약 130 ㎚, 약 140 ㎚ 이상, 약 150 ㎚ 이상, 또는 그 초과일 수 있다.
[0044] 챔버의 코팅에 이어서, 방법(300)은 동작(315)에서 기판을 프로세싱하는 단계를 포함할 수 있다. 기판은 프로세싱 챔버 내에, 예컨대 정전 척 또는 다른 기판 지지체 상에 포지셔닝될 수 있다. 기판은 탄소-함유 재료와 직접 접촉하여 안착될 수 있으며, 안착 및/또는 정전기적으로 클램핑되는 동안에, 유입 온도로부터 프로세싱 온도로 가열될 수 있고, 프로세싱 온도는 유입 온도보다 약 100 ℃ 이상 더 높을 수 있다. 기판은 마이크로전자공학, 나노기술, 광전지, 또는 임의의 다른 반도체 프로세스들의 분야들에서 통상적으로 이용되는 층들 또는 재료들을 포함할 수 있다. 프로세스는 프로세싱 챔버 내에서의 기판의 플라즈마 에칭을 포함할 수 있다. 프로세스는, 예컨대 할로겐-함유 전구체, 산소-함유 전구체, 수소-함유 전구체 및/또는 불활성 전구체 중 하나 이상에 의해, 기판 상의 재료들을 선택적으로 에칭하는 것을 포함할 수 있다. 프로세싱이 완료되면, 이 방법들은 프로세싱 챔버로부터 기판을 제거하는 단계를 포함할 수 있다.
[0045] 에칭 프로세스는 노출된 탄소-함유 재료에 충격 또는 에칭을 가할 수 있는 산소-플라즈마 프로세싱을 포함할 수 있지만, 기판은 척 상의 탄소-함유 재료 위에 안착될 수 있으며, 이는 해당 부분 또는 코팅을 보호할 수 있고, 코팅이 기판의 후면으로의 전이 또는 후면의 손상을 기능적으로 방지할 수 있게 할 수 있다. 기판이 제거되면, 코팅들은 하나 이상의 세정 동작들을 통해 제거될 수 있다. 예를 들어, 적용되는 경우에 잔류 탄소-함유 재료를 제거하기 위해, 선택적인 동작(320)에서 제1 플라즈마 처리가 수행될 수 있다. 예를 들어, 탄소-함유 재료를 제거하기 위해 이전에 설명된 임의의 전구체와 같은 산소-함유 전구체의 플라즈마가 형성될 수 있다.
[0046] 제1 제거 동작에 이어서, 실리콘-함유 재료를 제거하기 위해 동작(325)에서 제2 플라즈마 처리가 수행될 수 있다. 제2 플라즈마 처리는 하기에서 추가로 설명되는 바와 같이 하나 이상의 할로겐-함유 전구체들을 사용하여 수행될 수 있다. 일부 실시예들에서, 세정 동작들이 완료되면, 후속 프로세싱은 추가 기판들을 위한 프로세싱 챔버를 준비하는 것을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 이 방법은 추가 기판을 프로세싱하기 전에 챔버의 표면들 상에 실리콘-함유 재료 및 탄소-함유 재료를 포함하는 하나 이상의 코팅 동작들을 수행하는 것과 같이 이전에 설명된 하나 이상의 동작들을 반복하는 단계를 포함할 수 있다.
[0047] 제거 동작들은 프로세싱 챔버로부터 코팅 재료들을 개별적으로 제거하기 위한 동작들을 포함할 수 있다. 일부 실시예들에서, 세정 프로세스들은 재료들의 적절한 제거를 보장하기 위한 추가 동작들을 포함할 수 있다. 예를 들어, 탄소-함유 재료가 제거된 후에, 프로세스는 실리콘-함유 재료를 제거하기 위한 다중-동작 제거 프로세스를 포함할 수 있다. 예를 들어, 탄소-함유 전구체를 제거하기 위한 산소-함유 플라즈마 처리에 이어서, 챔버 압력을 증가시키기 위해 압력 램프(pressure ramp)가 수행될 수 있다. 하나 이상의 전구체들의 유량이 증가될 수 있으며, 이는 프로세싱 챔버 내의 압력을 증가시킬 수 있다. 하나의 비제한적인 예로서, 제1 플라즈마 처리 및 제2 플라즈마 처리에 이용되는 불활성 전구체가 챔버 압력을 증가시키는 데 사용될 수 있다.
[0048] 예를 들어, 일부 실시예들에서, 탄소-함유 전구체는 약 50 mTorr 이하의 챔버 작동 압력에서 플라즈마 작동 동안에 제거될 수 있고, 약 40 mTorr 이하, 약 30 mTorr 이하, 약 20 mTorr 이하, 약 10 mTorr 이하, 또는 그 미만에서 제거될 수 있다. 동작이 완료되면, 압력은 약 50 mTorr 이상, 약 100 mTorr 이상, 약 200 mTorr 이상, 약 300 mTorr 이상, 약 400 mTorr 이상, 약 500 mTorr 이상, 또는 그 초과로 증가될 수 있다. 보다 높은 압력으로 제2 플라즈마 처리 또는 제거를 시작함으로써, 실리콘-함유 전구체의 증가된 제거 속도가 제공될 수 있다. 제2 제거 프로세스는 육불화황, 사불화탄소, 삼불화질소를 포함하는 임의의 불소-함유 전구체뿐만 아니라, 임의의 다른 불소-함유 전구체를 포함할 수 있는 불소-함유 전구체를 이용할 수 있다. 고압 제거는 또한 본 기술의 일부 실시예들에서 추가적인 에칭제 및/또는 추가적인 산화 종들을 포함할 수 있다. 예를 들어, 추가적인 불소-함유 전구체 또는 추가적인 염소-함유 전구체를 포함함으로써, 이전의 제거 동작들 또는 에칭 동작들로부터의 부산물들이 챔버로부터 보다 쉽게 제거될 수 있다.
[0049] 고압 제거를 수행함으로써, 수행되는 세정 동작은 이전에 수행된 에칭 프로세싱 동안에 챔버 코팅 상에 증착된 재료를 먼저 제거할 수 있고, 또한 상당한 양의 챔버 코팅을 제거할 수 있다. 제2 플라즈마 처리 또는 제거 동작의 제1 부분에 이어서, 프로세싱 압력은 제2 플라즈마 처리의 제1 압력보다 낮을 수 있고 상기에서 논의된 압력 범위들 중 임의의 압력 범위일 수 있는 제2 프로세싱 압력으로 감소될 수 있다. 보다 낮은 압력은 프로세싱 챔버 내의 임의의 잔여 재료를 제거할 수 있다. 저압 프로세스는 재료들의 평균 자유 경로를 증가시킴으로써 코팅들의 제거를 향상시킬 수 있으며, 이는 체류 시간을 증가시킬 뿐만 아니라, 잔류 코팅 재료들에의 접근 및 제거를 증가시킬 수 있다. 추가적으로, 고압 세정으로부터 저압 세정으로의 전환 동안에 플라즈마가 유지되어 저압에서의 플라즈마의 스트라이킹(striking)을 회피할 수 있는데, 이는 보다 어려울 수 있다.
[0050] 프로세싱 동작들 중 임의의 프로세싱 동작 동안, 염소-함유 전구체와 같은 다른 반응성 종들이 첨가되거나 이전에 설명된 전구체들 중 임의의 전구체를 대체할 수 있다. 임의의 동작을 위한 플라즈마 전력은 약 500 W 이상으로 유지될 수 있으며, 약 1000 W 이상, 약 1500 W 이상, 약 2000 W 이상, 약 2500 W 이상, 약 3000 W 이상, 약 3500 W 이상, 약 4000 W 이상, 또는 그 초과로 유지될 수 있으며, 이는 일부 실시예들에서 플라즈마 안정성을 향상시킬 수 있다. RF 바이어스 전력은 임의의 전력으로 포함될 수 있지만, 일부 실시예들에서는 기판 지지체에 대한 손상을 회피하기 위해 상대적으로 낮게 유지될 수 있다. 보다 소수성일 수 있는 추가 코팅들을 통합함으로써, 본 기술은 기판의 후면의 스크래칭 및 입자 전이를 제한할 수 있다.
[0051] 이전의 설명에서는, 설명의 목적으로, 본 기술의 다양한 실시예들의 이해를 제공하기 위해 많은 세부사항들이 기재되어 있다. 그러나, 특정 실시예들은 이러한 세부사항들 중 일부 없이 또는 추가적인 세부사항들과 함께 실시될 수 있다는 것이 당업자에게 명백할 것이다.
[0052] 몇몇 실시예들을 개시하고 있지만, 실시예들의 사상으로부터 벗어남이 없이 다양한 변형들, 대안적인 구성들 및 등가물들이 사용될 수 있다는 것이 당업자에 의해 인식될 것이다. 추가적으로, 본 기술을 불필요하게 모호하게 하는 것을 회피하기 위해 다수의 잘 알려진 프로세스들 및 요소들은 설명되지 않았다. 따라서, 상기의 설명은 본 기술의 범위를 제한하는 것으로 간주되어서는 안 된다.
[0053] 값들의 범위가 주어진 경우, 그러한 값들의 범위의 상위 한계값과 하위 한계값 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않은 한 하위 한계값의 최소 자릿수의 단 단위 값의 10분의 1까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값들 또는 그 범위에 속하는 명시되지 않은 값들과 그러한 명시된 범위 내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이러한 소범위의 상위 한계값 및 하위 한계값은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상위 한계값과 하위 한계값 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지, 둘 모두가 그러한 소범위에서 제외되는지 간에, 구체적으로 제외된 임의의 한계값이 명시된 범위에 있는 한, 또한 본 기술에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다..
[0054] 본원에 사용된 바와 같이, 그리고 첨부된 청구범위에서, 단수 형태들은, 문맥상 명백하게 달리 지시되지 않는 한, 복수의 지시대상들을 포함한다. 따라서, 예를 들어 "층"에 대한 언급은 복수의 그러한 층들을 포함하고, "코팅"에 대한 언급은 하나 이상의 코팅들, 및 당업자에게 알려진 그의 등가물들에 대한 언급 등을 포함한다.
[0055] 또한, 본 명세서에서 그리고 다음의 청구항들에서 사용되는 경우, "포함한다(comprise)", "포함하는(comprising)", "함유한다(contain)", "함유하는(containing)", "포함한다(include)", 그리고 "포함하는(including)"이란 단어들은 진술된 특징들, 인티저(integer)들, 컴포넌트들 또는 동작들의 존재를 특정하는 것으로 의도되지만, 이들은 하나 이상의 다른 특징들, 인티저들, 컴포넌트들, 동작들, 액트들 또는 그룹들의 존재 또는 추가를 배제하지 않는다.

Claims (20)

  1. 반도체 프로세싱(semiconductor processing) 방법으로서,
    제1 탄소-함유 재료를 제거하기 위해 프로세싱 챔버(processing chamber) 내에서 제1 플라즈마 처리(plasma treatment)를 수행하는 단계;
    제1 실리콘-함유 재료를 제거하기 위해 상기 프로세싱 챔버 내에서 제2 플라즈마 처리를 수행하는 단계;
    상기 프로세싱 챔버의 표면들 상에 제2 실리콘-함유 재료를 증착하는 단계; 및
    상기 제2 실리콘-함유 재료 위에 제2 탄소-함유 재료를 증착하는 단계를 포함하는,
    반도체 프로세싱 방법.
  2. 제1 항에 있어서,
    상기 제2 탄소-함유 재료를 증착한 후에 상기 프로세싱 챔버 내에 기판을 포지셔닝하는 단계; 및
    상기 프로세싱 챔버 내에서 상기 기판 상에 에칭 프로세스(etch process)를 수행하는 단계를 더 포함하는,
    반도체 프로세싱 방법.
  3. 제2 항에 있어서,
    상기 에칭 프로세스를 수행한 후에 상기 프로세싱 챔버로부터 상기 기판을 제거하는 단계; 및
    상기 반도체 프로세싱 방법을 반복하는 단계를 더 포함하는,
    반도체 프로세싱 방법.
  4. 제1 항에 있어서,
    상기 제1 플라즈마 처리는 산소-함유 전구체의 플라즈마를 형성하는,
    반도체 프로세싱 방법.
  5. 제1 항에 있어서,
    상기 제2 플라즈마 처리는 불소-함유 전구체의 플라즈마를 형성하는,
    반도체 프로세싱 방법.
  6. 제1 항에 있어서,
    상기 제2 탄소-함유 재료는 할로겐(halogen)을 포함하고, 상기 제2 실리콘-함유 재료는 실리콘 산화물을 포함하는,
    반도체 프로세싱 방법.
  7. 제1 항에 있어서,
    상기 제1 플라즈마 처리를 수행하는 단계와 상기 제2 플라즈마 처리를 수행하는 단계 사이 이전에 프로세싱 압력(processing pressure)을 증가시키는 단계를 더 포함하는,
    반도체 프로세싱 방법.
  8. 제1 항에 있어서,
    상기 제2 플라즈마 처리는,
    상기 프로세싱 챔버를 제1 프로세싱 압력으로 유지하면서 하나 이상의 불소-함유 전구체들의 플라즈마를 형성하는 것;
    상기 제1 프로세싱 압력을 제2 프로세싱 압력으로 감소시키는 것; 및
    상기 프로세싱 챔버를 상기 제2 프로세싱 압력으로 유지하면서 하나 이상의 불소-함유 전구체들의 플라즈마를 형성하는 것을 포함하는,
    반도체 프로세싱 방법.
  9. 제1 항에 있어서,
    상기 프로세싱 챔버의 표면들 상에 상기 제2 실리콘-함유 재료를 증착한 후에, 상기 프로세싱 챔버에서 플라즈마를 유지하면서 실리콘-함유 전구체의 유동을 중단시키는 단계를 더 포함하는,
    반도체 프로세싱 방법.
  10. 반도체 프로세싱 방법으로서,
    프로세싱 챔버의 노출된 표면들 상에 실리콘-함유 재료를 증착하는 단계;
    상기 프로세싱 챔버 내에서 상기 실리콘-함유 재료 위에 탄소-함유 재료를 증착하는 단계;
    상기 프로세싱 챔버 내에 수용된 기판 상에 에칭 프로세스를 수행하는 단계;
    상기 탄소-함유 재료를 제거하기 위해 상기 프로세싱 챔버 내에서 제1 플라즈마 처리를 수행하는 단계; 및
    상기 실리콘-함유 재료를 제거하기 위해 상기 프로세싱 챔버 내에서 제2 플라즈마 처리를 수행하는 단계를 포함하는,
    반도체 프로세싱 방법.
  11. 제10 항에 있어서,
    상기 기판은 상기 프로세싱 챔버 내의 정전 척(electrostatic chuck) 상의 탄소-함유 재료 상에 안착되는,
    반도체 프로세싱 방법.
  12. 제10 항에 있어서,
    상기 실리콘-함유 재료는 실리콘 산화물을 포함하는,
    반도체 프로세싱 방법.
  13. 제10 항에 있어서,
    상기 탄소-함유 재료는 불화탄소를 포함하는,
    반도체 프로세싱 방법.
  14. 제10 항에 있어서,
    상기 제1 플라즈마 처리는 상기 탄소-함유 재료를 산소-함유 전구체의 플라즈마 유출물들과 접촉시키는 것을 포함하는,
    반도체 프로세싱 방법.
  15. 제10 항에 있어서,
    상기 제2 플라즈마 처리는 상기 실리콘-함유 재료를 불소-함유 전구체의 플라즈마 유출물들과 접촉시키는 것을 포함하는,
    반도체 프로세싱 방법.
  16. 제10 항에 있어서,
    상기 제1 플라즈마 처리를 수행하는 단계와 상기 제2 플라즈마 처리를 수행하는 단계 사이 이전에 프로세싱 압력을 증가시키는 단계를 더 포함하는,
    반도체 프로세싱 방법.
  17. 제10 항에 있어서,
    상기 제2 플라즈마 처리는,
    상기 프로세싱 챔버를 제1 프로세싱 압력으로 유지하면서 하나 이상의 불소-함유 전구체들의 플라즈마를 형성하는 것;
    상기 제1 프로세싱 압력을 제2 프로세싱 압력으로 감소시키는 것; 및
    상기 프로세싱 챔버를 상기 제2 프로세싱 압력으로 유지하면서 하나 이상의 불소-함유 전구체들의 플라즈마를 형성하는 것을 포함하는,
    반도체 프로세싱 방법.
  18. 제10 항에 있어서,
    상기 실리콘-함유 재료 및 상기 탄소-함유 재료 각각은 약 100 ㎚ 이하의 두께를 특징으로 하는,
    반도체 프로세싱 방법.
  19. 반도체 프로세싱 방법으로서,
    프로세싱 챔버의 노출된 표면들 상에 실리콘-함유 재료를 증착하는 단계 ― 상기 실리콘-함유 재료는 약 3 ㎚ 이상의 평균 표면 거칠기를 특징으로 함 ―;
    상기 프로세싱 챔버 내에 수용된 기판 상에 에칭 프로세스를 수행하는 단계; 및
    상기 실리콘-함유 재료를 제거하기 위해 상기 프로세싱 챔버 내에서 플라즈마 처리를 수행하는 단계를 포함하는,
    반도체 프로세싱 방법.
  20. 제19 항에 있어서,
    상기 실리콘-함유 재료는 약 90° 이상의 물 접촉각(water contact angle)을 특징으로 하는,
    반도체 프로세싱 방법.
KR1020237042970A 2021-05-17 2022-05-16 후면 입자들을 감소시키기 위한 챔버 프로세스들 KR20240007263A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/322,242 US11702738B2 (en) 2021-05-17 2021-05-17 Chamber processes for reducing backside particles
US17/322,242 2021-05-17
PCT/US2022/029391 WO2022245700A1 (en) 2021-05-17 2022-05-16 Chamber processes for reducing backside particles

Publications (1)

Publication Number Publication Date
KR20240007263A true KR20240007263A (ko) 2024-01-16

Family

ID=83998503

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237042970A KR20240007263A (ko) 2021-05-17 2022-05-16 후면 입자들을 감소시키기 위한 챔버 프로세스들

Country Status (4)

Country Link
US (1) US11702738B2 (ko)
KR (1) KR20240007263A (ko)
CN (1) CN117425951A (ko)
WO (1) WO2022245700A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7569111B2 (en) * 2006-04-19 2009-08-04 United Microelectronics Corp. Method of cleaning deposition chamber
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US10658161B2 (en) 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10431451B2 (en) * 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
CN108140603B (zh) 2015-10-04 2023-02-28 应用材料公司 基板支撑件和挡板设备
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US20190115241A1 (en) 2017-10-12 2019-04-18 Applied Materials, Inc. Hydrophobic electrostatic chuck
TW202403083A (zh) 2018-06-19 2024-01-16 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料
US11530479B2 (en) 2019-10-18 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition tool and method
JP7357513B2 (ja) 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
US20230122167A1 (en) * 2020-03-18 2023-04-20 Lam Research Corporation Method for conditioning a plasma processing chamber

Also Published As

Publication number Publication date
CN117425951A (zh) 2024-01-19
US11702738B2 (en) 2023-07-18
WO2022245700A1 (en) 2022-11-24
US20220364227A1 (en) 2022-11-17
TW202314849A (zh) 2023-04-01

Similar Documents

Publication Publication Date Title
TWI826555B (zh) 用於蝕刻用於半導體應用的結構的方法
US20230264238A1 (en) Condition selectable backside gas
TW202226355A (zh) 具有強健像素通孔金屬化的先進lcos背板之結構及方法
WO2022109044A1 (en) Structure and method of mirror grounding in lcos devices
WO2022109035A1 (en) Structure and method of advanced lcos back-plane having highly reflective pixel via metallization
WO2022155099A1 (en) Method of cmp integration for improved optical uniformity in advanced lcos back-plane
KR20240007263A (ko) 후면 입자들을 감소시키기 위한 챔버 프로세스들
WO2014082033A1 (en) Apparatus and methods for backside passivation
TWI836428B (zh) 用於減少背側顆粒之腔室製程
US20230077578A1 (en) Chambers and coatings for reducing backside damage
US20230110474A1 (en) Selective silicon deposition
US20240014039A1 (en) Carbon hardmask opening using boron nitride mask
US20240096641A1 (en) In-situ carbon liner for high aspect ratio features
US20230245895A1 (en) Sidewall passivation for plasma etching
US20230260802A1 (en) Highly selective silicon etching
KR20240075901A (ko) 선택적 실리콘 증착
US20220301913A1 (en) Reduced localized force in electrostatic chucking
US20230129550A1 (en) Carbon gap fill processes
US20220415648A1 (en) Selective carbon deposition on top and bottom surfaces of semiconductor substrates
KR20240074017A (ko) 탄소 갭 충전 프로세스들
TW202046024A (zh) 用於對準遮罩和基板的方法