KR20160045611A - 금속 산화물 환원을 특징으로 하는 방법 및 장치 - Google Patents

금속 산화물 환원을 특징으로 하는 방법 및 장치 Download PDF

Info

Publication number
KR20160045611A
KR20160045611A KR1020150144878A KR20150144878A KR20160045611A KR 20160045611 A KR20160045611 A KR 20160045611A KR 1020150144878 A KR1020150144878 A KR 1020150144878A KR 20150144878 A KR20150144878 A KR 20150144878A KR 20160045611 A KR20160045611 A KR 20160045611A
Authority
KR
South Korea
Prior art keywords
substrate
metal
seed layer
oxygen
plasma
Prior art date
Application number
KR1020150144878A
Other languages
English (en)
Other versions
KR102467019B1 (ko
Inventor
타이 에이. 스퍼린
에드워드 씨. 어퍼센스키
루단 황
리차드 케이. 라이온스
산티나트 공가디
조나단 디. 레이드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/657,956 external-priority patent/US9472377B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160045611A publication Critical patent/KR20160045611A/ko
Priority to KR1020220148855A priority Critical patent/KR102584552B1/ko
Application granted granted Critical
Publication of KR102467019B1 publication Critical patent/KR102467019B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • C23C14/5853Oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)

Abstract

어닐링 챔버 또는 플라즈마 소스 내에서 산소에 대한 노출에 의해 형성된 금속 산화물 막들을 사용하는, 금속 산화물 환원을 특징으로 하는 방법들 및 장치들이 개시된다. 일부 구현예들에서, 금속 씨드층 (seed layer) 을 포함하는 기판은, 금속 씨드층의 금속 산화물을 형성하도록 산소 플라즈마에 노출되고, 노출은 저온 및 저압에서 일어날 수 있다. 일부 구현예들에서, 금속 씨드층을 포함하는 기판은 어닐링 챔버 내에서 상승된 온도로 산소에 노출된다. 이들 방식들로 형성된 산화된 기판들은 반복 가능하고, 균일하고, 그리고 안정한 금속 산화물들을 제공한다. 산화된 기판들은 나중의 사용을 위해 저장될 수 있거나 금속 산화물로부터 금속으로의 환원 처리에 노출될 수 있다. 일부 구현예들에서, 환원 처리에 대한 노출은 환원 가스 종의 플라즈마에 대한 노출을 포함한다.

Description

금속 산화물 환원을 특징으로 하는 방법 및 장치{METHOD AND APPARATUS FOR CHARACTERIZING METAL OXIDE REDUCTION}
본 개시는 일반적으로 금속 산화물 환원을 특징으로 하는 사용을 위한, 기판 상에 금속 산화물을 형성하는 것에 관한 것이다. 본 개시의 특정한 양태들은 금속 산화물 환원을 특징으로 하는 사용을 위한, 산소 플라즈마에 금속 씨드층을 가진 기판을 노출시킴으로써 금속 산화물을 형성하는 것에 관한 것이다. 본 개시의 특정한 양태들은 금속 산화물 환원을 특징으로 하는 사용을 위한, 어닐링 챔버 내에서 산소 및 상승된 온도들에 금속 씨드층을 가진 기판을 노출시킴으로써 금속 산화물을 형성하는 것에 관한 것이다.
집적 회로들 (IC들) 내의 금속 배선 상호 접속부들의 형성은 다마신 (damascene) 프로세스 또는 듀얼 다마신 프로세스를 사용하여 달성될 수 있다. 통상적으로, 트렌치들 또는 홀들은 기판 상에 위치된 실리콘 이산화물과 같은 유전체 재료 내로 에칭된다. 이러한 홀들 또는 트렌치들은 하나 이상의 접착 및/또는 확산 베리어 층들로 라이닝될 수도 있다. 금속의 얇은 층은 전기도금된 금속에 대한 씨드층으로서 역할을 할 수 있는 홀들 또는 트렌치들 내에 증착될 수도 있다. 그 후에, 홀들 또는 트렌치들이 전기도금된 금속으로 충진될 수도 있다. 씨드 금속은 통상적으로 코발트 또는 구리일 수 있다. 그러나, 루테늄, 팔라듐, 이리듐, 로듐, 오스뮴, 니켈, 금, 은, 및 알루미늄과 같은 다른 금속들, 또는 이들 금속들의 합금들이 또한 사용될 수도 있다.
보다 고 성능 IC들을 달성하기 위해서, IC들의 피처들 중 다수가 보다 작은 피처 사이즈를 가지면서 보다 높은 컴포넌트들 (component) 의 밀도를 갖게 제조되고 있는 중이다. 일부 다마신 프로세싱에서, 예를 들어, 2X-nm 노드 피처들 상의 구리 씨드층들은 50 Å 만큼 얇을 수도 있거나 이보다 더 얇을 수도 있다. 일부 구현예들에서, 1X-nm 노드 피처들 상에 구리를 포함하거나 포함하지 않을 수도 있는 금속 씨드층들이 도포될 수도 있다. 보다 작은 피처 사이즈들에 있어서 보이드들 또는 디펙트들이 실질적으로 없는 금속 씨드층들 및 금속 상호 접속부들을 생성하는데 기술적 과제들이 발생한다.
금속 씨드층들 내의 금속은 산소를 함유하는 환경에 대한 노출로부터 금속 산화물들을 형성하도록 반응할 수도 있다. 금속 씨드층을 금속으로 도금함에 있어서, 예를 들어, 금속 씨드층은 산소를 함유하는 환경들의 하나 이상의 예들에 노출될 수도 있다. 금속 씨드층을 포함하는 기판은 기판이 전기도금 장치로 이송될 때 또는 기판이 세정될 때 (예를 들어, 린싱되고 건조됨) 와 같은, 산화를 야기할 수 있는 몇몇의 프로세스들을 도금 전에 겪을 수 있다. 금속 산화물들의 형성은 특히 금속 씨드층 상의 금속의 차후의 도금에서의 몇몇의 기술적 문제들을 제공할 수 있다. 예를 들어, 산화된 씨드층 상에 금속을 도금하는 것은 보이드 형성, 피팅 (pitting), 불균일한 도금, 및 접착/박리 문제들로 이어질 수 있다.
금속으로의 금속 산화물들의 환원은 건식 환원 처리 또는 습식 환원 처리를 사용하여 달성될 수 있다. 일부 구현예들에서, 금속 산화물들은 플라즈마 프로세싱 처리를 사용하여 금속으로 환원될 수 있다. 다양한 시스템들 및 장치들은 이러한 시스템들 및 장치들의 효과들이 확실하지 않을 수도 있지만, 금속 산화물들을 금속으로 환원할 수도 있다. 이러한 시스템들 및 장치들에서 금속 산화물 환원을 결정하는 것 및 특징으로 하는 것은, 금속 산화물 환원의 성능을 모니터링하는 것, 캘리브레이팅하는 것, 테스트하는 것 및 적격화하는 것에 있어서 중요할 수도 있다.
본 개시는 금속 산화물 환원을 특징으로 하는 방법들에 관한 것이고, 방법은: (a) 프로세싱 챔버 내에서 기판 상에 형성된 금속 씨드층을 가진 기판을 제공하는 단계; (b) 산소 플라즈마를 생성하는 단계; (c) 금속 씨드층의 금속 산화물을 형성하도록 프로세싱 챔버 내에서 산소 플라즈마에 기판을 노출시키는 단계로서, 기판의 온도는 금속 씨드층의 응집 (agglomeration) 온도 미만인, 산소 플라즈마에 기판을 노출시키는 단계; 및 (d) 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키는 조건들 하에서 기판을 환원 처리에 노출시키는 단계를 포함한다.
일부 구현예들에서, 산소 플라즈마에 대한 노출 동안 기판의 온도는 약 100 ℃ 미만이다. 일부 구현예들에서, 기판을 환원 처리에 노출시키는 단계는: 환원성 가스 종의 플라즈마를 생성하는 단계로서, 환원성 가스 종의 플라즈마는: 환원성 가스 종으로부터의 라디칼들, 이온들, 및 자외 (UV) 방사선 중 하나 이상을 포함하는, 환원성 가스 종의 플라즈마를 생성하는 단계; 및 프로세싱 챔버 내에서 기판을 환원성 가스 종의 플라즈마에 노출시키는 단계를 포함한다. 일부 구현예들에서, 산소 플라즈마 및 환원성 가스 종의 플라즈마는 리모트 플라즈마 소스 내에서 생성된다. 일부 구현예들에서, 산소 플라즈마에 대한 노출 동안 프로세싱 챔버의 압력은 약 0.5 Torr 내지 약 10 Torr이다. 일부 구현예들에서, 금속 씨드층의 두께는 약 50 Å 이하이다. 일부 구현예들에서, 금속 산화물을 형성하기 위해 기판을 산소 플라즈마에 노출시키는 단계는, 90% 초과의 금속 씨드층의 금속을 금속 산화물로 변환시키는 단계를 포함한다. 일부 구현예들에서, 방법은 기판을 환원 처리에 노출시키기 전에 기판의 제 1 시트 저항을 측정하는 단계; 및 기판을 환원 처리에 노출시킨 후에 기판의 제 2 시트 저항을 측정하는 단계를 더 포함한다. 일부 구현예들에서, 금속 씨드층은 구리 및 코발트 중 적어도 하나를 포함한다.
본 개시는 또한 금속 산화물 환원을 특징으로 하는 장치에 관한 것이고, 장치는 프로세싱 챔버, 프로세싱 챔버 내에서 기판을 홀딩하기 위한 기판 지지부로서, 기판은 금속 씨드층을 포함하는, 기판 지지부, 및 기판 지지부 위의 리모트 플라즈마 소스를 포함한다. 장치는 다음의 동작들: (a) 리모트 플라즈마 소스 내에서 산소 플라즈마를 생성하는 동작; (b) 금속 씨드층의 금속 산화물을 형성하도록 프로세싱 챔버 내에서 기판을 산소 플라즈마에 노출시키는 동작; (c) 리모트 플라즈마 소스 내에서 환원성 가스 종의 플라즈마를 생성하는 동작으로서, 환원성 가스 종의 플라즈마는: 환원성 가스 종으로부터의 라디칼들, 이온들, 및 자외 (UV) 방사선 중 하나 이상을 포함하는, 환원성 가스 종의 플라즈마를 생성하는 동작; 및 (d) 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키도록 기판을 환원성 가스 종의 플라즈마에 노출시키는 동작을 수행하기 위한 인스트럭션들로 구성된, 제어기를 더 포함한다.
일부 구현예들에서, 제어기는: 산소 플라즈마에 대한 기판의 노출 동안 기판 지지부의 온도를 금속 씨드층의 응집 온도 미만으로 유지하기 위한 인스트럭션들을 더 포함한다. 일부 구현예들에서, 제어기는 산소 플라즈마에 대한 기판의 노출 동안 프로세싱 챔버의 압력을 약 0.5 Torr 내지 약 10 Torr로 유지하기 위한 인스트럭션들을 더 포함한다. 일부 구현예들에서, 금속 씨드층의 두께는 약 50 Å 이하이다.
본 개시는 또한 금속 산화물 환원을 특징으로 하는 방법들에 관한 것이고, 방법은: (a) 산소를 어닐링 챔버 내로 제공하는 단계, (b) 어닐링 챔버 내로 기판 상에 형성된 금속 씨드층을 가진 기판을 제공하는 단계, (c) 어닐링 챔버 내에서 금속 씨드층의 금속 산화물을 형성하기 위한 조건들에 기판을 노출시키는 단계, (d) 기판을 프로세싱 챔버 내로 제공하는 단계, 및 (e) 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키는 조건들 하에서 기판을 환원 처리에 노출시키는 단계를 포함한다.
일부 구현예들에서, 산소를 어닐링 챔버 내로 제공하는 단계는 어닐링 챔버를 대기 조건들에 노출시키는 단계를 포함한다. 일부 구현예들에서, 산소를 어닐링 챔버 내로 제공하는 단계는 어닐링 챔버를 대기 조건들로부터 폐쇄하는 단계 및 산소를 어닐링 챔버 내로 흘리는 단계를 포함한다. 일부 구현예들에서, 금속 산화물을 형성하기 위해 기판을 조건들에 노출시키는 단계는, 90% 초과의 금속 씨드층의 금속을 금속 산화물로 변환시키는 단계를 포함한다. 일부 구현예들에서, 방법은 어닐링 챔버 내에서 기판 지지부를 가열하는 단계를 더 포함하고, 기판은 가열된 기판 지지부 상에 제공된다. 일부 구현예들에서, 방법은 기판을 환원 처리에 노출시키기 전에 기판의 제 1 시트 저항을 측정하는 단계, 기판을 환원 처리에 노출시킨 후에 기판의 제 2 시트 저항을 측정하는 단계, 및 금속 산화물을 형성하기 위한 조건들에 기판을 노출시키기 전에 기판의 제 3 시트 저항을 측정하는 단계를 더 포함한다.
본 개시는 또한 금속 산화물 환원을 특징으로 하는 장치에 관한 것이고, 장치는 어닐링 챔버, 어닐링 챔버 내에서 기판을 홀딩하기 위한 기판 지지부로서, 기판은 금속 씨드층을 포함하는, 기판 지지부, 어닐링 챔버로부터 분리된 프로세싱 챔버, 및 다음의 동작들: (a) 어닐링 챔버 내로 산소를 제공하는 동작, (b) 어닐링 챔버 내에서 기판 지지부를 가열하는 동작, (c) 어닐링 챔버 내에서 금속 씨드층의 금속 산화물을 형성하도록 기판을 가열된 기판 지지부 및 산소에 노출시키는 동작, (d) 기판을 프로세싱 챔버로 이송시키는 동작, 및 (e) 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키는 조건들 하에서 기판을 환원 처리에 노출시키는 동작을 수행하기 위한 인스트럭션들로 구성되는, 제어기를 포함한다.
일부 구현예들에서, 산소를 어닐링 챔버 내로 제공하는 단계는 대기 조건들에 어닐링 챔버를 노출시키는 단계를 포함한다. 일부 구현예들에서, 어닐링 챔버를 개방하고 폐쇄하도록 구성된 도어 및 산소를 어닐링 챔버 내로 전달하기 위한 가스 유입부를 더 포함하고, 산소를 어닐링 챔버 내로 제공하는 단계는 어닐링 챔버가 폐쇄될 때 어닐링 챔버 내로 산소를 흘리는 단계를 포함한다. 일부 구현예들에서, 약 90% 초과의 금속 씨드층의 금속은 기판을 가열된 기판 지지부 및 산소에 노출시킨 후에 금속 산화물로 변환된다. 일부 구현예들에서, 제어기는 기판을 환원 처리에 노출시키기 전에 기판의 제 1 시트 저항을 측정하기 위한 인스트럭션들, 및 기판을 환원 처리에 노출시킨 후에 기판의 제 2 시트 저항을 측정하기 위한 인스트럭션들을 갖고서 더 구성된다. 일부 구현예들에서, 장치는 프로세싱 챔버에 커플링된 리모트 플라즈마 소스를 더 포함하고, 기판을 환원 처리에 노출시키는 단계는: 리모트 플라즈마 소스 내에서 환원성 가스 종의 리모트 플라즈마를 형성하는 단계로서, 리모트 플라즈마는: 환원성 가스 종으로부터의 라디칼들, 이온들, 및 자외 방사선 중 하나 이상을 포함하는, 환원성 가스 종의 리모트 플라즈마를 형성하는 단계, 및 기판을 리모트 플라즈마에 노출시키는 단계를 포함한다.
본 개시는 또한 금속 산화물 환원을 특징으로 하는 방법들에 관한 것이고, 방법은: (a) 프로세싱 챔버 내에서 기판 상에 형성된 금속 씨드층을 가진 기판을 제공하는 단계; (b) 금속 씨드층의 금속 산화물을 형성하도록 산화 환경에 기판을 노출시키는 단계; 및 (c) 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키는 조건들 하에서 기판을 환원 처리에 노출시키는 단계를 포함한다.
일부 구현예들에서, 기판을 산화 환경에 노출시키는 단계는 어닐링 챔버 내에서 기판을 산소에 노출시키는 단계를 포함하고, 기판은 어닐링 챔버 내의 산소에 대한 노출 동안 가열된 기판 지지부 상에서 가열된다. 일부 구현예들에서, 기판을 산화 환경에 노출시키는 단계는 기판을 직접 플라즈마 소스 또는 리모트 플라즈마 소스로부터의 산소 플라즈마에 노출시키는 단계를 포함한다. 기판의 온도는 금속 씨드층의 응집 온도 미만일 수 있다.
도 1a는 다마신 프로세스에서의 비아 에칭 전의 유전체층들의 개략적 단면의 예를 도시한다.
도 1b는 다마신 프로세스에서 에칭이 수행된 후의 도 1a의 유전체층들의 개략적 단면의 예를 도시한다.
도 1c는 다마신 프로세스에서 에칭된 구역들이 금속으로 충진된 후의 도 1a 및 도 1b의 유전체층들의 개략적 단면의 예를 도시한다.
도 2a는 산화된 금속층의 개략적 단면의 예를 도시한다.
도 2b는 금속 산화물의 제거에 기인한 보이드를 가진 금속층의 개략적 단면의 예를 도시한다.
도 2c는 금속층과 일체화되지 않은 반응 생성물을 형성하는 환원된 금속 산화물을 가진 금속층의 개략적 단면의 예를 도시한다.
도 2d는 금속층과 일체화된 막을 형성하는 환원된 금속 산화물을 가진 금속층의 개략적 단면의 예를 도시한다.
도 3은 금속 산화물 환원을 특징으로 하는 예시적인 방법을 예시하는 흐름도를 도시한다.
도 4는 금속 산화물 환원을 특징으로 하는 사용을 위한, 기판 상에 금속 산화물을 형성하기 위한 예시적인 프로세스 플로우를 예시하는 흐름도를 도시한다.
도 5는 전기도금 장치 내의 어닐링 챔버의 3-차원 사시도를 도시한다.
도 6은 프로세싱 챔버를 가진 리모트 플라즈마 장치의 단면 개략도의 예를 도시한다.
도 7a는 전기도금 장치의 평면 개략도의 예를 도시한다.
도 7b는 전기도금 장치와 함께 리모트 플라즈마 장치의 확대된 평면 개략도의 예를 도시한다.
도 7c는 전기도금 장치에 부착된 리모트 플라즈마 장치의 3-차원 사시도의 예를 도시한다.
도 8은 단일 어닐링 챔버를 통해 산화된 10개의 기판들에 대한 그리고 상이한 어닐링 챔버들을 통해 산화된 15개의 기판들에 대한 산화 전, 산화 후, 및 환원 후의 시트 저항의 측정치들을 도시한다.
도 9는 평균 값들에 대한 그리고 제 1 표준 편차값, 제 2 표준 편차값, 및 제 3 표준 편차값에 대한, 25개의 기판들에 대한 환원 후의 시트 저항 값들을 도시한다.
도 10은 200 ℃의 온도에서 2 분 동안 대기 어닐링을 겪은 200 Å의 구리 씨드층의 SEM (scanning electron microscopy) 이미지 및 TEM (transmission electron microscopy) 이미지를 도시한다.
도 11은 200 ℃의 온도에서 가변 시간들 동안 대기 어닐링을 겪은 200 Å 구리 씨드층의 SEM 이미지들을 도시한다.
도 12는 200 ℃의 온도에서 가변 시간들 동안 대기 어닐링을 겪은 200 Å 구리 씨드층의 TEM 이미지들을 도시한다.
도 13은 구리 씨드의 상이한 두께들에 대해 산화 전, 산화 후, 및 환원 후의 웨이퍼들의 이미지들을 도시한다.
도 14는 금속 산화물 환원을 특징으로 하는 또 다른 예시적인 방법을 예시하는 흐름도를 도시한다.
도 15는 금속 산화물 환원을 특징으로 하는 사용을 위한, 기판 상에 금속 산화물을 형성하기 위한 또 다른 예시적인 프로세스 플로우를 예시하는 흐름도를 도시한다.
도 16a는 금속 씨드층을 산화시키도록 구성된 플라즈마 프로세싱 시스템의 단면 개략도를 도시한다.
도 16b는 금속 산화물을 금속으로 환원시키도록 구성된 도 16a의 플라즈마 프로세싱 시스템의 단면 개략도를 도시한다.
도 17은 다양한 큐 (queue) 시간들에 대해, 산소 플라즈마에 의한 산화 전, 산소 플라즈마에 의한 산화 후, 및 수소 플라즈마에 의한 환원 후의 일련의 시트 저항 측정치들 및 막 불균일도 측정치들을 도시한다.
이하의 기술에서, 다수의 구체적인 상세들이 제공된 개념들의 철저한 이해를 제공하기 위해 제시된다. 제공된 개념들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 실시예들과 함께 기술될 것이지만, 이는 이들 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
서론
본원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 본 기술 분야의 당업자는 "부분적으로 제조된 집적 회로"가 그 상에서의 다수의 집적 회로 제조 스테이지들 중 임의의 스테이지 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 mm, 300 mm, 또는 450 mm의 직경을 갖는다. 다음의 상세한 기술은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명이 이로 제한되지 않는다. 작업 피스는 다양한 형상들, 크기들, 및 재료들일 수도 있다. 반도체 웨이퍼들 이외에, 본 발명을 이용할 수도 있는 다른 작업 피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학적 엘리먼트들 (element), 마이크로-기계적 디바이스들 등과 같은 다양한 물품들을 포함한다.
전기화학 반응을 통해 전도성 표면 상에 금속을 증착하거나 도금하는 프로세스는 일반적으로 전기도금 또는 전기충진으로서 지칭될 수 있다. 이것은 무전해 도금 기법들을 포함할 수 있다. 벌크 전기충진은 트렌치들 및 비아들을 충진하도록 상대적으로 대량의 구리를 도금하는 것을 지칭한다.
본 개시가 다양한 적용들에서 사용될 수도 있을지라도, 하나의 매우 유용한 적용은 반도체 디바이스들의 제작에서 흔히 사용되는 다마신 프로세스 또는 듀얼 다마신 프로세스이다. 다마신 프로세스 또는 듀얼 다마신 프로세스는 구리 상호 접속부들과 같은, 금속 상호 접속부들을 포함할 수도 있다. 듀얼 다마신 기법의 일반화된 버전은 듀얼 다마신 프로세스의 스테이지들 중 일부를 도시하는, 도 1a 내지 도 1c를 참조하여 기술될 수도 있다.
도 1a는 다마신 프로세스에서의 비아 에칭 전의 하나 이상의 유전체층들의 개략적 단면의 예를 도시한다. 듀얼 다마신 프로세스에서, 유전체의 제 1 층 및 제 2 층은 보통 연속적으로 증착되고, 가능하게는 실리콘 질화물층과 같은, 에칭 정지층의 증착에 의해 분리된다. 이들 층들은 제 1 유전체층 (103), 제 2 유전체층 (105), 및 에칭 정지층 (107) 으로서 도 1a에 도시된다. 이들은 부분이 (디바이스 레벨에서) 아래에 놓인 금속화층 또는 게이트 전극층일 수도 있는, 기판 (109) 의 인접한 부분 상에 형성된다.
제 2 유전체층 (105) 의 증착 후에, 프로세스는 비아들이 그 후에 에칭될 개구들을 가진 비아 마스크 (111) 를 형성한다. 도 1b는 다마신 프로세스에서 에칭이 수행된 후의 도 1a의 하나 이상의 유전체층들의 개략적 단면의 예를 도시한다. 다음에, 비아들은 에칭 정지층 (107) 의 레벨을 통해 하향으로 부분적으로 에칭된다. 비아 마스크 (111) 는 도 1b에 도시된 바와 같이 벗겨지고 라인 마스크 (113) 로 대체된다. 제 2 에칭 동작은 제 2 유전체층 (105) 내에서 라인 경로들 (115) 을 규정하기 위해 충분한 양의 유전체를 제거하도록 수행된다. 에칭 동작은 또한 도 1b에 예시된 바와 같이 아래에 놓인 기판 (109) 과 콘택트하도록 하향으로, 제 1 유전체층 (103) 을 통해 비아 홀들 (117) 을 연장시킨다.
그 후에, 프로세스는 유전체층들 (103 및 105) 의 (측벽들을 포함하는) 노출된 표면들 상에 상대적으로 전도성 배리어층 재료 (119) 의 얇은 층을 형성한다. 도 1c는 에칭된 구역들이 다마신 프로세스에서 전도성 배리어층 재료로 코팅되었고 금속으로 충진된 후의 도 1a 및 도 1b의 유전체층들의 개략적 단면의 예를 도시한다. 전도성 배리어층 재료 (119) 는 예를 들어, 탄탈륨 질화물 (TaN) 또는 티타늄 질화물 (TiN) 로 형성될 수도 있다. CVD (chemical vapor deposition) 동작, ALD (atomic layer deposition) 동작, 또는 PVD (physical vapor deposition) 동작은 전도성 배리어층 재료 (119) 를 증착하도록 통상적으로 채용된다.
전도성 배리어층 재료 (119) 의 상단부 상에서, 이어서 프로세스는 비아 홀들 및 라인 경로들 (117 및 115) 내에 전도성 금속 (121) (통상적으로, 반드시 그런 것은 아니지만, 구리) 을 증착한다. 통상적으로, 이 증착은 2개의 단계들: 금속 씨드층의 초기 증착, 뒤이어 도금에 의한 금속의 벌크 증착으로 수행된다. 금속 씨드층은 PVD, CVD, 무전해 도금, 또는 기술에 공지된 임의의 다른 적합한 증착 기법에 의해 증착될 수도 있다. 구리의 벌크 증착은 라인 경로들 (115) 을 충진할 뿐만 아니라, 완전한 충진을 보장하도록 제 2 유전체층 (105) 의 상단부 상의 모든 노출된 구역들을 커버하는 것을 주의하라. 금속 (121) 은 IC 디바이스들에 대한 구리 상호 접속부들로서 역할을 할 수도 있다. 일부 실시예들에서, 구리와는 다른 금속들은 씨드층에 사용된다. 이러한 다른 금속들의 예들은 코발트, 텅스텐, 및 루테늄을 포함한다.
금속 씨드층의 초기 증착은 도금 프로세스를 사용하여 달성될 수 있다. 예를 들어, 전기도금 프로세스는 전도성 표면 상에 컨포멀하고 (conformal) 연속적인 구리 씨드층을 증착할 수 있다. 구리 씨드층을 전기도금하는 것은 반-귀금속 (semi-noble metal) 층을 전기도금하는 것을 포함할 수 있다. 반-귀금속층은 확산 배리어의 일부일 수도 있거나 확산 배리어로서 역할을 할 수도 있다. 탄탈륨 및 탄탈륨 질화물과 같은 통상적인 확산 배리어층들은, 상대적으로 고 저항률 (약 220 μΩ-cm) 을 갖고, 또한 접착성의 치밀하게 핵이 있는 막들의 전착 (electrodeposition) 이 그 위에서 어렵거나 불가능한 매우 안정한 산화물들을 형성한다. 약 9 μΩ-cm의 저항률을 가진, 루테늄, 코발트, 및 다른 반-귀금속들은, 상대적으로 저 저항률의 확산 배리어/라이너들을 제공하도록 TaN 층 상에 증착될 수도 있다.
금속 씨드층들은 공기 내에서 산소 또는 수증기와 용이하게 반응할 수 있고 순수한 금속으로부터 금속 산화물과 매립된 순수한 금속의 혼합된 막으로 산화할 수 있다. 대기 조건들 하의 산화가 일부 금속들의 얇은 표면층으로 제한될 수도 있지만, 이러한 얇은 층은 현재 기술 노드들에서 사용되는 얇은 씨드층들의 상당한 분율 또는 아마도 전체 두께를 나타낼 수도 있다. 상대적으로 얇은 층들은 4x nm 노드, 3x nm 노드, 2x nm 노드, 및 1x nm 노드 및 10 nm 미만의 노드와 같은 기술 노드에 의해 필요해질 수도 있다. 상대적으로 얇은 금속층들을 필요로 하는 기술 노드들 내에서 비아들 및 트렌치들의 폭에 대한 높이 종횡비는 약 5:1 이상일 수 있다. 이러한 기술 노드들에서, 금속 씨드층의 두께는 결과로서 평균적으로 약 100 Å 미만일 수 있다. 일부 구현예들에서, 금속 씨드층의 두께는 평균적으로 약 50 Å 미만일 수 있다.
이하의 반응식 1 및 반응식 2에서 도시된 일반적 화학 반응들을 통해서, 씨드층들 및 반-귀금속층들에서 사용된 금속들은 금속 산화물들 (Mox) 로 변환되지만, 금속 표면들 (M) 과 주변 산소 또는 수증기 사이의 정확한 반응 메커니즘들은 특성들 및 산화 상태에 따라서 변할 수 있다.
반응식 1: 2M(s) + O2(g)
Figure pat00001
2MOx(s)
반응식 2: 2M(s) + H2O(g)
Figure pat00002
M2Ox + H2(g)
예를 들어, 기판들 상에 증착된 구리 씨드는 공기에 노출되면 구리 산화물을 급속하게 형성한다고 알려져 있다. 구리 산화물 막은 아래에 놓인 구리 금속의 상단부 상에 대략 20 Å 그리고 더 많게는 50 Å 두께까지의 층을 형성할 수 있다. 또한, 기판들 상에 증착된 코발트층들은 코발트 산화물을 신속하게 형성한다고 알려져 있다. 금속 씨드층들이 보다 더 얇아짐에 따라서, 대기 조건들에서의 산화로부터의 금속 산화물들의 형성은 상당한 기술적 과제들을 제기할 수 있다.
순수한 금속 씨드의 금속 산화물로의 변환은 몇몇 문제들로 이어질 수 있다. 이는 현 구리 다마신 프로세싱에 있어서 문제가 될 뿐만 아니라 상이한 전도성 금속들, 예를 들어 루테늄, 코발트, 은, 알루미늄 및 이들 금속들의 합금들을 사용하는 전착 프로세스들에 대해서도 그러하다. 먼저, 산화된 표면은 그 위에 도금하기 어렵다. 전기도금 욕 첨가제들이 금속 산화물 및 순수한 금속 상에서 가질 수 있는 상이한 상호작용들로 인해서, 불균일한 도금이 발생할 수 있다. 금속 산화물과 순수한 금속 사이의 전도율 차이들로 인해서, 불균일한 도금이 더욱 더 발생할 수도 있다. 둘째로, 보이드들이 금속 씨드의 부분들이 도금을 지원하는데 이용되지 못하게 할 수도 있는 금속 씨드 내에 형성될 수도 있다. 보이드들은 부식성 도금 용액들에 노출되는 동안에 금속 산화물의 용해의 결과로서 형성될 수도 있다. 보이드들은 또한 불균일한 도금으로 인해서 표면 상에 형성될 수도 있다. 추가적으로, 산화된 표면의 상단부 상에 벌크 금속을 도금하는 것은 접착 문제 또는 박리 문제로 이어질 수 있으며, 이러한 문제들은 차후의 프로세싱 단계들, 예를 들어, 화학적 기계적 평탄화 (CMP) 이후에 보이드들로 더욱 더 이어질 수 있다. 에칭, 불균일한 도금, 박리, 또는 다른 요인들로부터 기인되는 보이드들은 금속 씨드층을 불연속적으로 만들고 도금을 지원하는데 쓸모없게 할 수도 있다. 사실상, 현대의 다마신 금속 씨드층들은 상대적으로 얇기 때문에, 예를 들어, 약 50 Å 이하로 얇기 때문에, 심지어 적은 산화도 전체 층 두께를 소비할 수도 있다. 셋째로, 금속 산화물 형성은 전착-후 단계들, 예를 들어, 캡핑 단계를 방해할 수도 있는데, 금속 산화물이 캡핑 층들에 대한 접착력을 제한할 수도 있다.
상술한 이슈들은 또한 반-귀금속층들 상에 금속 씨드층들을 도금하는 경우에 발생할 수도 있다. 반-귀금속층, 예를 들어, 코발트층을 갖는 기판들은 반-귀금속층의 상당한 부분들이 산화물로 변환되게 할 수도 있다. 금속 씨드층, 예를 들어, 구리 씨드층을 반-귀금속층 상에 도금하는 것은 보이드 형성, 피팅, 불균일한 도금, 및 접착/박리 문제들로 이어질 수 있다.
도 2a 내지 도 2d는 전도성 배리어층 상에 증착된 금속층의 개략적 단면들의 예들을 도시한다. 그러나, 당업자는 금속층이 전도성 배리어층의 일부일 수도 있다는 것을 이해할 것이다.
도 2a는 전도성 배리어층 (219) 위에 증착된 산화된 금속층 (220) 의 개략적 단면의 예를 도시한다. 일부 구현예들에서, 금속층 (220) 은 대기 조건들에서 산소 또는 수증기에 노출될 시 산화될 수도 있고, 이는 금속층 (220) 의 부분에서 금속을 금속 산화물 (225) 로 변환시킬 수 있다. 금속 산화물 (225) 은 천연 (native) 산화물일 수 있다. 본 개시에서, 금속층 (220) 은 금속 산화물 환원의 성능을 특징으로 하는 사용하기 위한, 어닐링 챔버 내에서 산화될 수도 있고, 여기서 금속 산화물 (225) 은 열적 산화물일 수 있다.
도 2b는 금속 산화물의 제거에 기인한 보이드를 가진 금속층 (220) 의 개략적 단면의 예를 도시한다. 일부 구현예들에서, 일부 용액들은 금속 산화물 (225) 의 제거에 의해 금속 산화물 (225) 을 처리하고, 보이드들 (226) 을 발생시킨다. 예를 들어, 금속 산화물 (225) 은 산 또는 다른 화학 물질에 의한 산화물 에칭 또는 산화물 용해에 의해 제거될 수 있다. 보이드 (226) 의 두께가 금속층 (220) 의 얇음에 대해 상대적으로 클 수 있기 때문에, 차후의 도금에서 보이드 (226) 의 효과는 상당할 수 있다.
도 2c는 금속층과 일체화되지 않은 반응 생성물을 형성하는 환원된 금속 산화물을 가진 금속층 (220) 의 개략적 단면의 예를 도시한다. 일부 구현예들에서, 일부 처리들은 금속층 (220) 과 금속을 응집시키는 조건들 하에서 금속 산화물 (225) 을 환원시킨다. 일부 구현예들에서, 환원 기법들은 금속층 (220) 과 응집할 수 있는, 구리 파우더와 같은, 금속 입자들 (227) 을 생성한다. 금속 입자들 (227) 은 금속층 (220) 과 일체화된 막을 형성하지 않는다. 대신에, 금속 입자들 (227) 은 금속층 (220) 에 대해서 연속적이거나, 컨포멀하거나, 및/또는 부착되는 것도 아니다.
도 2d는 금속층 (220) 과 일체화된 막 (228) 을 형성하는 환원된 금속 산화물을 가진 금속층 (220) 의 개략적 단면의 예를 도시한다. 일부 실시예들에서, 환원성 가스 종으로부터의 라디칼들, 환원성 가스 종의 이온들, 환원성 가스 종의 여기로부터 생성된 UV 방사선, 또는 환원성 가스 종 자체가 금속 산화물 (225) 을 환원시킬 수 있다. 환원성 가스 분위기를 위한 프로세스 조건들이 적정하게 조정될 때, 도 2a에서의 금속 산화물 (225) 은 금속층 (220) 과 일체화된 막 (228) 으로 변환시킬 수도 있다. 막 (228) 은 파우더가 아니다. 도 2c의 예와 대조적으로, 막 (228) 은 이 막을 금속층 (220) 과 일체화시키는 몇몇 특성들을 가질 수 있다. 예를 들어, 막 (228) 은 금속층 (220) 의 윤곽들에 걸쳐서 실질적으로 연속적이면서 컨포멀할 수 있다. 또한, 막 (228) 은 막 (228) 이 금속층 (220) 으로부터 쉽게 박리되지 않도록, 금속층 (220) 에 실질적으로 접착될 수 있다.
금속 산화물 환원을 특징으로 하는 사용을 위한, 열적 산화물 성장에 의한 금속 산화물 형성
금속 산화물 환원 프로세스의 성능을 특징으로 하도록 사용될 수 있는, 기판 상에 안정한, 반복할 수 있는, 그리고 균일한 금속 산화물을 생성하는 방법이 본 명세서에 개시된다. 기판 각각은 금속 산화물을 금속으로 환원시키기 위한 장치를 적격화하고 테스트하도록 사용될 수 있는 금속 산화물을 제공할 수 있다. 금속 산화물은 어닐링 챔버 내에 형성될 수 있고 그리고 금속의 천연 산화물들과 유사하게 거동할 수 있다. 일부 구현예들에서, 장치는 리모트 플라즈마 소스를 가진 플라즈마 프로세싱 환원 장치일 수 있다.
금속 씨드층 상에 금속 산화물을 형성하는 것은 반도체 프로세싱에서, 특히 전기도금에서 보다 먼저 논의된 문제들의 일부의 관점에서, 일반적으로 바람직하지 않다. 따라서, 시스템들 및 장치들은 금속 산화물들의 형성을 제거하거나 제한하도록 통상적으로 설계된다. 그러나, 이러한 시스템들 및 장치들이 금속 산화물을 금속으로 환원시키는 것에 대해 얼마나 효과적으로 수행하는지는 불확실할 수도 있다. 금속 산화물을 금속으로 환원시키기 위한 이들 시스템들 및 장치들의 유효성을 모니터링하고 테스트하도록, 프로세스는 기판 상에 안정하고 균일한 금속 산화물을 지속적으로 생성하기 위해 제공된다.
구리 산화물들과 같은, 금속 산화물들을 형성하는 것을 목표로 하는 적용들을 위해, 현 기술은 PECVD 챔버를 사용할 수 있다. PECVD 챔버를 사용하여, 구리 산화물 및 탄소 막은 하나 이상의 기판들 상에서 성장될 수 있다. 하나 이상의 기판들은 PECVD 챔버 내로 배치되고 그리고 무선-주파수 (RF) 플라즈마는 구리 산화물을 형성하거나 탄소 막을 증착하도록 사용된다. 그러나, 하나 이상의 기판들 상에서 성장된 구리 산화물은 기판 각각에 대해 균일하지 않고, 그리고 기판 각각 상에서 성장된 구리 산화물은 기판-대-기판에 대해 일관되지 않다. 게다가, 구리 산화물 자체는 천연 구리 산화물들과 동일한 특성들을 공유하지 않는다. 임의의 이론으로 제한되는 일 없이, PECVD 프로세스를 사용하여 성장된 구리 산화물은 부분적으로 표면 거칠기의 차이들에 기인하여 그리고 부분적으로 구리 산화물의 플라즈마 형성 동안 가스들의 결합에 기인하여 상이한 특성들을 공유할 수도 있다. 게다가, PECVD 프로세스는 사용 동안 전용 툴일 수도 있고 그리고 보다 많은 장비 설정을 필요로 할 수 있고, 보다 긴 프로세스 시간들을 필요로 할 수 있고 그리고 다른 프로세스들을 동시에 실행할 (run) 능력을 억제할 수 있다. PECVD 프로세스는 또한 구리와는 다른 금속들과 양립 가능하지 않을 수도 있다.
본 개시는 플라즈마를 사용하는 증기 증착보다는 열적 산화물을 사용할 수 있다. 시간, 온도, 및 금속 막 두께의 조정들은, 구체적인 적용들에 대한 결과들을 튜닝하도록 (tune) 행해질 수 있다. 안정한, 반복할 수 있는, 그리고 균일한 금속 산화물 막들을 형성하는 것은, 적절한 조건들 하에서 열적 산화물 성장을 사용하여 발생할 수 있다. 열적 산화물 성장은 다음의 장점들을 가질 수 있다. 첫째로, 설정 시간이 PECVD 설정과 비교할 때 감소될 수 있다. 둘째로, 쓰루풋은 PECVD가 어닐링 챔버보다 더 느리게 동작할 수 있기 때문에 보다 높을 수 있다. 셋째로, 어닐링 챔버와 일체화된 툴은 심지어 열적 산화물 성장 동안에도 다른 프로세싱을 이용할 수 있고, 반면에 PECVD 툴은 통상적으로 플라즈마 프로세스에만 전용이다. 넷째로, 열적 산화물 성장으로부터 발생한 산화물은 보다 균일하고 그리고 프로세스는 PECVD 프로세스보다 더 반복할 수 있다. 다섯째로, 열적 산화물은 PECVD 산화물보다 그 천연 산화물과 보다 유사하게 거동하는 특성들을 가진다. 여섯째로, 기판들은 배치 프로세싱될 수 있고 그리고 열적 산화물 성장과 함께 나중의 사용을 위해 저장될 수 있다. 마지막으로, PECVD는 구리로 제한될 수도 있지만, 다른 금속들이 유사한 목적들을 위해 프로세싱될 수도 있다.
도 3은 금속 산화물 환원을 특징으로 하는 예시적인 방법을 예시하는 흐름도를 도시한다. 프로세스 (300) 에서 동작들은 상이한 순서들로 및/또는 상이하거나, 보다 적거나, 또는 추가의 동작들로 수행될 수도 있다.
프로세스 (300) 는 산소가 어닐링 챔버 내로 제공되는, 블록 305에서 시작할 수 있다. 어닐링 챔버들은 거의 또는 전혀 산소를 함유하지 않는 분위기를 통상적으로 유지한다. 일부 구현예들에서, 어닐링 챔버들은 수소, 질소, 및 헬륨과 같은 캐리어 또는 비활성 가스들을 흘리도록 구성된 질량 유량 제어기들 (MFC들) 을 포함할 수도 있다. 그러나, 블록 305에서 어닐링 챔버는 산소-풍부 분위기를 생성하도록 산소를 수용할 수 있다. 일부 구현예들에서, 산소-풍부 분위기는 약 5% 내지 약 100% 산소, 또는 약 15% 내지 약 100% 산소를 포함할 수 있다. 블록 305에서 어닐링 챔버 내의 산소-풍부 분위기는 산소에 더하여 하나 이상의 가스들을 포함할 수 있다. 일부 구현예들에서, 산소-풍부 분위기는 수소, 질소, 헬륨, 네온, 크립톤, 크세논, 라돈, 및 아르곤 중 적어도 하나를 또한 포함할 수 있다. 이들 가스들의 농도는 MFC들에 의해 제어될 수 있다.
블록 305에서 산소를 어닐링 챔버 내로 제공하는 것은 상이한 방식들로 발생할 수 있다. 일부 구현예들에서, 산소를 어닐링 챔버 내로 제공하는 것은 어닐링 챔버를 대기 조건들에 노출시키는 것을 포함한다. 대기 조건들은 적어도 600 Torr의 압력 및 적어도 15%의 산소 함량을 포함할 수 있다. 대기 조건들에 대한 노출은 공기로 하여금 어닐링 챔버 내로 흐르게 하고, 그리고 어닐링 챔버는 대기 조건들과 평형을 유지하도록 시간의 지속 기간 동안 노출될 수 있다. 일부 구현예들에서, 산소를 어닐링 챔버 내로 제공하는 것은 어닐링 챔버를 대기 조건들로부터 폐쇄하는 것 및 산소를 어닐링 챔버 내로 흘리는 것을 포함한다. MFC 또는 어닐링 챔버에 유체적으로 커플링된 또 다른 컴포넌트를 사용하여, 제어된 양의 산소가 어닐링 챔버 내로 흐를 수 있다. 어닐링 챔버는 제어된 분위기를 제공하도록 외부 환경으로부터 시일링될 (seal) 수도 있고, 제어된 분위기에서 산소를 포함한 가스들은 분위기 내에서 가스들의 농도를 제어하도록 어닐링 챔버 내로 전달될 수도 있다. 일부 구현예들에서, 하나 이상의 도어들은 외부 환경으로부터 어닐링 챔버를 시일링하도록 폐쇄될 수도 있다. 하나 이상의 도어들은 어닐링 챔버 내의 증가된 가스 순도 및 개선된 가스 플로우 분포 및 열 제어를 용이하게 할 수도 있다.
프로세스 (300) 의 블록 310에서, 기판 상에 형성된 금속 씨드층을 가진 기판이 어닐링 챔버 내에 제공된다. 일반적으로, 금속 씨드층은 PVD, CVD, ALD, 전기도금, 및 무전해 도금과 같은, 임의의 적절한 증착 기법을 사용하여 증착될 수 있다. 일부 구현예들에서, 금속 씨드층은 PVD를 사용하여 기판 상에 증착될 수 있다. 일부 구현예들에서, 금속 씨드층은 블랭킷 기판 상에 증착될 수도 있고, 블랭킷 기판은 산화 전, 산화 후, 및 환원 후 측정될 수 있는 막을 반복적으로 생성하도록 비히클 (vehicle) 을 제공할 수 있다. 일부 구현예들에서, 금속 씨드층은 패터닝된 기판 상에 증착될 수도 있고, 기판은 측벽들 및 하단부들을 가진 하나 이상의 피처들을 포함할 수 있다. 피처들은 다마신 프로세스에서, 구리 상호 접속부들에 대한 트렌치들, 리세스들, 및 비아들을 포함할 수 있다. 일부 구현예들에서, 피처들은 약 5:1 초과, 예를 들어, 약 10:1 초과의 폭에 대한 높이 종횡비를 가진다. 다양한 금속 타입들을 가진 패터닝된 기판들이 사용될 수도 있고 산화물 환원에 대한 기하학적인 영향들을 더 이해하고 평가하도록 산화될 수도 있다.
금속 씨드층은 기판 상에 증착될 수 있고, 금속 씨드층은 블랭킷 기판의 표면 위 또는 패터닝된 기판의 피처들 위에 형성될 수 있다. 금속 씨드층 내의 금속들의 예들은 이로 제한되지 않지만, 구리, 루테늄, 팔라듐, 이리듐, 로듐, 오스뮴, 코발트, 니켈, 금, 은, 및 알루미늄, 또는 이들 금속들의 합금들을 포함할 수 있다. 일부 구현예들에서, 금속 씨드층은 구리 씨드층일 수 있다. 얇은 금속 씨드층보다는, 금속 씨드층은 상대적으로 두꺼울 수 있다. 일부 구현예들에서, 금속 씨드층은 약 50 Å 내지 약 400 Å, 예를 들어, 약 100 Å 내지 약 250 Å의 평균 두께를 가질 수 있다. 보다 두꺼운 금속 씨드층은 시간에 걸친 화학 물질의 변동들에 덜 민감할 수 있다. 일부 구현예들에서, 금속 씨드층은 반-귀금속층 상에 증착될 수도 있고, 반-귀금속층은 상대적으로 저 저항률의 확산 배리어/라이너로서 역할을 할 수 있다. 일부 구현예들에서, 반-귀금속층은 코발트를 포함할 수 있다. 금속 씨드층 및 반-귀금속층은 블랭킷 기판 상에 형성될 수도 있다. 그러나, 일부 구현예들에서, 금속 씨드층 및 반-귀금속층 중 하나 또는 양자는 연속적일 수 있고 그리고 패터닝된 기판의 피처들 위에 컨포멀하게 증착될 수 있다.
일부 구현예들에서, 어닐링 챔버는 전기도금 장치의 일부일 수도 있다. 그와 같이, 어닐링 챔버 내의 산화는 개별 툴로의 이송 없이 전기도금 프로세스와 동일한 장치에서 발생할 수 있다. 일부 구현예들에서, 산화 프로세스, 환원 프로세스, 및 도금 프로세스는 동일한 툴 내에서 일체화될 수 있고, 이로써 장비 설정량이 감소한다. 게다가, 동일한 툴은 산화가 어닐링 챔버 내에서 수행될 때에도 다른 프로세싱을 이용할 수도 있고, 반면에 개별 툴 (예를 들어, PECVD 툴) 은 단일 프로세싱 단계에만 전용일 수도 있다. 쓰루풋은 산화 및 다른 프로세싱 단계들을 동시에 실행함으로써 증가될 수도 있고, 뿐만 아니라 어닐링 챔버 내에서 산화에 대한 쓰루풋은 PECVD 툴 내의 산화보다 더 높을 수 있다.
일부 구현예들에서, 어닐링 챔버는 기판을 지지하기 위한 기판 지지부 (예를 들어, 페데스탈) 를 포함할 수 있다. 일부 구현예들에서, 기판 지지부는 온도-제어될 수 있다. 기판 지지부는 전도, 대류, 복사, 또는 이들의 조합들을 통해 열을 기판으로 전달할 수 있다. 일부 구현예들에서, 기판 지지부는 약 50 ℃ 내지 약 500 ℃, 예를 들어, 약 100 ℃ 내지 약 400 ℃의 온도로 기판을 가열하도록 가열될 수 있다. 가열된 기판 지지부는 기판의 산화 레이트를 증가시킬 수 있거나 그렇지 않으면 기판의 산화 레이트를 제어할 수 있다.
프로세스 (300) 는 어닐링 챔버 내에서 기판 지지부를 가열하는 것을 더 포함할 수 있다. 일부 구현예들에서, 기판은 가열된 기판 지지부 상에 제공될 수 있다. 가열된 기판 지지부의 온도는 약 50 ℃ 내지 약 500 ℃, 약 100 ℃ 내지 약 400 ℃, 또는 약 150 ℃ 내지 약 250 ℃일 수 있다.
블록 310에서 기판을 어닐링 챔버 내로 제공하는 것은 블록 305에서 산소를 어닐링 챔버 내로 제공하기 전, 제공 후, 또는 동시에 발생할 수 있다. 따라서, 블록 305 및 블록 310의 순서는 서로 교환 가능할 수도 있다. 그와 같이, 기판은 어닐링 챔버 내로 이미 제공될 수도 있거나 어닐링 챔버 내에 산소-풍부 분위기를 생성하는 것과 동시에 어닐링 챔버 내로 제공될 수도 있다.
프로세스 (300) 의 블록 315에서, 기판은 어닐링 챔버 내에서 금속 씨드층의 금속 산화물을 형성하기 위한 조건들에 노출된다. 어닐링 챔버 내에 제공된 기판은 어닐링 챔버의 산소-풍부 분위기에 노출될 수도 있다. 산소는 반응식 1에 도시된 화학 반응으로 금속 산화물을 형성하도록 금속과 반응할 수도 있다. 기판은 금속 씨드층의 전부 또는 실질적으로 전부를 금속 산화물로 변환시키도록 시간의 지속 기간 동안 산소-풍부 분위기에 노출될 수도 있다. 일부 구현예들에서, 금속 산화물을 형성하기 위한 조건들에 기판을 노출시키는 것은 약 90% 초과의 금속 씨드층의 금속을 금속 산화물로 변환시키는 것을 포함한다.
일부 구현예들에서, 기판을 금속 산화물을 형성하기 위한 조건들에 노출시키는 것은 어닐링 챔버 내에서 기판을 산소에 그리고 가열된 기판 지지부에 동시에 노출시키는 것을 포함한다. 따라서, 기판은 어닐링 챔버의 분위기 내에서 산소에 노출되는 동안 상승된 온도로 가열될 수 있다. 금속 씨드층의 열적 산화물들은 금속 씨드층의 천연 산화물들과 유사하게 거동하는 기판 상에 형성될 수 있다. 일부 구현예들에서, 열적 산화물을 형성하기 위한 조건들은 적어도 산소-풍부 분위기 및 상승된 온도를 포함하고, 산소-풍부 분위기는 적어도 약 5% 산소 및 약 100% 산소 또는 약 15% 산소 내지 100% 산소를 포함할 수 있고, 그리고 기판의 온도는 약 100 ℃ 내지 약 400 ℃로 가열될 수 있다. 어닐링 챔버 내의 압력은 약 1x10-3 Torr 내지 약 1520 Torr일 수 있다. 일부 구현예들에서, 기판은 금속 씨드층의 전부 또는 실질적으로 전부를 금속 산화물로 변환시키도록 시간의 충분한 기간 동안 이러한 조건들에 노출될 수 있고, 시간의 기간은 약 1 분 내지 약 10 분일 수 있다. 그럼에도 불구하고, 노출 동안의 시간 및 온도는 금속 씨드층의 두께 및 금속의 타입에 따라 변할 수 있다. 노출 동안의 시간 및 온도는 산화 전 및 후의 기판의 재현가능한 (reproducible) 저항률 변화를 달성하도록 구성될 수 있다. 노출 동안의 시간 및 온도는 산화 전 및 환원 후의 기판의 재현가능한 저항률 변화를 달성하도록 또한 구성될 수 있다. 일부 구현예들에서, 노출 동안의 시간 및 온도는 적어도 90% 이상의 금속을 금속 산화물로 산화시키도록 선택될 수 있다. 산화가 완료될 때 또는 목표된 양의 산화가 발생하였을 때, 기판은 냉각될 수도 있다. 일부 구현예들에서, 기판은 반응을 중단시키도록 냉각된 페데스탈로 이송될 수도 있다.
어닐링 챔버 내에서 조건들에 노출된 후 형성된 금속 산화물은 안정하고, 반복할 수 있고, 그리고 균일할 수 있다. 금속 산화물은 기판이 심지어 긴 시간의 기간들 후에도 동일하거나 또는 실질적으로 동일하도록 시간에 걸쳐 화학적으로 안정하게 남아있다. 따라서, 기판은 저장부 내에 있는 동안 물리적으로 또는 화학적으로 변화들을 겪는 일 없이 나중의 사용을 위해 저장될 수 있다. 금속 산화물은 금속 산화물의 특성들이 어닐링 챔버 내에서 특정한 조건들 하에서 지속적으로 재현될 (reproduce) 수 있다는 점에서 반복할 수 있다. 예를 들어, 기판이 특정한 시간 및 온도에 노출될 때, 산화 전 및 산화 후의 기판의 일관된 저항률 변화가 기판-대-기판에 대해 재현될 수 있다. 또한, 금속 산화물은 금속 씨드층의 산화가 기판에 걸쳐 균일하거나 PECVD 산화 프로세스보다 적어도 더 균일하다는 점에서 균일하다. 예를 들어, 금속 씨드층의 산화량은 기판의 중심으로부터 에지로 상당히 변화하지 않는다.
어닐링 챔버 내의 가스들의 농도들은 금속 산화물의 특성들을 변경하도록 사용될 수도 있다. 상이한 반응성 가스들은 금속 씨드층 상에서 성장하는 막의 조성물을 변경하도록 어닐링 챔버 내로 도입될 수도 있다. 또한, 산소의 플로우를 포함하는, 어닐링 챔버 내의 가스들의 플로우를 제어함으로써, 산화 레이트, 산화량 및 금속 씨드층과의 화학 반응들의 특성이 변화될 수 있다. 보다 정확한 조성물 제어를 위해, 가스들의 플로우는 MFC들에 의해 제어될 수 있다. 가스들은 기판에 걸쳐 보다 큰 균일도의 분포를 제공하도록 확산기 시스템을 통해 흐를 수도 있다. 또한, 어닐링 챔버 내의 가스들의 온도는 금속 산화물의 특성들을 변경하도록 사용될 수도 있고, 하나 이상의 가스들은 어닐링 챔버 내에서 가열 또는 냉각될 수도 있다. 일부 구현예들에서, 진공 펌프는 어닐링 챔버 내에서 분위기의 압력을 변경할 수 있고, 이는 금속 산화물의 특성들을 더 변화시킬 수 있다. 진공 펌프는 가스 주입 전 및 가스 주입 동안 어닐링 챔버 내의 분위기를 더 제어할 수 있다.
프로세스 (300) 의 블록 320에서, 기판은 프로세싱 챔버 내에 제공된다. 프로세싱 챔버는 금속 산화물들을 금속으로 환원시키도록 구성될 수도 있다. 일부 구현예들에서, 기판은 어닐링 챔버로부터 프로세싱 챔버로 이송될 수도 있다. 일부 구현예들에서, 기판은 저장부로부터 프로세싱 챔버로 이송될 수도 있다. 프로세싱 챔버는 건식 환원 처리 또는 습식 환원 처리를 사용하여 금속 산화물들을 금속으로 환원시키도록 구성될 수도 있다. 건식 환원 처리에 대해, 프로세싱 챔버는 리모트 플라즈마 소스를 가진 플라즈마 프로세싱 챔버일 수 있다. 일부 구현예들에서, 프로세싱 챔버는 전기도금 장치의 일부일 수 있다. 따라서, 산화를 위한 어닐링 챔버, 금속 산화물들을 금속으로 환원시키기 위한 프로세싱 챔버, 및 금속 씨드층 상의 벌크 금속을 도금하기 위한 도금 스테이션이 단일 툴에 일체화될 수도 있다.
프로세스 (300) 의 블록 325에서, 기판은 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키는 조건들 하에서 환원 처리에 노출된다. 일부 구현예들에서, 환원 처리는 환원성 가스 종의 리모트 플라즈마를 형성하는 것을 포함하는 건식 처리이다. 환원성 가스 종의 예들은 이로 제한되지 않지만 수소 및 암모니아를 포함할 수 있다. 리모트 플라즈마는 환원성 가스 종의 라디칼들, 환원성 가스 종의 이온들, 및 환원성 가스 종의 여기로부터 생성된 UV 방사선을 포함할 수 있다. 금속 씨드층의 금속 산화물은 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키도록 리모트 플라즈마에 노출될 수 있다. 금속 씨드층과 일체화된 막의 특성들은 도 2a 내지 도 2d에 대해 더 상세히 논의된다.
이 리모트 플라즈마는 환원성 가스 종의 라디칼들, 예를 들어, H*, NH2 *, 또는 N2H3 *을 포함할 수도 있다. 환원성 가스 종의 라디칼들은 순수한 금속성 표면을 생성하도록 금속 산화물 표면과 반응한다. 이하에서 입증되는 바와 같이, 반응식 3은 환원성 가스 종, 예를 들어 수소 가스가 수소 라디칼들로 분해되는 예를 나타낸다. 반응식 4는 금속 산화물을 금속으로 변환시키도록 수소 라디칼들이 금속 산화물 표면과 반응하는 것을 나타내고 있다. 분해되지 않은 수소 가스 분자들 또는 수소 가스 분자들을 형성하도록 재결합한 수소 라디칼들에 대해서, 수소 가스 분자들은 반응식 5에 도시된 바와 같이, 금속 산화물을 금속으로 변환시키기 위한 환원제 역할을 계속할 수 있다. 환원성 가스 종의 라디칼들, 환원성 가스 종의 이온들, 환원성 가스 종으로부터의 UV 방사선 또는 환원성 가스 종 자체가 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 변환시키는 조건들 하에서 금속 산화물과 반응한다.
반응식 3: H2
Figure pat00003
2H*
반응식 4: (x)2H* + MOx
Figure pat00004
M + (x)H2O
반응식 5: (x)H2 + MOx
Figure pat00005
M + (x)H2O
일부 다른 구현예들에서, 환원 처리는 습식 환원 처리이다. 습식 환원 처리는 금속 씨드층의 금속 산화물을 환원제를 함유하는 용액과 콘택트하는 것을 포함할 수 있다. 환원제는 보레인 또는 수소화붕소와 같은 붕소-함유 화합물, 하이드라진과 같은 질소-함유 화합물, 및 차아인산염과 같은 인-함유 화합물 중 적어도 하나를 포함할 수 있다. 용액은 구리 씨드층의 표면의 습식 가능성을 증가시키거나 환원제의 안정성을 증가시키는 촉진제 또는 첨가제들과 같은 첨가제들을 포함할 수 있다. 금속 씨드층과 일체화된 막의 형태로 금속 산화물들을 금속으로 환원시키기 위한 습식 환원 처리는, 2013년 1월 14일 출원된, 미국 특허 출원 제 13/741,141 호 (대리인 문서 제 LAMRP018 호) 에서 기술될 수 있다.
금속 산화물이 기판 상에 형성되는 기판은 차후의 금속 산화물 환원 프로세스를 모니터링하고, 캘리브레이팅하고, 테스트하고, 적격화하거나 특징으로 하도록 사용될 수 있다. 일부 구현예들에서, 기판의 저항률 (예를 들어, 시트 저항) 은 환원 전에 측정될 수 있고 그리고 기판의 저항률은 환원 후에 측정될 수 있다. 분석의 다른 형태들은 금속 씨드층의 산화를 특징으로 하도록 사용될 수도 있고, 이로 제한되지 않지만 기판의 시각적 모습을 분석하는 것을 포함한다. 일부 구현예들에서, 프로세스 (300) 는 기판을 환원 처리에 노출시키기 전에 기판의 제 1 시트 저항을 측정하는 것 및 기판을 환원 처리에 노출시킨 후에 기판의 제 2 시트 저항을 측정하는 것을 더 포함한다. 측정들은 환원 처리가 효과적으로 그리고 지속적으로 수행되는지의 여부를 결정하기 위해 환원 처리를 특징으로 하도록 사용될 수 있다. 일부 구현예들에서, 프로세스 (300) 는 금속 산화물을 형성하기 위한 조건들에 기판을 노출시키기 전에 기판의 제 3 시트 저항을 측정하는 것을 더 포함할 수 있다. 기판을 환원 처리에 노출시키기 전의 기판의 제 1 시트 저항과 상관 없이, 기판을 환원 처리에 노출시킨 후의 기판의 제 2 시트 저항은 기판-대-기판에 대해 일관될 수 있다. 일부 구현예들에서, 기판은 저항률과 같은 파라미터를 사용하여 또는 시각적으로 특징지을 수 있고, 이는 환원 처리의 유효성의 시각적 및 수치적 지표를 제공할 수 있다. 이러한 특성들은 플라즈마 프로세싱 챔버 또는 임의의 다른 환원 장치의 유효성을 측정, 모니터링, 적격화 및 테스트하는데 있어서 유용할 수도 있다.
기판은 다음의 스테이지들: (1) 산화물이 형성되기 전, (2) 산화물이 형성된 후, 및 (3) 산화물이 환원된 후의 산화물 형성에 관해서 특징으로 할 수 있다. 예를 들어, 산화물 형성량은 산화물이 형성되기 전 및 산화물이 형성된 후의 저항률 변화에 의해 명시될 수 있다. 또 다른 예에서, 산화물의 환원량은 산화물이 형성되기 전의 저항률과 비교할 때 산화물이 환원된 후의 저항률에 의해 명시될 수 있다. 통상적으로, 산화물이 환원된 후의 저항률은 산화물이 형성되기 전의 저항률보다 다소 높다. 산화물이 환원된 후의 저항률이 산화물이 형성되기 전의 저항률과 상당히 유사하다면, 이는 금속 산화물 환원 프로세스의 성능의 좋은 지표일 수 있다. 저항률의 변화가 산화물이 환원되기 전으로부터 산화물이 환원된 후까지 상대적으로 크다면, 그러면 이는 또한 금속 산화물 환원 프로세스의 성능의 좋은 지표일 수 있다. 저항률 비교 및 저항률 변화의 이러한 측정들을 사용하여, 환원 프로세스의 품질이 재현 가능하게 측정될 수 있다.
일부 구현예들에서, 프로세스 (300) 는 블록 320에서 프로세싱 챔버 내에 기판을 제공하기 전에 복수의 추가의 기판들에 대해 블록 305, 블록 310, 및 블록 315의 동작들을 반복하는 것을 더 포함한다. 추가의 기판들 각각은 동일하거나 실질적으로 동일할 수도 있다. 상술한 동작들은 금속 산화물들을 재현 가능하게 형성하도록 반복된다. 따라서, 추가의 기판들 각각은 금속 산화물들을 금속으로 환원시키기 위한 프로세싱 챔버의 수행을 모니터링하고, 캘리브레이팅하고, 테스트하고, 적격화하거나, 특징으로 하도록 사용될 수 있는 기판들의 공급을 형성하도록 산화될 수도 있다. 추가의 기판들의 공급은 나중의 사용을 위해 저장될 수도 있다.
일부 구현예들에서, 프로세스 (300) 는 블록 315에서 기판을 환원 처리에 노출시킨 후의 복수의 추가의 기판들 각각에 대해 블록 320 및 블록 325의 동작들을 반복하는 것을 더 포함한다. 추가의 기판들 각각은 금속 산화물들을 환원시키기 위한 환원 처리들을 겪을 수 있다. 추가의 기판들 중 임의의 기판들에 대해 금속 산화물들의 환원을 분석한 후, 플라즈마 프로세싱 챔버 또는 환원 장치의 유효성이 결정될 수 있다.
프로세스 (300) 는 금속 산화물들을 환원시키기 위한 환원 처리의 안정성을 모니터링하고 확인할 수 있다. 일부 구현예들에서, 프로세스 (300) 는 도금 (예를 들어, 다마신 구리 도금) 전에 금속 산화물 (예를 들어, 구리 산화물) 을 금속으로 환원시키도록 사용된 플라즈마 프로세스의 안정성 및 특성을 모니터링하는 것을 허용한다. 다른 환원 처리들이 또한 모니터링될 수도 있고 프로세스 (300) 에 제공된 금속 산화물들을 특징으로 할 수도 있다.
도 4는 금속 산화물 환원을 특징으로 하는 사용을 위한, 기판 상에 금속 산화물을 형성하기 위한 예시적인 프로세스 플로우를 예시하는 흐름도를 도시한다. 프로세스 (400) 에서 동작들은 상이한 순서들로 및/또는 상이하거나, 보다 적거나, 또는 추가의 동작들로 수행될 수도 있다.
프로세스 (400) 는 프로세스 가스들이 어닐링 챔버 내에서 턴 오프되고 그리고 어닐링 챔버가 대기에 노출되는 블록 405에서 시작한다. MFC들은 어닐링 챔버 내로의 수소, 헬륨, 및 질소와 같은 다양한 가스들의 플로우를 제어할 수도 있고, 그리고 MFC들은 어닐링 챔버 내로 이러한 가스들의 플로우를 중단시키도록 턴 오프될 수도 있다. 어닐링 챔버는 대기 조건들로부터의 공기로 하여금 어닐링 챔버 내로 들어가도록 개방될 수 있고, 공기는 산소의 소스를 제공할 수 있다. 어닐링 챔버 내의 압력은 약 0.5 Torr일 수 있다. 어닐링 챔버는 전기도금 장치의 일부일 수 있고, 전기도금 장치는 어닐링 챔버, 플라즈마 프로세싱 챔버, 및 도금 스테이션을 포함한다. 노출된 어닐링 챔버는 기판 상에 대기 어닐링을 제공할 수 있다.
프로세스 (400) 의 블록 410에서, 페데스탈은 어닐링 챔버 내에서 적어도 200 ℃로 가열된다. 페데스탈은 어닐링 챔버가 대기에 노출되는 동일한 시간에 가열될 수 있다. 어닐링 챔버는 안정화 기간 동안 대기에 노출될 수 있고, 안정화 기간은 어닐링 챔버가 대기와 평형을 유지할 수 있도록 적어도 15 분 동안 지속될 수 있다. 페데스탈은 또한 안정화 기간 동안 200 ℃로 가열될 수 있다. 가열된 페데스탈은 어닐링 챔버 내에서 고온 플레이트 타입 어닐링을 제공할 수 있다.
프로세스 (400) 의 블록 415에서, 기판은 외부 로봇 암에 의해 어닐링 챔버 내의 저온 플레이트 상으로 이동된다. 기판은 금속 씨드층, 예를 들어, 구리 또는 탄탈륨 씨드층을 포함할 수 있다. 금속 씨드층은 약 100 Å 내지 약 250 Å의 두께를 가질 수 있다. 안정화 기간이 어닐링 챔버 내에서 종료된 후에, 기판은 외부 로봇 암을 통해 어닐링 챔버 내의 저온 플레이트로 이송될 수 있다.
프로세스 (400) 의 블록 420에서, 기판은 내부 로봇 암에 의해 가열된 페데스탈로 이동된다. 이 시간 동안, 기판은 어닐링 챔버의 산소-풍부 환경에 노출되고 그리고 가열된 페데스탈에 노출된다. 기판은 산화 기간 동안 이러한 조건들 하에 노출될 수 있고, 산화 기간은 적어도 2 분일 수 있다. 기판은 이러한 조건들 하에서 금속 씨드층의 열적 산화물 막을 성장시킬 수 있다.
프로세스 (400) 의 블록 425에서, 기판은 내부 로봇 암에 의해 냉각된 페데스탈로 이동된다. 산화 기간이 종료된 후에, 기판은 냉각 기간 동안 냉각된 페데스탈에 의해 냉각된다. 냉각 기간은 적어도 25 초일 수 있다. 기판은 그 후에 외부 로봇 암에 의해 냉각된 페데스탈로부터 전기도금 장치의 또 다른 일부로 이송될 수 있다.
기판이 냉각된 후에, 프로세스 (400) 는 블록 430a 또는 블록 430b으로 계속될 수 있다. 블록 430a에서, 기판은 나중의 사용을 위해 저장된다. 기판은 필요하기 전에 사용될 수 있는 안정한, 반복할 수 있는, 그리고 균일한 산화물 막을 포함한다. 블록 430b에서, 기판은 금속 산화물 환원 테스트를 위해 이송된다. 금속 산화물 환원 테스트를 수행하도록, 기판은 사전에 측정되고, 플라즈마 프로세싱 챔버를 통해 프로세싱되고, 그리고 사후에 측정된다.
블록 435에서, 기판의 시트 저항이 사전에 측정된다. 일부 구현예들에서, 기판의 시트 저항은 4 지점 프로브 장치, 예를 들어, 캘리포니아, 밀피타스 소재의 KLA-Tencor로부터 입수가능한 RS-100™ 4 지점 프로브를 사용하여 사전에 측정될 수 있다.
블록 440에서, 기판은 산화물 환원을 통해 프로세싱된다. 일부 구현예들에서, 산화물 환원은 리모트 플라즈마 소스를 포함하는 플라즈마 프로세싱 챔버 내에서 발생할 수 있다. 열적 산화물 막은 열적 산화물 막을 금속 씨드층의 금속으로 환원시키도록 리모트 플라즈마에 노출될 수 있다.
블록 445에서, 기판의 시트 저항은 사후에 측정된다. 일부 구현예들에서, 기판의 시트 저항은 4 지점 프로브 장치, 예를 들어, RS-100™ 4 지점 프로브를 사용하여 사후에 측정될 수 있다. 사후에 측정된 시트 저항은 산화물 환원 프로세스의 유효성을 결정하도록 그리고 환원 처리가 예측된 대로 수행되는지의 여부를 결정하도록 사전에 측정된 시트 저항과 비교될 수 있다.
도 5는 전기도금 장치 내의 어닐링 챔버의 3-차원 사시도를 도시한다. 3-차원 사시도는 전기도금 장치 (미도시) 의 일부일 수 있는 어닐링 챔버 (500) 의 절단도이다. 사실상, 어닐링 챔버 (500) 는 전기도금 장치 내에서 차곡차곡 적층되거나 또 다른 배열로 적층된 많은 어닐링 챔버들 중 하나일 수도 있다. 일부 구현예들에서, 가스는 가스 유입부 (미도시) 를 통해 어닐링 챔버 (500) 내로 그리고 배출부 (미도시) 를 통해 어닐링 챔버 (500) 외부로 흐를 수 있다. 일부 구현예들에서, 산소는 대기 조건들에 대한 노출에 의해 어닐링 챔버 (500) 내로 흐를 수 있다. 기판은 어닐링 챔버 (500) 내의 개구, 예를 들어, 챔버 슬릿 (510) 을 통해 어닐링 챔버 (500) 내로 로딩될 수도 있다. 어닐링 챔버 (500) 는 저온 플레이트 (520) 및 고온 플레이트 (540) 를 포함할 수 있다. 고온 플레이트 (540) 는 약 50 ℃ 내지 약 500 ℃, 예를 들어, 약 100 ℃ 내지 약 400 ℃의 온도로 가열될 수 있다. 저온 플레이트 (520) 는 실온 또는 실온 미만의 온도로 남아있을 수 있고, 실온은 약 18 ℃ 내지 약 30 ℃이다. 기판이 어닐링 챔버 (500) 내로 로딩될 때, 기판은 저온 플레이트 (520) 상에 배치될 수 있다. 내부 로봇 암 (530) 은 기판을 저온 플레이트 (520) 로부터 고온 플레이트 (540) 로 이송할 수 있다. 고온 플레이트 (540) 는 기판의 산화 레이트를 증가시키거나 제어하기 위해 목표된 온도로 기판을 가열할 수 있다. 기판은 열적 산화물 막을 성장시키도록 목표된 시간 동안 고온 플레이트 (540) 상에 배치될 수 있다. 그 후에, 기판은 내부 로봇 암 (530) 을 통해 고온 플레이트 (540) 로부터 저온 플레이트 (520) 로 이송될 수 있다. 기판은 산화를 제한하거나 그렇지 않으면 산화를 중단시키도록 저온 플레이트 (520) 상에서 냉각될 수 있고, 이어서 차후의 프로세싱을 위해 어닐링 챔버 (500) 의 외부로 이송될 수 있다.
열적 산화물 성장을 위한 어닐링 챔버 (500) 는 기판을 지지하기 위한 페데스탈, 예를 들어, 고온 플레이트 (540) 를 포함할 수 있다. 일부 구현예들에서, 어닐링 챔버 (500) 및 페데스탈은 기판에 걸쳐 상대적으로 균일한 온도를 제공하도록 구성될 수 있다. 일부 구현예들에서, 기판은 기판의 표면이 페데스탈 상에 전부 놓이지 않도록 사파이어 볼들, 핀들, 또는 다른 최소 콘택트 지지부들 상에 놓일 수도 있다. 가스는 방사 열에 의한 균일한 열 전달을 돕도록 기판의 표면 아래로 흐를 수도 있다. 기판의 온도 균일도는 이 프로세스 동안의 하나 이상의 조건들, 예를 들어, 기판 배치, 가스 플로우, 등에 의해 제어될 수도 있다.
일부 구현예들에서, 페데스탈 히터는 기울기 설계를 사용하고 복수의 가열 구역들을 가져서 보다 큰 균일도를 제공할 수도 있다. 일부 구현예들에서, 페데스탈 히터는 복수의 전기적 링들을 포함할 수 있다. 일부 구현예들에서, 페데스탈 히터는 기판으로 전달된 열의 강도를 조정하도록 UV 광선들 또는 LED 광선들을 포함할 수 있다. 일부 구현예들에서, 페데스탈 히터의 크기는 훨씬 많은 에지 가열을 허용하도록 변화될 수도 있다.
도 6은 프로세싱 챔버를 가진 리모트 플라즈마 장치의 단면 개략도의 예를 도시한다. 리모트 플라즈마 장치 (600) 는 기판 (610) 을 지지하기 위한 페데스탈과 같은 기판 지지부 (605) 를 포함하는 프로세싱 챔버 (650) 를 포함한다. 리모트 플라즈마 장치 (600) 는 기판 지지부 (605) 로부터 떨어져서 또는 기판 지지부 (605) 를 향해 기판 (610) 을 이동시킬 수 있는, 리프트 핀들과 같은 이동식 부재들 (615) 을 포함할 수 있다. 또한, 리모트 플라즈마 장치 (600) 는 냉각 가스 (660) 를 프로세싱 챔버 (650) 를 통해 흘리도록 하나 이상의 가스 유입부들 (622) 을 포함할 수 있다. 리모트 플라즈마 장치 (600) 는 또한 기판 (610) 위의 리모트 플라즈마 소스 (640) 및 기판 (610) 과 리모트 플라즈마 소스 (640) 사이의 샤워헤드 (630) 를 포함한다. 환원성 가스 종 (620) 은 리모트 플라즈마 소스 (640) 로부터 기판 (610) 을 향해 샤워헤드 (630) 를 통해 흐를 수 있다. 샤워헤드 (630) 는 샤워헤드 (630) 의 온도 제어를 허용하도록 구성될 수도 있다. 리모트 플라즈마는 환원성 가스 종 (620) 의 라디칼들을 생성하도록 리모트 플라즈마 소스 (640) 내에서 생성될 수도 있다. 라디칼들은 기판 (610) 을 향해 샤워헤드 (630) 를 통해 가스 상으로 운반될 수 있다. 리모트 플라즈마는 환원성 가스 종의 이온들 및 다른 대전된 종을 또한 포함할 수도 있다. 리모트 플라즈마는 환원성 가스 종 (620) 으로부터의 UV 방사선과 같은, 광자들을 더 포함할 수도 있다. 리모트 플라즈마는 금속 산화물들을 기판 (610) 상의 금속으로 환원시킬 수도 있다. 코일들 (644) 은 리모트 플라즈마 소스 (640) 의 벽들을 둘러쌀 수도 있고 그리고 리모트 플라즈마 소스 (640) 내에서 리모트 플라즈마를 생성할 수도 있다. 제어기 (635) 는 리모트 플라즈마 장치 (600) 의 동작을 위한 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (635) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 통상적으로 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 제어기 (635) 의 양태들은 도 7a 및 도 7b의 제어기에 대해 더 기술될 수도 있다. 리모트 플라즈마 장치 (600) 의 구현예들은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, Spurlin 등의 2013년 3월 6일 출원된, 발명의 명칭이 "METHODS FOR REDUCING METAL OXIDE SURFACES TO MODIFIED METAL SURFACES USING A GASEOUS REDUCING ENVIRONMENT"인, 미국 특허 출원 제 13/787,499 호, Spurlin 등의 2013년 9월 6일 출원된, 발명의 명칭이 "METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER"인, 미국 특허 출원 제 14/020,339 호, 및 Spurlin 등의 2013년 11월 21일 출원된, 발명의 명칭이 "METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER"인, 미국 특허 출원 제 14/086,770 호에 기술될 수 있다.
리모트 플라즈마 장치는 전기충진 장치, 예를 들어, 전기도금 장치에 연결될 수 있다. 리모트 플라즈마 장치는 천연 산화물들, 열적 산화물들, 등을 포함하는, 기판 상의 금속 산화물들을 환원시키도록 구성된 챔버일 수 있다. 일부 구현예들에서, 금속 산화물은 구리 산화물을 포함할 수 있고 그리고 금속 씨드층은 구리 씨드층을 포함할 수 있다. 전기충진 장치에서, 구리 산화물은 현재의 구리 도금 용액들에 노출될 때 쉽게 용해되지만, 구리 금속은 보다 느리게 용해된다. 구리 산화물을 다시 구리로 환원시키는 것은 기판의 표면 습식 거동을 개선시킬 수 있고 구리 용해를 감소시킬 수 있고, 이로써 도금 동안 기판의 피처들 내의 보이드 형성의 기회를 감소시킨다. 리모트 플라즈마 장치 외에, 전기충진 장치는 하나 이상의 열적 어닐링 챔버들에 연결될 수 있다. 하나 이상의 열적 어닐링 챔버들은 금속 씨드층들 상에 안정한, 반복할 수 있는, 그리고 균일한 금속 산화물 막들을 생성하도록 구성될 수 있고, 금속 산화물 막들은 리모트 플라즈마 장치를 테스트하고, 모니터링하고, 그리고 특징으로 하도록 사용될 수 있다. 인스트럭션들을 프로그래밍하는 것은 하나 이상의 열적 어닐링 챔버들과 통신하는 시스템 제어기 상에서 행해질 수 있다.
도 7a는 전기도금 장치의 평면 개략도의 예를 도시한다. 전기도금 장치 (700) 는 3개의 개별 전기도금 모듈들 (702, 704, 및 706) 을 포함할 수 있다. 전기도금 장치 (700) 는 또한 다양한 프로세스 동작들을 위해 구성된 3개의 개별 모듈들 (712, 714, 및 716) 을 포함할 수 있다. 예를 들어, 일부 구현예들에서, 모듈들 (712 및 716) 은 SRD (spin rinse drying) 모듈들일 수도 있고 그리고 모듈 (714) 은 어닐링 스테이션일 수도 있다. 그러나, SRD 모듈들의 사용은 리모트 플라즈마 처리로부터의 환원성 가스 종에 대한 노출 후에 불필요해질 수도 있다. 일부 구현예들에서, 모듈들 (712, 714, 및 716) 중 적어도 하나는 PEM들 (post-electrofill modules) 일 수도 있고, 각각은 기능, 예를 들어, 에지 베벨 제거, 후면 에칭, 산 세정, 스피닝, 및 기판들이 전기도금 모듈들 (702, 704, 및 706) 중 하나에 의해 프로세싱된 후의 기판들의 건조를 수행하도록 구성된다.
전기도금 장치 (700) 는 중심 전기도금 챔버 (724) 를 포함할 수 있다. 중심 전기도금 챔버 (724) 는 전기도금 모듈들 (702, 704, 및 706) 내에서 도금 용액으로서 사용되는 화학 용액을 홀딩하는 챔버이다. 전기도금 장치 (700) 는 도금 용액에 대한 첨가제들을 저장 및 전달할 수도 있는 도징 시스템 (726) 을 또한 포함한다. 화학적 희석 모듈 (722) 은 에천트로서 사용될 수도 있는 화학 물질들을 저장 및 혼합할 수도 있다. 여과 및 펌핑 유닛 (727) 은 중심 전기도금 챔버 (724) 에 대해 도금 용액을 여과할 수도 있고 그리고 도금 용액을 전기도금 모듈들 (702, 704, 및 706) 로 펌핑할 수도 있다.
일부 구현예들에서, 전기도금 장치 (700) 는 어닐링 스테이션 (732) 을 포함하고, 어닐링 스테이션 (732) 은 전처리로서 기판들을 어닐링하도록 또는 금속 산화물 환원 프로세스를 적격화하고 테스트하기 위해 기판들을 산화시키도록 사용될 수도 있다. 논의된 바와 같이, 어닐링 스테이션 (732) 은 차후의 금속 산화물 환원 프로세스를 특징으로 하는 사용을 위한, 기판의 금속 씨드층 상에 금속 산화물들을 형성하도록 사용될 수도 있다. 예를 들어, 어닐링 스테이션 (732) 은 금속 산화물 막, 예를 들어, 구리 산화물 또는 탄탈륨 산화물을 성장시키기 위해 대기 어닐링을 수행하도록 사용될 수도 있다. 어닐링 스테이션 (732) 은 상승된 온도로 가열될 수 있는 페데스탈을 포함할 수도 있다. 어닐링 스테이션 (732) 은 어닐링 스테이션 (732) 내부에 산소-풍부 환경을 생성하도록 대기 조건들에 노출될 수도 있다. 일부 구현예들에서, 어닐링 스테이션 (732) 은 가스들을 어닐링 스테이션 (732) 내로 흘리기 위한 하나 이상의 MFC들을 또한 포함할 수도 있다. 어닐링 스테이션 (732) 은 복수의 적층된 어닐링 디바이스들, 예를 들어, 5개의 적층된 어닐링 디바이스들을 포함할 수도 있다. 어닐링 디바이스들은 겹쳐져서, 개별 스택들로, 또는 다른 복수의 디바이스 구성들로 어닐링 스테이션 (732) 내에 배열될 수도 있다. 어닐링 디바이스의 예는 도 5에 기술될 수 있다.
시스템 제어기 (730) 는 전기도금 장치 (700) 를 동작하도록 요구되는 전자 및 인터페이스 제어들을 제공한다. (하나 이상의 물리 또는 로직 제어기들을 포함할 수도 있는) 시스템 제어기 (730) 는 전기도금 장치 (700) 의 특성들의 일부 또는 전부를 제어한다. 시스템 제어기 (730) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 통상적으로 포함한다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 본 명세서에 기술된 바와 같은 적절한 제어 동작들을 구현하기 위한 인스트럭션들은, 프로세서 상에서 실행될 수도 있다. 이들 인스트럭션들은 시스템 제어기 (730) 와 연관된 메모리 디바이스들 상에 저장될 수도 있거나 인스트럭션들은 네트워크에 걸쳐 제공될 수도 있다. 특정한 구현예들에서, 시스템 제어기 (730) 는 시스템 제어 소프트웨어를 실행한다.
전기도금 장치 (700) 내에서 시스템 제어 소프트웨어는 어닐링 스테이션 (732) 내의 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 이는 페데스탈 온도, 가스 플로우들, 챔버 압력, 기판 위치, 기판 회전, 타이밍, 및 전기도금 장치 (700) 에 의해 수행되는 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브-루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 실행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능한 프로그래밍 언어로 코딩될 수도 있다.
일부 구현예들에서, 시스템 제어 소프트웨어는 상술한 다양한 파라미터를 제어하기 위한 인스트럭션들을 시퀀싱하는 IOC (input/output control) 를 포함한다. 예를 들어, 전기도금 프로세스의 페이즈 각각은 시스템 제어기 (730) 에 의해 실행되는 하나 이상의 인스트럭션들을 포함할 수도 있고, 어닐링 스테이션 (732) 에 의한 산화 프로세스의 페이즈 각각은 시스템 제어기 (730) 에 의해 실행되는 하나 이상의 인스트럭션들을 포함할 수도 있고, 그리고 전처리 또는 환원 프로세스의 페이즈 각각은 시스템 제어기 (730) 에 의해 실행되는 하나 이상의 인스트럭션들을 포함할 수도 있다. 전기도금에서, 침지 프로세스 페이즈 (immersion process phase) 에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 침지 레시피 페이즈 내에 포함될 수도 있다. 전처리 또는 환원에서, 기판을 리모트 플라즈마에 노출시키기 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 환원 페이즈 레시피 내에 포함될 수도 있다. 일부 구현예들에서, 전기도금 프로세스 및 환원 프로세스의 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 구성될 수도 있다.
다른 컴퓨터 소프트웨어 및/또는 프로그램들이 몇몇 구현예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 전해질 조성 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 전위/전류 전원 제어 프로그램을 포함한다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 다른 예들은 타이밍 제어 프로그램, 이동식 부재들 포지셔닝 프로그램, 기판 지지부 포지셔닝 프로그램, 리모트 플라즈마 장치 제어 프로그램, 압력 제어 프로그램, 기판 지지부 온도 제어 프로그램, 샤워헤드 온도 제어 프로그램, 냉각 가스 제어 프로그램, 및 가스 분위기 제어 프로그램을 포함한다.
일부 구현예들에서, 시스템 제어기 (730) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (730) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴의 아날로그 출력 접속부 및/또는 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 기판의 온도와 같은, 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 프로세싱 동안, 및 프로세싱 후에 시스템들의 동작을 제어하기 위한 전자 장치와 일체화될 수도 있다. 일반적으로, 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 서브부분들을 제어할 수도 있는, 제어기 (730) 로서 지칭된다. 제어기 (730) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (730) 는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기 (730) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들 (예를 들어, 실리콘 탄화물), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
제어기 (730) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (730) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (730) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기 (730) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (730) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
핸드-오프 툴 (740) 은 카세트 (742) 또는 카세트 (744) 와 같은 기판 카세트로부터 기판을 선택할 수도 있다. 카세트 (742) 또는 카세트 (744) 는 FOUP들 (front opening unified pod) 일 수도 있다. FOUP는 제어된 환경에서 기판들을 안전하면서 안정되게 홀딩하고 적합한 로드 포트들 및 로봇 핸들링 시스템들이 구비된 툴들에 의해서 프로세싱 또는 측정되기 위해서 기판들이 제거되게 하도록 설계된 인클로저 (enclosure) 이다. 핸드-오프 툴 (740) 은 진공 흡착 또는 몇몇의 다른 흡착 메커니즘을 사용하여 기판을 홀딩할 수도 있다.
핸드-오프 툴 (740) 은 어닐링 스테이션 (732), 카세트들 (742 또는 744), 이송 스테이션 (750) 또는 정렬기 (748) 와 인터페이싱할 수도 있다. 이송 스테이션 (750) 으로부터, 핸드-오프 툴 (746) 은 기판에 대한 액세스를 얻을 수도 있다. 이송 스테이션 (750) 은 핸드-오프 툴들 (740 및 746) 이 정렬기 (748) 를 통과하지 않고 기판들을 그로 전달하거나 그로부터 전달받는 위치 또는 슬롯일 수도 있다. 그러나, 몇몇 구현예들에서, 전기도금 모듈로의 정확한 전달을 위해서 기판이 핸드-오프 툴 (746) 상에서 적절하게 정렬되는 것을 보장하기 위해서, 핸드-오프 툴 (746) 은 기판을 정렬기 (748) 와 정렬시킬 수도 있다. 정렬기 (748) 는 핸드-오프 툴 (740) 이 기판을 미는 것에 대향하여 정렬 핀들을 포함할 수 있다. 기판이 정렬 핀들에 대해서 적절하게 정렬되면, 핸드-오프 툴 (740) 은 정렬 핀들에 대해서 사전설정된 위치로 이동한다. 핸드-오프 툴 (746) 은 또한 기판을 전기도금 모듈들 (702, 704, 또는 706) 중 하나 또는 다양한 프로세스 동작들을 위해서 구성된 3개의 개별 모듈들 (712, 714, 및 716) 중 하나로 전달할 수도 있다.
예로서, 금속 씨드층은 PVD에 의해 기판 상에 증착될 수도 있다. 일부 구현예들에서, 핸드-오프 툴 (740) 은 기판을 FOUP들 (742, 744) 중 하나로부터 어닐링 스테이션 (732) 로 이송시킬 수도 있다. 제어기 (730) 는 산소를 어닐링 스테이션 (732) 내로 제공하기 위한 인스트럭션들을 포함할 수도 있다. 일부 구현예들에서, 어닐링 스테이션 (732) 은 대기 조건들에 노출될 수도 있고 그래서 공기가 진입할 수 있다. 일부 다른 구현예들에서, 어닐링 스테이션 (732) 이 대기 조건들로부터 폐쇄되는 동안 산소는 어닐링 스테이션 (732) 내로 흐를 수도 있다. 어닐링 스테이션 (732) 은 대기 어닐링을 허용하도록 수정될 수도 있거나 어닐링 스테이션 (732) 은 산소를 어닐링 스테이션 (732) 내로 흐르게 하도록 구비될 수도 있다. 제어기 (730) 는 어닐링 스테이션 (732) 내에서 기판 지지부를 가열하기 위한 인스트럭션들을 더 포함할 수도 있고 그리고 어닐링 스테이션 (732) 내에서 가열된 기판 지지부 및 산소에 기판을 노출시킬 수도 있다. 어닐링 스테이션 (732) 내에서 가열된 기판 지지부 및 산소에 대한 노출은, 금속 씨드층의 금속 산화물을 형성할 수 있다. 기판은 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키기 위한 도 7b에 도시된 리모트 플라즈마 장치 (760) 로 핸드-오프 툴 (740) 에 의해 이송될 수 있다. 제어기 (730) 는 어닐링 스테이션 (732) 의 내외로 기판을 이송시키기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (730) 는 또한 (1) 산화물이 어닐링 스테이션 (732) 내에 형성되기 전, (2) 산화물이 어닐링 스테이션 (732) 내에 형성된 후, 및 (3) 산화물이 리모트 플라즈마 장치 (760) 내에서 환원된 후를 포함하는, 다양한 스테이지들에서 산화물 형성을 측정하기 위한 인스트럭션들을 포함할 수도 있다. 이러한 측정들은 리모트 플라즈마 장치 (760) 의 수행을 결정하는데 있어서 유용할 수도 있다.
단일 툴은 산화 및 환원의 시퀀스를 수행할 수도 있다. 툴은 하나 이상의 플라즈마 프로세싱 환원 챔버들 (예를 들어, 리모트 플라즈마 장치 (760)) 및 하나 이상의 어닐링 챔버들 (예를 들어, 어닐링 스테이션 (732)) 을 포함할 수 있다. 일부 구현예들에서, 툴은 하나 이상의 도금 스테이션들 (예를 들어, 전기도금 모듈들 (702, 704, 및 706)) 을 포함할 수 있다.
일부 구현예들에서, 리모트 플라즈마 장치는 전기도금 장치 (700) 의 일부일 수도 있거나 전기도금 장치 (700) 와 일체화될 수도 있고, 그리고 어닐링 챔버 (732) 는 전기도금 장치 (700) 의 일부일 수도 있거나 전기도금 장치 (700) 와 일체화될 수도 있다. 도 7b는 전기도금 장치 (700) 와 함께 리모트 플라즈마 장치 (760) 의 확대된 평면 개략도의 예를 도시한다. 그러나, 리모트 플라즈마 장치가 임의의 적합한 금속 증착 장치에 대안적으로 부착될 수도 있다는 것이 당업자에 의해 이해된다. 도 7c는 전기도금 장치 (700) 에 부착된 리모트 플라즈마 장치 (760) 의 3-차원 사시도의 예를 도시한다. 리모트 플라즈마 장치 (760) 는 전기도금 장치 (700) 의 측면에 부착될 수도 있다. 리모트 플라즈마 장치 (760) 는 리모트 플라즈마 장치 (760) 및 전기도금 장치 (700) 로 그리고 리모트 플라즈마 장치 (760) 및 전기도금 장치 (700) 로부터의 기판의 효율적인 이송을 용이하게 하는 이러한 방식으로 전기도금 장치 (700) 에 연결될 수도 있다. 핸드-오프 툴 (740) 은 카세트 (742 또는 744) 로부터 기판으로의 액세스를 얻을 수도 있다. 핸드-오프 툴 (740) 은 리모트 플라즈마 처리 및 냉각 동작에 기판을 노출시키기 위해 기판이 리모트 플라즈마 장치 (760) 를 지나가게 할 수도 있다. 핸드-오프 툴 (740) 은 기판을 리모트 플라즈마 장치 (760) 로부터 이송 스테이션 (750) 으로 지나가게 할 수도 있다. 일부 구현예들에서, 정렬기 (748) 는 전기도금 모듈들 (702, 704, 및 706) 중 하나 또는 3개의 개별 모듈들 (712, 714, 및 716) 중 하나로의 이송 전에 기판을 정렬시킬 수도 있다.
전기도금 장치 (700) 내에서 수행된 동작들은 전방-단부 배출부 (762) 또는 후방-단부 배출부 (764) 를 통해 흐를 수 있는 배기가스를 도입할 수도 있다. 전기도금 장치 (700) 는 중심 전기도금 스테이션 (724) 에 대한 욕 여과기 어셈블리 (766), 및 전기도금 모듈들 (702, 704, 및 706) 에 대한 욕 및 셀 펌핑 유닛 (767) 을 또한 포함할 수도 있다.
일부 구현예들에서, 시스템 제어기 (730) 는 리모트 플라즈마 장치 (760) 내의 프로세스 조건들에 대한 파라미터들을 제어할 수도 있다. 이러한 파라미터들의 비제한적인 예들은 기판 지지부 온도, 샤워헤드 온도, 기판 지지부 위치, 이동식 부재들 위치, 냉각 가스 플로우, 냉각 가스 온도, 프로세스 가스 플로우, 프로세스 가스 압력, 벤팅 (venting) 가스 플로우, 벤팅 가스, 환원성 가스, 플라즈마 전력, 및 노출 시간, 이송 시간, 등을 포함한다. 이들 파라미터들은 본 명세서에 앞서 기술된 바와 같이 사용자 인터페이스를 활용하여 들어갈 수도 있는, 레시피의 형태로 제공될 수도 있다.
전기도금 장치 (700) 의 일부인 리모트 플라즈마 장치 (760) 의 동작들은 컴퓨터 시스템에 의해 제어될 수도 있다. 일부 구현예들에서, 컴퓨터 시스템은 도 7a에 예시된 바와 같이, 시스템 제어기 (730) 의 일부이다. 일부 구현예들에서, 컴퓨터 시스템은 프로그램 인스트럭션들을 포함하는 개별 시스템 제어기 (미도시) 를 포함할 수도 있다. 프로그램 인스트럭션들은 반-귀금속층 또는 금속 씨드층 내에서 금속 산화물들을 금속으로 환원시키도록 필요한 동작들의 전부를 수행하기 위한 인스트럭션들을 포함할 수도 있다. 프로그램 인스트럭션들은 또한 기판을 냉각시키고, 기판을 배치시키고, 그리고 기판을 로딩/언로딩하도록 필요한 동작들의 전부를 수행하기 위한 인스트럭션들을 포함할 수도 있다.
상기에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 실시될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴들을 사용하여 인에이블되는 다음의 동작들 중 몇몇 또는 전부를 포함하며, 이 동작들은: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 광선 또는 x-선 광선에 노출시키는 동작, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 포토레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 동작, 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 동작을 포함할 수 있다.
본 명세서에 기술된 구성들 및/또는 방법들이 사실상 예시적이고, 그리고 이들 구체적인 실시예들 또는 예들은 수많은 변형들이 가능하기 때문에 제한적인 의미로 고려되지 않음이 이해된다. 본 명세서에 기술된 특정한 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들은 예시된 시퀀스로, 다른 시퀀스들로, 동시에 수행될 수도 있거나, 일부 경우들에서는 생략될 수도 있다. 유사하게, 상술된 프로세스들의 순서는 변화될 수도 있다.
예들 및 데이터 - 어닐링 방법
도 8은 단일 어닐링 챔버를 통해 산화된 10개의 기판들에 대한 그리고 상이한 어닐링 챔버들을 통해 산화된 15개의 기판들에 대한 산화 전, 산화 후, 및 환원 후의 시트 저항의 측정치들을 도시한다. 기판들 각각은 200 Å의 두께를 가진 구리 씨드층을 포함했다. 기판들 각각은 5개의 어닐링 챔버들 중 하나 내에 배치되었고, 제 1 10개의 기판들은 어닐링 챔버 (#1) 내에 배치되었고, 그리고 남아있는 15개의 기판들은 어닐링 챔버들 (#1 내지 #5) 사이에 골고루 나눠졌다. 어닐링 챔버들 각각 내의 측정된 산소 레벨들은 상당히 일관되었고, 어닐링 챔버 (#1) 는 20.6% 산소를 갖고, 어닐링 챔버 (#2) 는 20.6% 산소를 갖고, 어닐링 챔버 (#3) 는 20.7% 산소를 갖고, 어닐링 챔버 (#4) 는 21.2% 산소를 갖고, 그리고 어닐링 챔버 (#5) 는 21.2% 산소를 가진다. 어닐링 챔버들 각각은 15 분의 안정화 기간을 겪었다. 안정화 기간 후에, 기판들 각각은 120 초 동안 어닐링 챔버 내에서 산소에 노출되었고 그리고 200 ℃로 가열된 페데스탈에 노출되었다. 구리 산화물은 어닐링 챔버들 내에서 구리 씨드층들 내에 형성되었다. 이어서 기판들은 120 초 동안 리모트 플라즈마 장치 내에서 환원 처리에 노출되었다. 시트 저항 값들은 (1) 산화 전, (2) 산화 후, 및 (3) 환원 후에 대해 측정되었다. 측정들은 반복성을 입증하도록 25개의 기판들에 대해 그리고 상이한 어닐링 챔버들 내에서 행해졌다. 환원 후의 시트 저항의 변화는 산화 후 값들로부터 환원 후 값들로의 상당한 감소들을 나타냈다. 산화 후 측정치들의 변화들에도 불구하고, 환원 후 측정치들은 매우 일관된 시트 저항 값들을 나타냈고, 환원 후 시트 저항 값들은 산화 전 시트 저항 값들보다 단지 약간 높았다.
도 9는 평균 값에 대한 그리고 제 1, 제 2, 및 제 3 표준 편차 값들에 대한, 25개의 기판들에 대한 환원 후 시트 저항 값들을 나타낸다. 평균 환원 후 시트 저항 값은 약 2.6 ohms/square 내지 약 2.8 ohms/square이었다. 어닐링 챔버에 상관 없이 그리고 산화 후의 시트 저항 값들의 변화에 상관 없이, 25개의 기판들은 대체로 평균 환원 후 시트 저항 값의 2의 표준 편차들 내에 있다. 데이터는 환원 후 시트 저항 값들이 일관되고 그리고 구리 산화물의 환원 처리가 효과적인 것을 나타낸다.
도 10은 200 ℃의 온도에서 2 분 동안 대기 어닐링을 겪은 200 Å의 구리 씨드층의 SEM 이미지 및 TEM 이미지를 도시한다. 도 10의 SEM 이미지들은 금속 산화물 막을 가진 기판의 토포그래피 (topography) 를 도시하고, 그리고 TEM 이미지는 기판 내의 금속 산화물의 두꺼운 층을 도시한다.
도 11은 200 ℃의 온도에서 가변 시간들 동안 대기 어닐링을 겪은 200 Å 구리 씨드층의 SEM 이미지들을 도시한다. 시간에 걸쳐, 기판의 토포그래피는 구리 산화물이 형성되는 것을 도시한다.
도 12는 200 ℃의 온도에서 가변 시간들 동안 대기 어닐링을 겪은 200 Å 구리 씨드층의 TEM 이미지들을 도시한다. 구리 산화물 막의 두께들은 산화 시간이 증가함에 따라 증가한다. 120 초 후에, 실질적으로 모든 구리 씨드층이 구리 산화물로 변환된다.
도 13은 구리 씨드의 상이한 두께들에 대해 산화 전, 산화 후, 및 환원 후의 웨이퍼들의 이미지들을 도시한다. 웨이퍼의 산화의 징후 및 웨이퍼의 환원의 징후는, 시각적으로 입증되어 도시될 수 있다. 구리 씨드는 100 Å, 200 Å, 및 400 Å의 두께들로 기판 상에 PVD를 통해 증착될 수 있다. 기판들의 모습은 옅은 색이고, 광택이 나고, 그리고 반사적일 수 있다. 어닐링 챔버를 통한 산화 후에, 기판들의 모습은 금속 산화물들이 형성된 것을 나타내도록, 짙은 색이고, 불투명하고, 그리고 비반사적으로 변화할 수 있다. 기판들을 리모트 플라즈마 환원 처리와 같은 환원 처리에 노출시킨 후에, 기판들의 모습은 금속 산화물들의 제거를 나타내도록, 옅은 색이고, 광택이 나고, 그리고 반사적이도록 다시 변화할 수 있다.
표 1은 산화 전, 산화 후, 및 환원 후의 웨이퍼들에 대한 시트 저항 값들을 나타낸다. 웨이퍼들은 씨드층 두께의 면에서 변했다. 웨이퍼들은 산화 동안 어닐링 온도들의 면에서 변했다. 퍼센트 변화는 산화 전 값으로 나눠진 환원 후 및 산화 전의 시트 저항 값의 변화를 나타냈다. 보다 얇은 씨드층 두께들은 산화 후에 보다 높은 시트 저항 값들을 현저히 입증했다. 게다가, 보다 낮은 어닐링 온도 (예를 들어, 175 ℃) 는 산화 전 및 환원 후의 시트 저항 값의 가장 작은 변화를 입증했다. 그러나, 산화 후 시트 저항 값들은 보다 낮은 어닐링 온도들에 대해 상당히 높지 않았다. 보다 높은 어닐링 온도들에 대해 신호들은 더 커졌다. 따라서, 200 ℃로 어닐링된 200 Å 구리 씨드층은 금속 산화물 환원 프로세스를 특징으로 하도록 금속 산화물 형성에 대해 훌륭한 기준점 (reference point) 을 제공할 수 있다. 200 ℃로 어닐링된 200 Å 구리 씨드층은 금속 산화물 형성의 확실한 징후를 제공하도록 상대적으로 높은 산화 후 시트 저항 값 (39.87 ohms/square) 을 가졌고, 그리고 기판을 다시 기판의 원래의 상태로 만드는데 있어서 환원 처리의 유효성을 나타내도록, 산화 전 시트 저항 값과 환원 후 시트 저항 값 사이의 상당히 작은 변화 (31.2%) 를 가졌다. 또한, 200 ℃로 어닐링된 200 Å 구리 씨드층은 도 13에 도시된 바와 같이 산화 및 환원의 확실한 시각적 지표를 제공한다.
산화 전 산화 후 환원 후
온도(℃) 씨드 두께 (Å) 시트 저항 (ohms/sq) 표준 편차
(%)
시트 저항 (ohms/sq) 표준 편차
(%)
시트 저항 (ohms/sq) 표준 편차
(%)
변화(%)
175 100 6.09 5.8% 15.59 10.3% 7.00 4.6% 15.0%
200 1.85 3.5% 2.59 6.5% 1.83 3.2% -1.2%
400 0.77 3.2% 0.96 4.8% 0.72 4.1% -5.9%
200 100 5.39 7.0% 216.5 6.9% 9.78 8.9% 81.3%
200 1.76 3.0% 39.87 40.6% 2.31 6.6% 31.2%
400 0.75 3.4% 2.40 24.8% 0.99 12.8% 32.3%
225 100 5.84 7.3% 243.7 2.5% 12.17 17.8% 108.5%
200 1.94 4.3% 87.24 23.3% 2.57 6.9% 32.9%
400 0.74 3.8% 24.71 52.4% 1.84 6.2% 149.3%
금속 산화물 환원을 특징으로 하는 사용을 위한 산소 플라즈마에 의한 금속 산화물 형성
금속 산화물 환원 프로세스의 성능을 특징으로 하도록 사용될 수 있는, 기판 상에 안정한, 반복할 수 있는, 그리고 균일한 금속 산화물을 생성하는 방법이 본 명세서에 개시된다. 기판 각각은 금속 산화물을 금속으로 환원시키기 위한 장치를 적격화하고 테스트하도록 사용될 수 있는 금속 산화물을 제공할 수 있다. 금속 산화물은 직접 플라즈마 프로세싱 시스템 또는 리모트 플라즈마 프로세싱 시스템과 같은, 플라즈마 프로세싱 시스템 내에서 형성될 수 있다. 금속 산화물은 금속의 천연 산화물들과 유사하게 거동할 수 있다. 일부 구현예들에서, 금속 산화물은 금속 씨드층을 산소 플라즈마에 노출시킴으로써 의도적으로 형성될 수 있다. 일부 구현예들에서, 산소 플라즈마에 의해 의도적으로 형성된 금속 산화물을 가진 기판은, 동일한 툴 내에서 수행된 환원 프로세스에 대한 메트롤로지 (metrology) 로서 역할을 할 수 있다. 금속 산화물을 금속으로 환원시키기 위한 장치의 유효성을 모니터링하고 테스트하도록, 프로세스는 산소 플라즈마를 사용하여 기판 상에 안정하고 균일한 금속 산화물을 지속적으로 생성하기 위해 제공된다.
열적 산화물 성장에 의해 금속 산화물들을 형성하기 위한 프로세스와 유사하게, 산소 플라즈마에 의해 금속 산화물들을 형성하기 위한 프로세스는 PECVD 챔버를 사용하여 금속 산화물들을 증착하기 위한 프로세스 동안 장점들을 가진다. PECVD 적용들에서, 하나 이상의 기판들 상에서 성장된 금속 산화물은 기판 각각에 대해 균일하지 않고, 그리고 기판 각각 상에서 성장된 금속 산화물은 기판-대-기판에 대해 일관되지 않다. 게다가, 구리 산화물 자체는 천연 구리 산화물들과 동일한 특성들을 공유하지 않는다. 임의의 이론으로 제한되는 일 없이, PECVD 프로세스를 사용하여 성장된 금속 산화물은 부분적으로 표면 거칠기의 차이들에 기인하여 그리고 부분적으로 금속 산화물의 플라즈마 형성 동안 가스들의 결합에 기인하여 상이한 특성들을 공유할 수도 있다. 따라서, PECVD 프로세스는 증착된 금속 산화물 내에 불순물들을 야기할 수도 있다. 게다가, PECVD 프로세스는 사용 동안 전용 툴일 수도 있고 보다 많은 장비 설정을 필요로 할 수 있고 그리고 보다 긴 프로세스 시간들을 필요로 할 수 있다. PECVD 프로세스는 또한 구리와는 다른 금속들과 양립 가능하지 않을 수도 있다.
본 개시는 플라즈마를 사용하는, 금속 산화물의 증기 증착보다는 금속을 금속 산화물로 변환시키도록 산소 플라즈마를 사용한다. 안정한, 반복할 수 있는, 그리고 균일한 금속 산화물 막들의 형성은 적절한 조건들 하에서 산소 플라즈마를 사용하여 발생할 수 있다. 산소 플라즈마를 사용하는 금속 산화물들의 형성은 PECVD 동안 몇몇의 장점들을 가질 수 있다. 어닐링 챔버를 사용하는 열적 산화물 성장과 유사하게, 설정 시간은 감소될 수 있고, 쓰루풋은 보다 높을 수 있고, 발생한 산화물은 보다 균일하며, 프로세스는 더 반복할 수 있고, 발생한 산화물은 그 천연 산화물과 유사한 특성들을 나타내고, 발생한 산화물은 거의 불순물들을 포함하지 않고, 기판들은 배치 프로세싱될 수 있으며 나중의 사용을 위해 저장될 수 있고, 그리고 다른 금속들이 유사한 목적들을 위해 프로세싱될 수 있고, 단지 구리는 해당이 안 된다.
또한, 산소 플라즈마를 사용하는 금속 산화물들의 형성은 어닐링 챔버를 사용하는 금속 산화물들의 형성에 비해 몇몇의 장점들을 가질 수 있다. 첫째로, 감소된 동작 온도들이 금속 산화물들을 형성하도록 사용될 수도 있고, 동작 온도들은 금속 씨드층의 응집 온도 미만일 수 있고, 예를 들어, 구리에 대해 약 20 ℃ 내지 약 100 ℃일 수 있다. 둘째로, 산소 플라즈마에 의해 형성된 발생한 산화물은 개선된 막 균일도뿐만 아니라 모폴러지 (morphology) 를 나타낼 수 있다. 셋째로, 감소된 동작 온도에 부분적으로 기인하여 금속 씨드층 내에 씨드 응집이 덜 있다. 넷째로, 보다 얇은 씨드층들은 부분적으로 씨드 응집의 감소에 기인하여 사용될 수 있다. 예를 들어, 씨드층들의 두께는 약 50 Å 이하일 수 있다. 이러한 씨드층들은 생산용 웨이퍼들에서 사용될 수도 있고 그리고 실험 목적 및 테스트 목적으로 제한될 필요가 없을 수도 있다. 다섯째로, 보다 제어된 환경이 대기 조건들에 노출된 어닐링 챔버보다 플라즈마 프로세싱 시스템 내에 제공될 수 있다.
도 14는 금속 산화물 환원을 특징으로 하는 예시적인 방법을 예시하는 흐름도를 도시한다. 프로세스 (1400) 에서 동작들은 상이한 순서들로 및/또는 상이하거나, 보다 적거나, 또는 추가의 동작들로 수행될 수도 있다.
프로세스 (1400) 는 기판 상에 형성된 금속 씨드층을 가진 기판이 프로세싱 챔버 내에 제공되는, 블록 1405에서 시작할 수 있다. 일반적으로, 금속 씨드층은 PVD, CVD, ALD, 전기도금, 및 무전해 도금과 같은 임의의 적절한 증착 기법을 사용하여 증착될 수 있다. 일부 구현예들에서, 금속 씨드층은 PVD를 사용하여 기판 상에 증착될 수 있다. 일부 구현예들에서, 금속 씨드층은 블랭킷 기판 상에 증착될 수도 있고, 블랭킷 기판은 산화 전, 산화 후, 및 환원 후 측정될 수 있는 막을 반복적으로 생성하도록 비히클을 제공할 수 있다. 일부 구현예들에서, 금속 씨드층은 패터닝된 기판 상에 증착될 수도 있고, 기판은 측벽들 및 하단부들을 가진 하나 이상의 피처들을 포함할 수 있다. 피처들은 다마신 프로세스에서, 구리 상호 접속부들에 대한 트렌치들, 리세스들, 및 비아들을 포함할 수 있다. 일부 구현예들에서, 피처들은 약 5:1 초과, 예를 들어, 약 10:1 초과의 폭에 대한 높이 종횡비를 가진다. 다양한 금속 타입들을 가진 패터닝된 기판들이 사용될 수도 있고 산화물 환원에 대한 기하학적인 영향들을 더 이해하고 평가하도록 산화될 수도 있다.
금속 씨드층은 기판 상에 증착될 수 있고, 금속 씨드층은 블랭킷 기판의 표면 위 또는 패터닝된 기판의 피처들 위에 형성될 수 있다. 금속 씨드층 내의 금속들의 예들은 이로 제한되지 않지만, 구리, 코발트, 루테늄, 팔라듐, 이리듐, 로듐, 오스뮴, 니켈, 금, 은, 및 알루미늄, 또는 이들 금속들의 합금들을 포함할 수 있다. 일부 구현예들에서, 금속 씨드층은 구리 씨드층 또는 코발트 씨드층을 포함할 수 있다. 금속 씨드층은 상대적으로 얇을 수 있고, 금속 씨드층은 약 200 Å 이하, 약 100 Å 이하, 약 50 Å 이하, 또는 약 10 Å 내지 약 50 Å의 평균 두께를 가질 수 있다. 일부 구현예들에서, 금속 씨드층은 반-귀금속층 상에 증착될 수도 있고, 반-귀금속층은 상대적으로 저 저항률의 확산 배리어/라이너로서 역할을 할 수 있다. 일부 구현예들에서, 반-귀금속층은 코발트를 포함할 수 있다. 금속 씨드층 및 반-귀금속층은 블랭킷 기판 상에 형성될 수도 있다. 그러나, 일부 구현예들에서, 금속 씨드층 및 반-귀금속층 중 하나 또는 양자는 연속적일 수 있고 그리고 패터닝된 기판의 피처들 위에 컨포멀하게 증착될 수 있다.
프로세싱 챔버는 직접 플라즈마 프로세싱 시스템 또는 리모트 플라즈마 프로세싱 시스템의 일부일 수 있다. 리모트 플라즈마 프로세싱 시스템에서, 하나 이상의 산화성 가스 종은 리모트 플라즈마 소스 내에 도입되고 그리고 하나 이상의 산화성 가스 종의 플라즈마는 리모트 플라즈마 소스 내에서 생성된다. 샤워헤드는 리모트 플라즈마 소스와 기판 사이에 배치되고, 샤워헤드는 하나 이상의 산화성 가스 종의 라디칼들을 프로세싱 챔버 내의 기판을 향해 분포시킬 수 있다. 일부 구현예들에서, 하나 이상의 산화성 가스 종의 플라즈마는 유도-결합 플라즈마이다. 직접 플라즈마 프로세싱 시스템에서, 하나 이상의 산화성 가스 종은 샤워헤드에 의해 기판을 향해 분포된다. 하나 이상의 산화성 가스 종의 플라즈마는 프로세싱 챔버 내의 기판 위의 공간 및/또는 프로세싱 챔버 내의 기판과 인접한 공간 내에서 생성된다. 일부 구현예들에서, 하나 이상의 산화성 가스 종의 플라즈마는 유도-결합 플라즈마 또는 용량-결합 플라즈마이다.
일부 구현예들에서, 직접 플라즈마 프로세싱 시스템 또는 리모트 플라즈마 프로세싱 시스템은 전기도금 장치의 일부일 수도 있다. 그와 같이, 프로세싱 챔버 내의 산화는 개별 툴로의 이송 없이 전기도금 프로세스와 동일한 장치에서 발생할 수 있다. 일부 구현예들에서, 산화 프로세스, 환원 프로세스, 및 도금 프로세스는 동일한 툴 내에서 일체화될 수 있고, 이로써 장비 설정량이 감소한다. 일부 구현예들에서, 산화 프로세스를 위한 프로세싱 챔버는 환원 프로세스를 위한 프로세싱 챔버와 동일할 수 있다. 예를 들어, 리모트 플라즈마 프로세싱 시스템은 금속 씨드층을 금속 산화물로 산화시키도록 사용될 수 있고, 그리고 리모트 플라즈마 프로세싱 시스템은 그 후에 금속 산화물을 금속으로 환원시키도록 사용될 수 있다. 이것은 프로세스들 사이에서 발생하는 이송량을 최소화하거나 그렇지 않으면 감소시키고, 이는 쓰루풋을 증가시킬 수 있고 큐 시간을 감소시킬 수 있다.
일부 구현예들에서, 프로세싱 챔버는 기판을 지지하기 위한 기판 지지부 (예를 들어, 페데스탈) 를 포함할 수 있다. 일부 구현예들에서, 기판 지지부는 온도-제어될 수 있다. 기판 지지부는 전도, 대류, 복사, 또는 이들의 조합들을 통해 열을 기판으로 전달할 수 있다.
프로세스 (1400) 의 블록 1410에서, 산소 플라즈마가 생성된다. 산소는 리모트 플라즈마 프로세싱 시스템의 리모트 플라즈마 소스 내로 또는 직접 플라즈마 프로세싱 시스템의 프로세싱 챔버 내로 흐를 수 있다. 산소의 플로우는 산소 플라즈마의 밀도를 변경하도록 제어될 수 있다. 일부 구현예들에서, 산소의 플로우는 약 1 SLM (standard liters per minute) 내지 약 50 SLM일 수 있다. 산소에 더하여 하나 이상의 가스들이 제공될 수 있다. 일부 구현예들에서, 산소는 질소, 아르곤, 헬륨, 네온, 크립톤, 크세논, 및 라돈 중 적어도 하나와 결합될 수 있다. 산소와 다른 가스들의 존재는 산소 플라즈마의 밀도에 영향을 미칠 수 있다. 특히, 다른 가스들의 존재는 플라즈마 내에서 이온들, 라디칼들, 및 분자들의 혼합물 내의 산소의 라디칼들의 농도를 변화시킬 수 있거나 그렇지 않으면 영향을 미칠 수 있다. 리모트 플라즈마 프로세싱 시스템 또는 직접 플라즈마 프로세싱 시스템에 인가된 전력은 또한 산소 플라즈마의 밀도에 영향을 미칠 수 있다. 일부 구현예들에서, 전력은 약 1 kW 내지 약 5 kW일 수 있다. 리모트 플라즈마 프로세싱 시스템 또는 직접 플라즈마 프로세싱 시스템 내의 압력은 산소 플라즈마의 밀도에 영향을 미칠 수 있다. 일부 구현예들에서, 산소 플라즈마는 감소된 압력, 예를 들어, 약 0.5 Torr 내지 약 10 Torr에서 생성될 수 있다.
일부 구현예들에서, 산소 플라즈마는 산소의 이온들 및 라디칼들의 혼합물을 적어도 포함한다. 전압이 리모트 또는 직접 플라즈마 프로세싱 시스템에 공급될 때, 전기장이 생성될 수 있다. 전기장은 이온화된 가스를 형성할 수 있고, 이온화된 가스는 이온들, 전자들, 중성자들, 반응성 라디칼들, 해리된 라디칼들, 및 다른 대전된 종을 포함할 수 있다. 반응식 6 내지 반응식 8에 나타낸 바와 같이, 플라즈마 내의 전자들은 산소의 이온들 및 라디칼들을 생성하도록 산소 분자들 또는 대전된 산소 종과 반응할 수 있다. 일부 구현예들에서, 플라즈마는 산소의 이온들 및 라디칼들뿐만 아니라 광자들, 예를 들어, 여기된 산소로부터 생성된, UV 방사선을 포함할 수 있다. 플라즈마 내의 산소의 이온들 및 라디칼들은 금속 산화물을 형성하기 위해 금속과 반응하도록 사용될 수 있다.
반응식 6: e- + O2
Figure pat00006
e- + 2O*
반응식 7: e- + O2
Figure pat00007
2e- + O2 +
반응식 8: e- + O2 +
Figure pat00008
2O*
프로세스 (1400) 의 블록 1415에서, 기판은 금속 씨드층의 금속 산화물을 형성하도록 프로세싱 챔버 내에서 산소 플라즈마에 노출된다. 노출 동안, 기판의 온도는 금속 씨드층의 응집 온도 미만일 수 있다. 금속 씨드층의 금속의 타입에 따라, 금속은 문턱값 온도 위의 온도에서 응집하기 시작할 수 있다. 응집의 효과들은 상대적으로 얇은 씨드층들, 특히 약 100 Å 미만의 두께를 가진 씨드층들 내에서 보다 단언된다. 응집은 불연속적인 금속 씨드층을 형성하도록 연속적인 또는 반-연속적인 금속 씨드층을 비드들, 범프들(bumps), 아이슬랜드들 (islands) 또는 다른 매스들 (masses) 로 임의로 합치거나 (coalescing) 비딩하는 (beading) 것을 포함한다. 이는 금속 씨드층으로 하여금 금속 씨드층 상에 배치되는 표면으로부터 박리되게 할 수 있고 그리고 도금 동안 증가된 보이딩 (voiding) 을 야기할 수 있다. 예를 들어, 응집이 구리에서 발생하기 시작하는 온도는 약 100 ℃보다 높다. 상이한 응집 온도들은 상이한 금속들에 대해 적절할 수도 있다. 온도를 금속의 응집 온도 미만으로 유지함으로써, 응집의 효과들은 심지어 상대적으로 얇은 씨드층들에서도 경감될 수 있다.
일부 구현예들에서, 기판의 온도는 약 20 ℃ 내지 약 400 ℃, 또는 약 20 ℃ 내지 약 100 ℃의 온도로 유지될 수 있다. 기판은 페데스탈과 같은, 기판 지지부 상에 지지될 수 있고, 그리고 페데스탈의 온도는 기판의 온도를 금속 씨드층의 응집 온도 미만으로 유지하도록 제어될 수 있다.
기판을 산소 플라즈마에 노출시키는 것은 금속을 금속 산화물로 변환시킬 수 있다. 발생한 산화물은 금속 씨드층의 천연 산화물들과 유사하게 거동할 수도 있다. 기판은 금속 씨드층의 전부 또는 실질적으로 전부를 금속 산화물로 변환시키도록 시간의 지속 기간 동안 산소 플라즈마에 노출될 수도 있다. 그러나, 노출의 시간은 금속 씨드층의 두께에 따라 변할 수도 있다. 일부 구현예들에서, 금속 산화물을 형성하기 위해 기판을 산소 플라즈마에 노출시키는 것은 약 90% 초과의 금속 씨드층의 금속을 금속 산화물로 변환시킬 수 있다. 반응식 9에서 나타낸 바와 같이, 산소 플라즈마로부터의 산소의 라디칼들은 금속을 금속 산화물로 산화시킬 수 있다. 일부 구현예들에서, 리모트 플라즈마 프로세싱 시스템에서와 같이, 산소의 이온들은 금속 씨드층이 노출 동안 산소의 라디칼들과 먼저 반응하도록 샤워헤드에 의해 여과될 수 있다. 일부 다른 구현예들에서, 직접 플라즈마 프로세싱 시스템들에서와 같이, 산소의 이온들, 라디칼들, 및 분자들은 금속 산화물을 형성하도록 금속 씨드층과 반응할 수도 있다.
반응식 9: M(s) + (x)O*
Figure pat00009
MOx(s)
기판은 프로세싱 챔버 내에서 금속 산화물을 형성하기 위한 조건들에 노출될 수도 있다. 이러한 조건들은 대기 조건들에 기판을 노출시키는 것과 비교할 때 리모트 플라즈마 프로세싱 시스템 또는 직접 플라즈마 프로세싱 시스템 내에서 보다 쉽게 제어될 수도 있다. 예를 들어, 프로세싱 챔버는 기판을 대기압에 노출시키는 것보다는 약 10 Torr 이하의 압력으로 펌핑 다운 (pump down) 될 수 있다. 표 2는 산소 플라즈마를 생성하고 산소 플라즈마에 대한 노출 동안 금속 산화물을 형성하기 위한 조건들의 일부 예시적인 범위들을 요약한다. 그러나, 금속 산화물이 여전히 아래에 제공된 범위들 외에도 형성될 수 있기 때문에, 아래에 제공된 범위들은 예시적이고 비제한적인 것으로 의미된다는 것이 이해된다. 예를 들어, 조건들 중 일부는 금속 씨드층의 두께, 노출의 지속 기간, 산소와 같은 산화제의 밀도, 및 기판 지지부의 온도에 따라 변할 수도 있다.
조건 범위
기판 지지부의 온도 20 ℃ 내지 400 ℃
압력 0.5 Torr 내지 10 Torr
전력 1 kW 내지 5 kW
산소 플로우 레이트 1 SLM 내지 50 SLM
다른 가스 종 질소, 아르곤, 헬륨
금속 씨드층 두께 10 Å 내지 200 Å
산소 플라즈마에 대한 노출 동안의 조건들은 산화 전 및 산화 후의 기판의 재현가능한 저항률 변화를 달성할 수도 있다. 일부 구현예들에서, 산화가 완료될 때 또는 목표된 양의 산화가 발생하였을 때, 기판은 산화가 상대적으로 저온들 하에서 수행되었기 때문에 냉각되지 않을 수도 있다. 일부 구현예들에서, 산화가 완료될 때 또는 목표된 양의 산화가 발생하였을 때, 기판은 차후의 환원 처리를 위해 이송되기보다는 제자리에 남아있을 수도 있다. 산화된 기판은 메트롤로지를 위해 또는 차후의 환원 프로세스를 위한 측정된 (metric) 웨이퍼로서 사용될 수 있다.
프로세싱 챔버 내에서 조건들에 노출된 후 형성된 금속 산화물은 안정하고, 반복할 수 있고, 그리고 균일할 수 있다. 금속 산화물은 기판이 심지어 긴 시간의 기간들 후에도 동일하거나 실질적으로 동일하도록 시간에 걸쳐 화학적으로 안정하게 남아있다. 따라서, 기판은 저장부 내에 있는 동안 물리적으로 또는 화학적으로 변화들을 겪는 일 없이 나중의 사용을 위해 저장될 수 있다. 금속 산화물은 금속 산화물의 특성들이 프로세싱 챔버 내에서 특정한 조건들 하에서 지속적으로 재현될 수 있다는 점에서 반복할 수 있다. 예를 들어, 기판이 특정한 시간, 온도, 압력, RF 전력, 산소 플로우, 및 다른 가스 종에 노출될 때, 산화 전 및 산화 후의 기판의 일관된 저항률 변화가 기판-대-기판에 대해 재현될 수 있다. 또한, 금속 산화물은 금속 씨드층의 산화가 기판에 걸쳐 균일하다는 점에서 균일하다. 예를 들어, 금속 씨드층의 산화량은 기판의 중심으로부터 에지로 상당히 변화하지 않는다. 게다가, 막 불균일도는 상대적으로 낮을 수 있고, 예를 들어, 약 10% 미만일 수 있다.
프로세스 (1400) 의 블록 1420에서, 기판은 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키는 조건들 하에서 환원 처리에 노출된다. 환원 처리는 건식 환원 처리 또는 습식 환원 처리를 포함할 수 있다. 일부 구현예들에서, 환원 처리는 환원성 가스 종의 리모트 플라즈마를 형성하는 것을 포함하는 건식 처리이다. 환원성 가스 종의 예들은 이로 제한되지 않지만 수소 및 암모니아를 포함할 수 있다. 리모트 플라즈마는 환원성 가스 종의 라디칼들, 환원성 가스 종의 이온들, 및 환원성 가스 종의 여기로부터 생성된 UV 방사선을 포함할 수 있다. 금속 씨드층의 금속 산화물은 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키도록 리모트 플라즈마에 노출될 수 있다. 금속 씨드층과 일체화된 막의 특성들은 도 2a 내지 도 2d에 대해 더 상세히 논의된다.
리모트 플라즈마는 예를 들어, H*, NH2 *, 또는 N2H3 *와 같은, 환원성 가스 종의 라디칼들을 포함할 수도 있다. 환원성 가스 종의 라디칼들은 순수한 금속성 표면을 생성하도록 금속 산화물 표면과 반응한다. 보다 먼저 기술된 반응식 3 내지 반응식 5는 수소의 라디칼들이 어떻게 생성될 수 있는지 그리고 수소의 분자들 또는 라디칼들이 금속을 형성하도록 금속 산화물과 어떻게 반응할 수 있는지에 관한 예시적인 식들을 나타낸다. 환원성 가스 종의 라디칼들, 환원성 가스 종의 이온들, 여기된 환원성 가스 종으로부터의 UV 방사선 또는 환원성 가스 종 자체가 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 변환시키는 조건들 하에서 금속 산화물과 반응할 수 있다.
일부 다른 구현예들에서, 환원 처리는 습식 환원 처리이다. 습식 환원 처리는 금속 씨드층의 금속 산화물을 환원제를 함유하는 용액과 콘택트하는 것을 포함할 수 있다. 환원제는 보레인 또는 수소화붕소와 같은 붕소-함유 화합물, 하이드라진과 같은 질소-함유 화합물, 및 차아인산염과 같은 인-함유 화합물 중 적어도 하나를 포함할 수 있다. 용액은 금속 씨드층의 표면의 습식 가능성을 증가시키거나 환원제의 안정성을 증가시키는 촉진제 또는 첨가제들과 같은 첨가제들을 포함할 수 있다. 금속 씨드층과 일체화된 막의 형태로 금속 산화물들을 금속으로 환원시키기 위한 습식 환원 처리는, 2013년 1월 14일 출원된, 미국 특허 출원 제 13/741,141 호 (대리인 문서 제 LAMRP018 호) 에서 기술될 수 있다.
산화 처리 및 환원 처리를 위한 챔버들은 프로세스들 각각이 단일 툴에 일체화될 수도 있도록, 전기도금 장치의 일부일 수도 있다. 그러나, 산화 처리 및 환원 처리를 위한 챔버들은 동일한 챔버 또는 스테이션에서 수행될 수도 있거나 수행되지 않을 수도 있다. 환원 처리의 타입에 따라 그리고 산소 플라즈마의 위치에 따라, 기판은 산화 처리를 위한 프로세싱 챔버와는 상이한 환원 처리를 위한 또 다른 챔버 내에 제공될 수도 있거나, 기판은 산화 처리 및 환원 처리를 위한 동일한 챔버 내에 남아있을 수도 있다. 일부 구현예들에서, 기판은 직접 플라즈마 프로세싱 시스템의 챔버 내에서 산화될 수도 있고 그리고 건식 환원 처리를 위한 리모트 플라즈마 프로세싱 시스템의 또 다른 챔버로 이송될 수도 있다. 일부 구현예들에서, 기판은 리모트 플라즈마 프로세싱 시스템의 챔버 내에서 산화될 수도 있고 그리고 습식 환원 처리를 수행하기 위한 챔버로 이송될 수도 있다. 일부 구현예들에서, 기판은 직접 플라즈마 프로세싱 시스템의 챔버 내에서 산화될 수도 있고 그리고 습식 환원 처리를 수행하기 위한 챔버로 이송될 수도 있다. 일부 구현예들에서, 기판은 리모트 플라즈마 프로세싱 시스템의 챔버 내에서 산화될 수도 있고 그리고 건식 환원 처리를 위한 동일한 챔버 내에 남아있을 수도 있다. 산화 처리 및 환원 처리가 동일한 챔버 내에서 수행되는 경우에, 기판은 이송을 최소화하도록 동일한 챔버 내에 남아있을 수도 있지만, 프로세싱 챔버 내의 조건들은 변화할 수도 있다. 일부 다른 구현예들에서, 기판은 저장부로부터 환원 처리를 수행하기 위한 프로세싱 챔버로 이송될 수도 있다.
금속 산화물이 기판 상에 형성되는 기판은 차후의 금속 산화물 환원 프로세스를 모니터링하고, 캘리브레이팅하고, 테스트하고, 적격화하거나 특징으로 하도록 사용될 수 있다. 일부 구현예들에서, 기판의 저항률 (예를 들어, 시트 저항) 은 환원 전에 측정될 수 있고 그리고 기판의 저항률은 환원 후에 측정될 수 있다. 분석의 다른 형태들은 금속 씨드층의 산화를 특징으로 하도록 사용될 수도 있고, 이로 제한되지 않지만 기판의 시각적 모습을 분석하는 것을 포함한다. 일부 구현예들에서, 프로세스 (1400) 는 기판을 환원 처리에 노출시키기 전에 기판의 제 1 시트 저항을 측정하는 것 및 기판을 환원 처리에 노출시킨 후에 기판의 제 2 시트 저항을 측정하는 것을 더 포함한다. 측정들은 환원 처리가 효과적으로 그리고 지속적으로 수행되는지의 여부를 결정하기 위해 환원 처리를 특징으로 하도록 사용될 수 있다. 일부 구현예들에서, 프로세스 (1400) 는 금속 산화물을 형성하기 위한 조건들에 기판을 노출시키기 전에 기판의 제 3 시트 저항을 측정하는 것을 더 포함할 수 있다. 기판을 환원 처리에 노출시키기 전의 기판의 제 1 시트 저항과 상관 없이, 기판을 환원 처리에 노출시킨 후의 기판의 제 2 시트 저항은 기판-대-기판에 대해 일관될 수 있다. 일부 구현예들에서, 기판은 저항률과 같은 파라미터를 사용하여 또는 시각적으로 특징지을 수 있고, 이는 환원 처리의 유효성의 시각적 및 수치적 지표를 제공할 수 있다. 이러한 특성들은 플라즈마 프로세싱 시스템 또는 임의의 다른 환원 장치의 유효성을 측정, 모니터링, 적격화 및 테스트하는데 있어서 유용할 수도 있다.
기판은 다음의 스테이지들: (1) 산화물이 형성되기 전, (2) 산화물이 형성된 후, 및 (3) 산화물이 환원된 후의 산화물 형성을 특징으로 할 수 있다. 예를 들어, 산화물 형성량은 산화물이 형성되기 전 및 산화물이 형성된 후의 저항률 변화에 의해 명시될 수 있다. 또 다른 예에서, 산화물의 환원량은 산화물이 형성되기 전의 저항률과 비교할 때 산화물이 환원된 후의 저항률에 의해 명시될 수 있다. 통상적으로, 산화물이 환원된 후의 저항률은 산화물이 형성되기 전의 저항률보다 다소 높다. 산화물이 환원된 후의 저항률이 산화물이 형성되기 전의 저항률과 상당히 유사하다면, 이는 금속 산화물 환원 프로세스의 성능의 좋은 지표일 수 있다. 저항률의 변화가 산화물이 환원되기 전으로부터 산화물이 환원된 후까지 상대적으로 크다면, 그러면 이는 또한 금속 산화물 환원 프로세스의 성능의 좋은 지표일 수 있다. 저항률 비교 및 저항률 변화의 이러한 측정들을 사용하여, 환원 프로세스의 품질이 재현 가능하게 측정될 수 있다.
일부 구현예들에서, 프로세스 (1400) 는 블록 1420에서 기판을 환원 처리에 노출시키기 전에 복수의 추가의 기판들에 대해 블록 1405, 블록 1410, 및 블록 1415의 동작들을 반복하는 것을 더 포함한다. 추가의 기판들 각각은 동일하거나 실질적으로 동일할 수도 있다. 상술한 동작들은 금속 산화물들을 재현 가능하게 형성하도록 반복된다. 따라서, 추가의 기판들 각각은 금속 산화물들을 금속으로 환원시키기 위한 프로세싱 챔버의 수행을 모니터링하고, 캘리브레이팅하고, 테스트하고, 적격화하거나, 특징으로 하도록 사용될 수 있는 기판들의 공급을 형성하도록 산화될 수도 있다. 추가의 기판들의 공급은 나중의 사용을 위해 저장될 수도 있다.
일부 구현예들에서, 프로세스 (1400) 는 블록 1420에서 기판을 환원 처리에 노출시킨 후의 복수의 추가의 기판들 각각에 대해 블록 1420의 동작을 반복하는 것을 더 포함한다. 추가의 기판들 각각은 금속 산화물들을 환원시키기 위한 환원 처리들을 겪을 수 있다. 추가의 기판들 중 임의의 기판들에 대해 금속 산화물들의 환원을 분석한 후, 플라즈마 프로세싱 시스템 또는 환원 장치의 유효성이 결정될 수 있다. 일부 구현예들에서, 산화 처리 및 환원 처리를 겪는 추가의 기판들은 특히 추가의 기판들이 상대적으로 얇은 금속 씨드층을 갖는 경우에, 생산용 웨이퍼들로서 사용될 수 있다.
프로세스 (1400) 는 금속 산화물들을 환원시키기 위한 환원 처리의 안정성을 모니터링하고 확인할 수 있다. 일부 구현예들에서, 프로세스 (1400) 는 도금 (예를 들어, 다마신 구리 도금) 전에 금속 산화물 (예를 들어, 구리 산화물 또는 코발트 산화물) 을 금속으로 환원시키도록 사용된 플라즈마 프로세스의 안정성 및 특성을 모니터링하는 것을 허용한다. 다른 환원 처리들이 또한 모니터링될 수도 있고 프로세스 (1400) 에 제공된 금속 산화물들을 특징으로 할 수도 있다.
도 15는 금속 산화물 환원을 특징으로 하는 사용을 위한, 기판 상에 금속 산화물을 형성하기 위한 또 다른 예시적인 프로세스 플로우를 예시하는 흐름도를 도시한다. 프로세스 (1500) 에서 동작들은 상이한 순서들로 및/또는 상이하거나, 보다 적거나, 또는 추가의 동작들로 수행될 수도 있다.
프로세스 (1500) 는 산소가 플라즈마 프로세싱 시스템 내로 흐르는 블록 1505에서 시작한다. 플라즈마 프로세싱 시스템은 리모트 플라즈마 프로세싱 시스템 또는 직접 플라즈마 프로세싱 시스템을 포함할 수 있다. 리모트 플라즈마 프로세싱 시스템에서, 산소는 가스 분배기 (distributor) 로부터 샤워헤드와 가스 분배기 사이의 공간 내로 흐른다. 직접 플라즈마 프로세싱 시스템에서, 산소는 샤워헤드로부터 기판과 샤워헤드 사이의 공간 내로 흐른다. 일부 구현예들에서, 산소의 플로우 레이트는 하나 이상의 질량 유량 제어기들 (MFC들) 에 의해 제어될 수 있고, 약 1 SLM 내지 약 50 SLM일 수 있다. 일부 구현예들에서, 질소, 아르곤, 및 헬륨과 같은 다른 가스들은 플라즈마 프로세싱 시스템 내로 흐른다. 플라즈마 프로세싱 시스템 내의 압력은 대기압 미만의 압력으로 펌핑 다운될 수 있다. 일부 구현예들에서, 플라즈마 프로세싱 시스템 내의 압력은 1.5 Torr 이하이다.
프로세스 (1500) 의 블록 1510에서, 기판 상에 형성된 금속 씨드층을 가진 기판이 플라즈마 프로세싱 시스템 내에 제공된다. 리모트 플라즈마 프로세싱 시스템에서, 산소는 리모트 플라즈마 소스 내로 흐를 수 있고 그리고 기판은 리모트 플라즈마 소스로부터 개별 프로세싱 챔버 내의 페데스탈 상에 제공될 수 있다. 즉, 기판이 리모트 플라즈마 소스의 외부에 있는 경우에, 기판은 샤워헤드 아래의 공간에 제공될 수 있다. 직접 플라즈마 프로세싱 시스템에서, 산소 및 기판은 동일한 프로세싱 챔버 내에 제공될 수 있다.
기판은 구리 씨드층 또는 코발트 씨드층을 포함할 수 있는, 기판 상에 형성된 금속 씨드층을 포함할 수 있다. 일부 구현예들에서, 씨드층의 두께는 50 Å 이하, 또는 약 10 Å 내지 약 50 Å일 수 있다. 일부 구현예들에서, 페데스탈은 기판의 온도를 제어하도록 구성될 수 있다. 예를 들어, 기판의 온도는 구리 씨드층에 대해 약 20 ℃ 내지 약 100 ℃일 수 있다. 또 다른 예에서, 기판의 온도는 코발트 씨드층에 대해 약 20 ℃ 내지 약 400 ℃일 수 있다.
프로세스 (1500) 의 블록 1515에서, 산소 플라즈마가 플라즈마 프로세싱 시스템 내에 생성된다. 전압은 플라즈마 프로세싱 시스템 내에서 전기장을 생성하도록 플라즈마 프로세싱 시스템에 인가될 수 있다. 전기장은 산소의 이온들 및 라디칼들을 형성하도록 산소를 이온화할 수 있고, 여기서 생성된 산소 플라즈마는 산소의 이온들 및 라디칼들을 포함한다. 일부 구현예들에서, 약 1 kW 내지 약 5 kW의 RF 전력이 플라즈마 프로세싱 시스템에 인가될 수 있다. 리모트 플라즈마 프로세싱 시스템에서, 산소의 이온들 및 라디칼들은 리모트 플라즈마 소스 내에서 생성될 수 있다. 직접 플라즈마 프로세싱 시스템에서, 산소의 이온들 및 라디칼들은 기판과 동일한 프로세싱 챔버 내에서 생성될 수도 있고, 그리고 기판과 인접하여 생성될 수도 있다.
프로세스 (1500) 의 블록 1520에서, 기판은 금속 씨드층의 금속 산화물을 형성하도록 플라즈마 프로세싱 시스템 내에서 산소 플라즈마에 노출되고, 여기서 기판의 온도는 금속 씨드층의 응집 온도 미만이다. 예를 들어, 기판의 온도는 코발트에 대해 약 400 ℃ 미만, 또는 구리에 대해 약 100 ℃ 미만일 수 있다. 산소 플라즈마에 대한 노출 동안, 기판의 온도는 금속 씨드층의 응집의 효과들을 감소시키도록 상대적으로 저온으로 유지될 수 있다. 기판의 온도를 상승시키지 않음으로써, 금속 씨드층의 모폴러지는 보존될 수 있고 그리고 금속 씨드층의 균일도가 개선될 수 있다. 게다가, 기판의 온도를 상승시키지 않음으로써, 보다 얇은 씨드층들이 산화될 수도 있다. 예를 들어, 씨드층들은 50 Å 이하일 수 있다.
리모트 플라즈마 프로세싱 시스템에서, 산소 플라즈마에 대한 노출은 기판을 향하는 산소의 이온들 및 라디칼들의 전달 및 분포를 포함할 수 있다. 일부 구현예들에서, 기판과 리모트 플라즈마 소스 사이의 샤워헤드는 기판이 산소의 라디칼들에 실질적으로 노출되도록 산소의 이온들을 여과할 수 있다. 직접 플라즈마 프로세싱 시스템에서, 산소 플라즈마에 대한 노출은 기판과 산소의 이온들 및 라디칼들의 노출을 포함할 수 있다. 일부 구현예들에서, 90% 초과의 금속 씨드층의 금속은 금속 산화물로 변환된다. 일부 구현예들에서, 얼마나 많은 양의 금속이 금속 산화물로 변환되는지는 압력, 온도, 산소 플라즈마의 밀도, 및 노출의 지속 기간과 같은, 플라즈마 프로세싱 시스템 내의 조건들에 따라 결정될 수 있다.
기판이 산소 플라즈마에 노출된 후에, 프로세스 (1500) 는 블록 1525a 또는 블록 1525b로 계속될 수 있다. 블록 1525a에서, 기판은 나중의 사용을 위해 저장된다. 기판은 필요하기 전에 사용될 수 있는 안정한, 반복할 수 있는, 그리고 균일한 산화물 막을 포함한다. 블록 1525b에서, 기판은 금속 산화물 환원 테스트를 위해 제공된다. 일부 구현예들에서, 기판은 환원을 위한 플라즈마 프로세싱 시스템과 동일한 챔버 내에 남아있거나, 기판은 환원을 위한 또 다른 챔버로 이송된다. 금속 산화물 환원 테스트를 수행하도록, 기판은 사전에 측정될 수 있고, 환원 처리를 통해 프로세싱될 수 있고, 그리고 사후에 측정될 수 있다.
프로세스 (1500) 의 블록 1530에서, 기판의 제 1 시트 저항이 측정된다. 일부 구현예들에서, 기판의 제 1 시트 저항은 4 지점 프로브 장치를 사용하여 측정될 수도 있다.
프로세스 (1500) 의 블록 1535에서, 금속 산화물 환원은 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키는 조건들 하에서 기판을 환원 처리에 노출시킴으로써 수행된다. 일부 구현예들에서, 환원 처리는 기판을 산화시키도록 사용되는 플라즈마 프로세싱 시스템과 동일한 플라즈마 프로세싱 시스템 내에서 발생할 수 있다. 금속 산화물은 금속 산화물을 금속으로 환원시키도록 리모트 플라즈마에 노출될 수 있다. 기판을 환원 처리에 노출시키는 것은, 환원성 가스 종으로부터의 라디칼들, 이온들, 및 UV 방사선 중 하나 이상을 포함하는, 환원성 가스 종의 플라즈마를 생성하는 것, 및 환원성 가스 종의 플라즈마에 기판을 노출시키는 것을 포함할 수 있다. 일부 구현예들에서, 환원성 가스 종은 수소를 포함한다. 일부 구현예들에서, 산소 플라즈마 및 환원성 가스 종의 플라즈마 둘 다는 리모트 플라즈마 소스 내에서 생성된다.
프로세스 (1500) 의 블록 1540에서, 기판의 제 2 시트 저항이 측정된다. 일부 구현예들에서, 기판의 제 2 시트 저항은 4 지점 프로브 장치를 사용하여 측정될 수 있다. 제 2 시트 저항은 환원 처리의 유효성을 결정하도록 그리고 환원 처리가 예상된 대로 수행되는지를 결정하도록 제 1 시트 저항과 비교될 수 있다.
도 16a는 금속 씨드층을 산화시키도록 구성된 플라즈마 프로세싱 시스템의 단면 개략도를 도시한다. 도 16b는 금속 산화물을 금속으로 환원시키도록 구성된 도 16a의 플라즈마 프로세싱 시스템의 단면 개략도를 도시한다. 플라즈마 프로세싱 시스템 (1600) 은 리모트 플라즈마 소스 (1605) 및 리모트 플라즈마 소스 (1605) 외부의 프로세싱 챔버 (1650) 를 가진 리모트 플라즈마 장치일 수 있다. 기판 (1610) 은 리모트 플라즈마 소스 (1605) 외부의 프로세싱 챔버 (1650) 내에 배치된다. 플라즈마 프로세싱 시스템 (1600) 은 프로세싱 챔버 (1650) 내에 기판 (1610) 을 홀딩하기 위한 기판 지지부 (미도시) 를 더 포함할 수 있다. 기판 (1610) 은 금속 씨드층을 포함할 수 있다. 리모트 플라즈마 소스 (1605) 는 기판 지지부 위에 배치되고 그리고 컨테이너 (1640), 리모트 플라즈마 소스 (1605) 의 유출부 단부에 있는 샤워헤드 (1630), 및 리모트 플라즈마 소스 (1605) 의 유입부 단부에 있는 가스 분배기 (1642) 를 포함할 수 있다. 가스 분배기 (1642) 는 산소 또는 수소를 컨테이너 (1640) 내로 흐르게 하도록 구성될 수도 있다. 일부 구현예들에서, 컨테이너 (1640) 의 부분은 돔-형상 또는 원추형-형상일 수도 있다. 일부 구현예들에서, 가스 분배기 (1642) 는 산소 또는 수소의 플로우를 컨테이너 (1640) 의 측벽들을 따라 및/또는 컨테이너 (1640) 의 측벽들을 향해 우선적으로 지향하도록 구성될 수도 있다. 코일들 (1644) 은 컨테이너 (1640) 의 외부에 배치될 수도 있고 그리고 컨테이너 (1640) 의 측벽들을 둘러쌀 수도 있다. 일부 구현예들에서, 코일들 (1644) 은 컨테이너 (1640) 내에 전기장을 생성하도록 구성될 수도 있다.
도 16a에서, 전기장은 산소 플라즈마를 형성하도록 산소를 이온화할 수도 있다. 산소 플라즈마는 적어도 산소 이온들 및 산소 라디칼들 (1660) 을 포함한다. 리모트 플라즈마 소스 (1605) 의 유출부 단부에서의 샤워헤드 (1630) 는, 리모트 플라즈마 소스 (1605) 로부터 산소 라디칼들 (1660) 을 분포시키기 위한 복수의 쓰루-홀들 (through-hole) 을 포함할 수도 있다. 산소 라디칼들 (1660) 은 기판 (1610) 을 향해 프로세싱 챔버 (1650) 내로 분포될 수도 있다. 산소 라디칼들 (1660) 은 기판 (1610) 을 산화시키도록 금속을 금속 산화물로 변환시킬 수도 있다. 샤워헤드 (1630) 는 기판 (1610) 이 산소 라디칼들 (1660) 에 실질적으로 노출될 수도 있도록 산소 이온들을 여과하기 위해 구성될 수도 있다.
도 16b에서, 전기장은 수소 플라즈마를 형성하도록 수소를 이온화할 수도 있다. 수소 플라즈마는 적어도 수소 이온들 및 수소 라디칼들 (1670) 을 포함한다. 샤워헤드 (1630) 의 쓰루-홀들은 리모트 플라즈마 소스 (1605) 로부터 수소 라디칼들 (1670) 을 분포시킬 수도 있다. 수소 라디칼들 (1670) 은 기판 (1610) 을 향해 프로세싱 챔버 (1650) 내로 분포될 수도 있고, 여기서 기판 (1610) 은 산화될 수도 있다. 수소 라디칼들 (1670) 은 기판 (1610) 을 환원시키도록 금속 산화물을 금속으로 변환시킬 수도 있다. 샤워헤드 (1630) 는 산화된 기판 (1610) 이 수소 라디칼들 (1670) 에 실질적으로 노출될 수도 있도록 수소 이온들을 여과하기 위해 구성될 수도 있다.
금속 산화물들을 환원시키기 위한 플라즈마 프로세싱 시스템 (1600) 의 구현예들은, 각각이 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, Spurlin 등의 2013년 3월 6일 출원된, 발명의 명칭이 "METHODS FOR REDUCING METAL OXIDE SURFACES TO MODIFIED METAL SURFACES USING A GASEOUS REDUCING ENVIRONMENT"인, 미국 특허 출원 제 13/787,499 호, Spurlin 등의 2013년 9월 6일 출원된, 발명의 명칭이 "METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER"인, 미국 특허 출원 제 14/020,339 호, 및 Spurlin 등의 2013년 11월 21일 출원된, 발명의 명칭이 "METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER"인, 미국 특허 출원 제 14/086,770 호에 기술될 수 있다.
도 16a 및 도 16b의 플라즈마 프로세싱 시스템 (1600) 은 전기도금 장치와 같은 전기충진 장치의 일부일 수도 있다. 예를 들어, 플라즈마 프로세싱 시스템 (1600) 은 도 7a에 도시된 전기도금 장치 (700) 의 일부일 수도 있다. 일부 구현예들에서, 플라즈마 프로세싱 시스템 (1600) 은 전기도금 장치 (700) 내의 챔버 또는 스테이션일 수도 있고 그리고 기판 상의 금속 씨드층들을 산화시키도록 구성될 수도 있고 또한 금속 씨드층들 상의 금속 산화물들을 환원시키도록 구성될 수도 있다. 플라즈마 프로세싱 시스템 (1600) 은 금속 씨드층들 상에 안정한, 반복할 수 있는, 그리고 균일한 금속 산화물 막들을 생성하도록 구성될 수 있고, 여기서 금속 산화물 막들은 환원 처리를 테스트하고, 모니터링하고, 그리고 특징으로 하도록 사용될 수 있다. 도 16a 및 도 16b의 플라즈마 프로세싱 시스템 (1600) 은 도 7b 및 도 7c의 리모트 플라즈마 장치 (760) 로서 역할을 할 수도 있다. 인스트럭션들을 프로그래밍하는 것은 플라즈마 프로세싱 시스템 (1600) 과 통신하여, 시스템 제어기 (730) 와 같은 시스템 제어기 상에서 행해질 수 있다. 예를 들어, 시스템 제어기 (730) 내의 시스템 제어 소프트웨어는 플라즈마 프로세싱 시스템 (1600) 의 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 이것은 페데스탈 온도, 가스 플로우들, 플로우 레이트들, 챔버 압력, 기판 위치, 기판 회전, 타이밍, RF 전력, 및 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 따라서, 산화 처리 및 환원 처리의 다양한 페이즈들이 시스템 제어기 (730) 에 의해 수행될 수도 있다.
플라즈마 프로세싱 시스템 (1600) 은 하나 이상의 동작들을 수행하도록 구성된 제어기 (미도시) 를 포함할 수 있다. 제어기는 플라즈마 프로세싱 시스템 (1600) 의 동작에 대한 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 통상적으로 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 도 7a 및 도 7b에 대해 본 명세서에서 먼저 기술된 시스템 제어기 (730) 의 양태들은, 플라즈마 프로세싱 시스템 (1600) 에 적용할 수도 있다. 제어기는: (a) 리모트 플라즈마 소스 (1605) 내에서 산소 플라즈마를 생성하는 것; (b) 금속 씨드층의 금속 산화물을 형성하도록 프로세싱 챔버 (1650) 내에서 기판 (1610) 을 산소 플라즈마에 노출시키는 것; (c) 리모트 플라즈마 소스 (1650) 내에서 환원성 가스 종의 플라즈마를 생성하는 것으로서, 환원성 가스 종의 플라즈마는: 환원성 가스 종으로부터의 라디칼들, 이온들, 및 UV 방사선 중 하나 이상을 포함하는, 환원성 가스 종의 플라즈마를 생성하는 것; 및 (d) 금속 씨드층과 일체화된 막의 형태로 금속 산화물을 금속으로 환원시키도록 기판을 환원성 가스 종의 플라즈마에 노출시키는 것을 수행하기 위한 인스트럭션들로 구성될 수도 있다. 제어기는 도 14의 프로세스 (1400) 및 도 15의 프로세스 (1500) 와 연관된 단계들 중 임의의 단계들을 수행하기 위한 인스트럭션들로 구성될 수도 있다. 일부 구현예들에서, 90% 초과의 금속 씨드층의 금속은 기판을 산소 플라즈마에 노출시키는 동안 금속 산화물로 변환된다. 제어기는 기판의 온도를 금속 씨드층의 응집 온도 미만으로 유지하기 위한 인스트럭션들을 더 포함할 수도 있다. 제어기는 기판을 산소 플라즈마에 노출시키는 동안 플라즈마 프로세싱 시스템의 압력을 약 0.5 Torr 내지 약 10 Torr로 유지하기 위한 인스트럭션들을 더 포함할 수도 있다. 제어기는 환원성 가스 종의 플라즈마에 기판을 노출시키기 전에 기판의 제 1 시트 저항을 측정하기 위한 인스트럭션들, 및 환원성 가스 종의 플라즈마에 기판을 노출시킨 후에 기판의 제 2 시트 저항을 측정하기 위한 인스트럭션들을 더 포함할 수도 있다.
예들 및 데이터 - 플라즈마 방법
도 17은 다양한 큐 시간들에 대해, 산소 플라즈마에 의한 산화 전, 산소 플라즈마에 의한 산화 후, 및 수소 플라즈마에 의한 환원 후의 일련의 시트 저항 측정치들 및 막 불균일도 측정치들을 도시한다. 도 17에서, 시트 저항 값들은 산화 전, 산화 후, 및 환원 후에, 구리 씨드층을 가진 기판 상에서 측정되었다. 구리 씨드층의 두께는 50 Å이었다. 산화 전에, 시트 저항은 16.73 ohms/sq이었다. 이어서 기판은 산소 플라즈마에 의해 산화되었다. 산소 플라즈마를 생성하도록 그리고 산소 플라즈마에 대한 노출 동안, 페데스탈 온도는 75 ℃이었고, 압력은 1.5 Torr이었고, RF 전력은 2 kW이었고, 산소 플로우 레이트는 5 SLM이었고, 그리고 산소는 질소와 함께 흘렀다. 산화 후에, 시트 저항은 36.20 ohms/sq로 급증하었다. 이어서 기판은 수소 플라즈마에 의해 환원되었다. 환원 후의 시트 저항을 측정하기 전에, 기판은 큐 시간에 대해 기판의 민감도를 결정하도록 테스트되었다. 60 초의 큐 시간 후에, 환원 후의 시트 저항은 16.28 ohms/sq이었다. 2 시간의 큐 시간 후에, 환원 후의 시트 저항은 16.80 ohms/sq이었다. 18 시간, 25 시간, 및 48 시간의 큐 시간 후에, 환원 후의 시트 저항은 각각 16.95 ohms/sq, 17.01 ohms/sq, 및 17.07 ohms/sq이었다. 수소 플라즈마에 의한 환원 후의 시트 저항 값들은 산화 전의 시트 저항 값과 매우 비슷하였고, 시트 저항 값들은 큐 시간에 대체로 덜 민감하였다.
또한, 금속 씨드층의 막 불균일도가 산화 전, 산화 후, 및 환원 후에 측정되었다. 막 불균일도는 막의 가장 두꺼운 부분과 가장 얇은 부분 사이의 차이를 취함으로써, 그리고 그 값을 막 두께의 평균 값의 2배로 나눔으로써 계산될 수 있다: % 불균일도 = (max - min) / (2*mean). 도 17에서, 산화 전의 막 불균일도는 6.56%이었고, 이어서 산화 후에 6.99%로 미미하게 증가하였다. 환원 후에, 막 불균일도는 감소하였다. 60 초, 2 시간, 18 시간, 25 시간, 및 48 시간의 큐 시간 후에, 막 불균일도는 각각 5.20%, 5.42%, 5.42%, 5.40%, 및 5.38%이었다. 따라서, 심지어 산소 플라즈마에 의한 산화 후에도, 막 불균일도는 상대적으로 낮았다. 사실상, 막 불균일도는 산화 전, 산화 후, 및 환원 후에 5% 내지 7% 내에 있었다. 이것은 산화 후 및 환원 후의 금속 씨드층 내에 불연속성을 형성하는데 있어서 씨드 응집의 영향들이 최소였다는 것을 나타낸다.
상술한 내용이 명료성 및 이해의 목적들을 위해 일부 상세하게 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수도 있다는 것이 자명할 것이다. 기술된 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야한다. 따라서, 기술된 실시예들은 예시적인 것으로 고려되고 제한적인 것으로서 고려되지 않는다.

Claims (30)

  1. 금속 산화물 환원을 특징으로 하는 방법에 있어서,
    (a) 프로세싱 챔버 내에서 기판 상에 형성된 금속 씨드층을 가진 상기 기판을 제공하는 단계;
    (b) 산소 플라즈마를 생성하는 단계;
    (c) 상기 금속 씨드층의 금속 산화물을 형성하도록 상기 프로세싱 챔버 내에서 상기 산소 플라즈마에 상기 기판을 노출시키는 단계로서, 상기 기판의 온도는 상기 금속 씨드층의 응집 (agglomeration) 온도 미만인, 상기 산소 플라즈마에 상기 기판을 노출시키는 단계; 및
    (d) 상기 금속 씨드층과 일체화된 막의 형태로 상기 금속 산화물을 금속으로 환원시키는 조건들 하에서 상기 기판을 환원 처리에 노출시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  2. 제 1 항에 있어서,
    상기 산소 플라즈마에 대한 노출 동안 상기 기판의 상기 온도는 약 100 ℃ 미만인, 금속 산화물 환원을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 기판을 상기 환원 처리에 노출시키는 단계는:
    환원성 가스 종의 플라즈마를 생성하는 단계로서, 상기 환원성 가스 종의 상기 플라즈마는: 환원성 가스 종으로부터의 라디칼들, 이온들, 및 자외 (UV) 방사선 중 하나 이상을 포함하는, 상기 환원성 가스 종의 플라즈마를 생성하는 단계; 및
    상기 프로세싱 챔버 내에서 상기 기판을 상기 환원성 가스 종의 상기 플라즈마에 노출시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  4. 제 3 항에 있어서,
    상기 환원성 가스 종은 수소를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  5. 제 4 항에 있어서,
    상기 산소 플라즈마 및 상기 환원성 가스 종의 상기 플라즈마는 리모트 플라즈마 소스 내에서 생성되는, 금속 산화물 환원을 특징으로 하는 방법.
  6. 제 1 항에 있어서,
    상기 산소 플라즈마는 직접 플라즈마 소스 내에서 생성되는, 금속 산화물 환원을 특징으로 하는 방법.
  7. 제 1 항에 있어서,
    상기 산소 플라즈마에 대한 노출 동안 상기 프로세싱 챔버의 압력은 약 0.5 Torr 내지 약 10 Torr인, 금속 산화물 환원을 특징으로 하는 방법.
  8. 제 1 항에 있어서,
    상기 금속 씨드층의 두께는 약 50 Å 이하인, 금속 산화물 환원을 특징으로 하는 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 금속 산화물을 형성하기 위해 상기 기판을 상기 산소 플라즈마에 노출시키는 단계는, 90% 초과의 상기 금속 씨드층의 상기 금속을 금속 산화물로 변환시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  10. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 기판을 상기 환원 처리에 노출시키기 전에 상기 기판의 제 1 시트 저항을 측정하는 단계; 및
    상기 기판을 상기 환원 처리에 노출시킨 후에 상기 기판의 제 2 시트 저항을 측정하는 단계를 더 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  11. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 프로세싱 챔버 내에 상기 기판을 제공하기 전에 복수의 추가의 기판들 각각에 대해 상기 동작 (a) 내지 (c) 를 반복하는 단계를 더 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  12. 제 11 항에 있어서,
    상기 기판을 상기 환원 처리에 노출시킨 후에 상기 복수의 추가의 기판들 각각에 대해 상기 동작 (d) 를 반복하는 단계를 더 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  13. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 금속 씨드층은 구리 및 코발트 중 적어도 하나를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  14. 금속 산화물 환원을 특징으로 하는 장치에 있어서,
    프로세싱 챔버;
    상기 프로세싱 챔버 내에서 기판을 홀딩하기 위한 기판 지지부로서, 상기 기판은 금속 씨드층을 포함하는, 상기 기판 지지부;
    상기 기판 지지부 위의 리모트 플라즈마 소스; 및
    제어기를 포함하고,
    상기 제어기는 다음의 동작들:
    (a) 상기 리모트 플라즈마 소스 내에서 산소 플라즈마를 생성하는 동작;
    (b) 상기 프로세싱 챔버 내에서 상기 금속 씨드층의 금속 산화물을 형성하도록 상기 프로세싱 챔버 내에서 상기 기판을 상기 산소 플라즈마에 노출시키는 동작;
    (c) 상기 리모트 플라즈마 소스 내에서 환원성 가스 종의 플라즈마를 생성하는 동작으로서, 상기 환원성 가스 종의 상기 플라즈마는: 상기 환원성 가스 종으로부터의 라디칼들, 이온들, 및 자외 (UV) 방사선 중 하나 이상을 포함하는, 상기 환원성 가스 종의 플라즈마를 생성하는 동작; 및
    (d) 상기 금속 씨드층과 일체화된 막의 형태로 상기 금속 산화물을 금속으로 환원시키도록 상기 기판을 상기 환원성 가스 종의 상기 플라즈마에 노출시키는 동작을 수행하기 위한 인스트럭션들로 구성되는, 금속 산화물 환원을 특징으로 하는 장치.
  15. 제 14 항에 있어서,
    상기 제어기는:
    상기 산소 플라즈마에 대한 상기 기판의 노출 동안 상기 기판 지지부의 온도를 상기 금속 씨드층의 응집 온도 미만으로 유지하기 위한 인스트럭션들을 더 포함하는, 금속 산화물 환원을 특징으로 하는 장치.
  16. 금속 산화물 환원을 특징으로 하는 방법에 있어서,
    (a) 산소를 어닐링 챔버 내로 제공하는 단계;
    (b) 상기 어닐링 챔버 내로 기판 상에 형성된 금속 씨드층을 가진 상기 기판을 제공하는 단계;
    (c) 상기 어닐링 챔버 내에서 상기 금속 씨드층의 금속 산화물을 형성하기 위한 조건들에 상기 기판을 노출시키는 단계;
    (d) 상기 기판을 프로세싱 챔버 내로 제공하는 단계; 및
    (e) 상기 금속 씨드층과 일체화된 막의 형태로 상기 금속 산화물을 금속으로 환원시키는 조건들 하에서 상기 기판을 환원 처리에 노출시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  17. 제 16 항에 있어서,
    산소를 상기 어닐링 챔버 내로 제공하는 단계는 상기 어닐링 챔버를 대기 조건들에 노출시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  18. 제 16 항에 있어서,
    산소를 상기 어닐링 챔버 내로 제공하는 단계는:
    상기 어닐링 챔버를 대기 조건들로부터 폐쇄하는 단계; 및
    산소를 상기 어닐링 챔버 내로 흘리는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  19. 제 16 항에 있어서,
    상기 금속 산화물을 형성하기 위해 상기 기판을 조건들에 노출시키는 단계는, 90% 초과의 상기 금속 씨드층의 상기 금속을 금속 산화물로 변환시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  20. 제 16 항에 있어서,
    상기 어닐링 챔버 내에서 기판 지지부를 가열하는 단계를 더 포함하고, 상기 기판은 상기 가열된 기판 지지부 상에 제공되고, 상기 금속 산화물을 형성하기 위한 조건들에 상기 기판을 노출시키는 단계는 상기 가열된 기판 지지부에 상기 기판을 노출시키는 단계와 동시에 상기 어닐링 챔버 내에서 상기 기판을 상기 산소에 노출시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  21. 제 20 항에 있어서,
    상기 가열된 기판 지지부의 상기 온도는 약 100 ℃ 내지 약 400 ℃인, 금속 산화물 환원을 특징으로 하는 방법.
  22. 제 16 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 기판을 상기 환원 처리에 노출시키기 전에 상기 기판의 제 1 시트 저항을 측정하는 단계; 및
    상기 기판을 상기 환원 처리에 노출시킨 후에 상기 기판의 제 2 시트 저항을 측정하는 단계를 더 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  23. 제 16 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 프로세싱 챔버 내에 상기 기판을 제공하기 전에 복수의 추가의 기판들 각각에 대해 상기 동작 (a) 내지 (c) 를 반복하는 단계를 더 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  24. 제 23 항에 있어서,
    상기 기판을 상기 환원 처리에 노출시킨 후에 상기 복수의 추가의 기판들 각각에 대해 상기 동작 (d) 및 (e) 를 반복하는 단계를 더 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  25. 제 16 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 기판을 상기 환원 처리에 노출시키는 단계는:
    리모트 플라즈마 소스 내에서 환원성 가스 종의 리모트 플라즈마를 형성하는 단계로서, 상기 리모트 플라즈마는: 상기 환원성 가스 종으로부터의 라디칼들, 이온들, 및 자외 (UV) 방사선 중 하나 이상을 포함하는, 상기 환원성 가스 종의 리모트 플라즈마를 형성하는 단계; 및
    상기 기판을 상기 리모트 플라즈마에 노출시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  26. 제 16 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 프로세싱 챔버 내에 상기 기판을 제공하기 전이면서 상기 기판을 상기 금속 산화물을 형성하기 위한 조건들에 노출시킨 후에 상기 기판을 냉각하는 단계를 더 포함하는, 금속 산화물 환원을 특징으로 하는 방법.
  27. 금속 산화물 환원을 특징으로 하는 장치에 있어서,
    어닐링 챔버;
    상기 어닐링 챔버 내에서 기판을 홀딩하기 위한 기판 지지부로서, 상기 기판은 금속 씨드층을 포함하는, 상기 기판 지지부;
    상기 어닐링 챔버로부터 분리된 프로세싱 챔버; 및
    제어기를 포함하고,
    상기 제어기는 다음의 동작들:
    (a) 상기 어닐링 챔버 내로 산소를 제공하는 동작;
    (b) 상기 어닐링 챔버 내에서 상기 기판 지지부를 가열하는 동작;
    (c) 상기 어닐링 챔버 내에서 상기 금속 씨드층의 금속 산화물을 형성하도록 상기 기판을 상기 가열된 기판 지지부 및 상기 산소에 노출시키는 동작;
    (d) 상기 기판을 상기 프로세싱 챔버로 이송시키는 동작; 및
    (e) 상기 금속 씨드층과 일체화된 막의 형태로 상기 금속 산화물을 금속으로 환원시키는 조건들 하에서 상기 기판을 환원 처리에 노출시키는 동작을 수행하기 위한 인스트럭션들로 구성되는, 금속 산화물 환원을 특징으로 하는 장치.
  28. 제 27 항에 있어서,
    산소를 상기 어닐링 챔버 내로 제공하는 단계는 상기 대기 조건들에 상기 어닐링 챔버를 노출시키는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 장치.
  29. 제 27 항에 있어서,
    상기 어닐링 챔버를 개방하고 폐쇄하도록 구성된 도어; 및
    산소를 상기 어닐링 챔버 내로 전달하기 위한 가스 유입부를 더 포함하고, 산소를 상기 어닐링 챔버 내로 제공하는 단계는 상기 어닐링 챔버가 폐쇄될 때 상기 어닐링 챔버 내로 산소를 흘리는 단계를 포함하는, 금속 산화물 환원을 특징으로 하는 장치.
  30. 제 27 항 내지 제 29 항 중 어느 한 항에 있어서,
    약 90% 초과의 상기 금속 씨드층의 상기 금속은 상기 기판을 상기 가열된 기판 지지부 및 상기 산소에 노출시킨 후에 상기 금속 산화물로 변환되는, 금속 산화물 환원을 특징으로 하는 장치.
KR1020150144878A 2014-10-17 2015-10-16 금속 산화물 환원을 특징으로 하는 방법 및 장치 KR102467019B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220148855A KR102584552B1 (ko) 2014-10-17 2022-11-09 금속 산화물 환원을 특징으로 하는 방법 및 장치

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462065545P 2014-10-17 2014-10-17
US62/065,545 2014-10-17
US14/657,956 US9472377B2 (en) 2014-10-17 2015-03-13 Method and apparatus for characterizing metal oxide reduction
US14/657,956 2015-03-13
US14/884,504 US20160111342A1 (en) 2014-10-17 2015-10-15 Method and apparatus for characterizing metal oxide reduction
US14/884,504 2015-10-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220148855A Division KR102584552B1 (ko) 2014-10-17 2022-11-09 금속 산화물 환원을 특징으로 하는 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20160045611A true KR20160045611A (ko) 2016-04-27
KR102467019B1 KR102467019B1 (ko) 2022-11-11

Family

ID=55749634

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150144878A KR102467019B1 (ko) 2014-10-17 2015-10-16 금속 산화물 환원을 특징으로 하는 방법 및 장치
KR1020220148855A KR102584552B1 (ko) 2014-10-17 2022-11-09 금속 산화물 환원을 특징으로 하는 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220148855A KR102584552B1 (ko) 2014-10-17 2022-11-09 금속 산화물 환원을 특징으로 하는 방법 및 장치

Country Status (3)

Country Link
US (1) US20160111342A1 (ko)
KR (2) KR102467019B1 (ko)
TW (1) TWI718108B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220037657A (ko) 2020-09-18 2022-03-25 세메스 주식회사 기판 처리 장치 및 이를 구비하는 기판 처리 시스템

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
CN109964303B (zh) * 2016-11-18 2023-08-29 应用材料公司 经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
DE102017203351B4 (de) * 2017-03-01 2021-08-05 Süss Microtec Photomask Equipment Gmbh & Co. Kg Vorrichtung zum Aufbringen eines mit UV-Strahlung beaufschlagten flüssigen Mediums auf ein Substrat
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10513778B2 (en) 2017-09-22 2019-12-24 Applied Materials, Inc. Native or uncontrolled oxide reduction by HWCVD H* using specific metal chamber liner
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
CN112335016A (zh) * 2018-06-13 2021-02-05 朗姆研究公司 高深宽比结构的有效率的清洁和蚀刻
JP7072477B2 (ja) * 2018-09-20 2022-05-20 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
TW202135188A (zh) 2019-12-02 2021-09-16 美商應用材料股份有限公司 用於原位腔室監測之方法
CN112038250A (zh) * 2020-08-27 2020-12-04 上海华力集成电路制造有限公司 离线监控介电层沉积工艺对铜电阻的影响的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4551357A (en) * 1984-05-25 1985-11-05 Ngk Insulators, Ltd. Process of manufacturing ceramic circuit board
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20030017628A1 (en) * 2001-07-18 2003-01-23 Applied Materials, Inc. Monitoring process for oxide removal
US6573607B2 (en) * 1998-08-31 2003-06-03 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US6602653B1 (en) * 2000-08-25 2003-08-05 Micron Technology, Inc. Conductive material patterning methods

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6352938B2 (en) * 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US7001641B2 (en) * 2002-09-23 2006-02-21 Intel Corporation Seed layer treatment
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
JP2006344762A (ja) * 2005-06-09 2006-12-21 Renesas Technology Corp 半導体集積回路装置の製造方法
US20070080067A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Pre-treatment to eliminate the defects formed during electrochemical plating
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4551357A (en) * 1984-05-25 1985-11-05 Ngk Insulators, Ltd. Process of manufacturing ceramic circuit board
US6573607B2 (en) * 1998-08-31 2003-06-03 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US6602653B1 (en) * 2000-08-25 2003-08-05 Micron Technology, Inc. Conductive material patterning methods
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20030017628A1 (en) * 2001-07-18 2003-01-23 Applied Materials, Inc. Monitoring process for oxide removal

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220037657A (ko) 2020-09-18 2022-03-25 세메스 주식회사 기판 처리 장치 및 이를 구비하는 기판 처리 시스템

Also Published As

Publication number Publication date
TWI718108B (zh) 2021-02-11
KR102467019B1 (ko) 2022-11-11
TW201630036A (zh) 2016-08-16
KR20220154653A (ko) 2022-11-22
KR102584552B1 (ko) 2023-10-05
US20160111342A1 (en) 2016-04-21

Similar Documents

Publication Publication Date Title
KR102584552B1 (ko) 금속 산화물 환원을 특징으로 하는 방법 및 장치
KR102379901B1 (ko) 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
JP5376361B2 (ja) タングステン膜の製造方法および装置
TWI663278B (zh) 極低電阻率鎢之沉積方法
KR102513108B1 (ko) 반도체 프로세싱을 위한 대기압 플라즈마 장치
KR20150120883A (ko) 준-노블 금속 층을 갖는 기판을 준비하기 위한 방법 및 장치
KR20220079671A (ko) 갭 충전 증착 공정
US9472377B2 (en) Method and apparatus for characterizing metal oxide reduction
KR20160039139A (ko) 핵생성 억제를 수반하는 피처 충진
US9070750B2 (en) Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
KR20140034081A (ko) 낮은 거칠기 및 낮은 저항을 갖는 텅스텐 막을 증착시키기 위한 방법
CN107039303B (zh) 通过颜色感测估计晶片上氧化物层还原效率的方法和装置
US20150072538A1 (en) Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US7109111B2 (en) Method of annealing metal layers
US20230167571A1 (en) Lipseal edge exclusion engineering to maintain material integrity at wafer edge
JP2022152438A (ja) ルテニウム膜の成膜方法及び処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant