KR20150046109A - 기판을 분리하고 전자 디바이스를 제조하기 위한 방법들 및 장치 - Google Patents

기판을 분리하고 전자 디바이스를 제조하기 위한 방법들 및 장치 Download PDF

Info

Publication number
KR20150046109A
KR20150046109A KR1020157006355A KR20157006355A KR20150046109A KR 20150046109 A KR20150046109 A KR 20150046109A KR 1020157006355 A KR1020157006355 A KR 1020157006355A KR 20157006355 A KR20157006355 A KR 20157006355A KR 20150046109 A KR20150046109 A KR 20150046109A
Authority
KR
South Korea
Prior art keywords
semiconductor wafer
substrate
manufacturing
ingot
processing
Prior art date
Application number
KR1020157006355A
Other languages
English (en)
Inventor
마이클 시아오수안 양
Original Assignee
마이클 시아오수안 양
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이클 시아오수안 양 filed Critical 마이클 시아오수안 양
Publication of KR20150046109A publication Critical patent/KR20150046109A/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/362Laser etching
    • B23K26/364Laser etching for making a groove or trench, e.g. for scribing a break initiation groove
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • B23K26/0736Shaping the laser spot into an oval shape, e.g. elliptic shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • B23K26/359Working by laser beam, e.g. welding, cutting or boring for surface treatment by providing a line or line pattern, e.g. a dotted break initiation line
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • B23K26/402Removing material taking account of the properties of the material involved involving non-metallic material, e.g. isolators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D5/00Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/28Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding involving assembly of non-flat intermediate products which are flattened at a later step, e.g. tubes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0004Cutting, tearing or severing, e.g. bursting; Cutter details
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0008Electrical discharge treatment, e.g. corona, plasma treatment; wave energy or particle radiation
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/06Joining of crystals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/463Mechanical treatment, e.g. grinding, ultrasonic treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Thermal Sciences (AREA)
  • Electromagnetism (AREA)
  • Photovoltaic Devices (AREA)
  • Laser Beam Processing (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Processing Of Stones Or Stones Resemblance Materials (AREA)

Abstract

본 발명은 전자, 광전자 및 MEMS(microelectromechanical systems) 디바이스들, 특히 양면 디바이스들의 제조에서의 기판 슬라이싱 프로세스의 적용에 관한 것이다. 본 발명에서 제안된 방법들은 다양한 결정질 실리콘 태양 전지 제조 방식들에 응용 가능하다. 본 발명은 또한 측벽 상의 레이저 조사에 의해 얇은 기판을 2개의 조각들로 슬라이싱하기 위한 장치에 관한 것이다. 장치는 2개의 반대를 향하는 기판 척들을 포함할 수 있으며, 기판은 그 사이의 개구를 통해 이동한다. 본 발명은 추가로 레이저 조사에 의해 회전 잉곳의 측벽으로부터 물질들의 연속적인 박층을 분리하는 방법들 및 장치들에 관한 것이다. 막은 박막 층들의 분리 이전에 잉곳 측벽에 증착 및/또는 본딩될 수 있다.

Description

기판을 분리하고 전자 디바이스를 제조하기 위한 방법들 및 장치{METHODS AND APPARATUS FOR SEPARATING A SUBSTRATE AND FABRICATING AN ELECTRONIC DEVICE}
본 발명은 전자, 광전자(optoelectronics) 및 MEMS(microelectromechanical systems) 디바이스들의 제조에 관한 것이다. 이 방법은 특히 양면 디바이스들(two-sided devices) 즉, 기능성들이 기판들의 앞면 및 뒷면 양자 상의 설계들 및 제조 프로세스들을 요구하는 디바이스들의 제조에 응용 가능하다. 양면 디바이스들의 양호한 예는 결정질 실리콘 태양 전지들이다. 결정질 실리콘 태양 전지에서, 상이한 물질들 및 구조들은 실리콘 기판의 앞면 및 뒷면에 제조된다. 전지 상의 태양 조명 시에, 양의/음의 전하들이 실리콘 기판에 형성되고 전기 전류들이 표면들 상에 제조된 금속 접촉들에 의해 전달된다. 양면 디바이스들의 카테고리는 또한 전력 MOSFET(metal oxide semiconductor field-effect transistor) 및 IGBT(insulated-gate bipolar transistor)를 포함해서 몇 개의 타입들의 반도체 전력 디바이스들을 포함한다. 전력 MOSFET는 가장 널리 이용되는 저-전압(200V 미만) 스위치이다. 그의 애플리케이션들은 전력 공급기들, DC-DC 변환기들 및 저 전압 모터 제어기들을 포함한다. IGBT는 단일 디바이스에서 바이폴라 트랜지스터들의 고-전류 및 저-포화-전압 능력에 MOSFET들의 단순한 게이트-구동 특성들을 결합한다. 이는 재생 가능한 에너지 산업들의 전력 개발자들로부터 전기 차량/열차들 및 에너지 효율적 가전들에 이르는 범위에 있는 중간- 내지 고-전력 애플리케이션들에서 이용된다. 양자의 전력 MOSFET 및 IGBT는 3-단자 반도체 디바이스들이다. 격리된 게이트 FET 구조 및 2개의 단자들은 실리콘 웨이퍼의 한 면(side) 상에 제조되고, 제 3 단자는 기판의 다른 면에 있다. 다수의 MEMS(microelectromechanical systems) 디바이스들이 또한 양면화된다. 센서들/액추에이터들 및 다른 구조들은 종종 실리콘 웨이퍼의 양자의 면들 상의 패터닝 및 프로세싱에 의해 구성된다.
[0004] 양면 디바이스들의 제조 프로세스는 기판들 중 단지 한 면 상에 설계들을 갖는 단일-면 디바이스들의 제조 프로세스보다 더 복잡하게 될 수 있다. 양면 디바이스 제조에서의 과제들 중 일부는 더블-면(double-sided) 제조 프로세스들에서 기판들의 양 면들 간의 교차 오염의 이슈와 연관된다. 다수의 제조 프로세스들은 본질적으로 더블-면화(double-sided)되는데, 즉, 이 프로세스들은 동시에 기판들의 양 면들 상에서 진행된다. 이러한 더블-면 프로세스 단계(들)는 세정, 막 증착 및 이온 확산을 포함(그러나 이러한 것으로 제한되지 않음)할 수 있다. 그러나 양면 디바이스들은 일반적으로 기판들의 앞면 및 뒷면 상에서 상이한 설계들 및 프로세스 단계들을 요구한다. 그 결과, 몇몇 더블-면 제조 프로세스들은 기판의 한 면에 대해 바람직하거나 필수적일 수 있지만, 양면 디바이스들에서 기판의 다른 면 상에서 바람직하지 않을 수 있거나 회피되어야 한다. 도 1은 양면 디바이스들의 일반적 제조 흐름을 예시한다. 디바이스 제조는 우선 기판의 한 면 상에서 수행된다. 제조 프로세스들 중 일부는 더블-면화되고, 기판의 다른 면을 오염시킨다. 그 결과, 기판의 다른 면은, 기판의 다른 면 상의 후속 제조 프로세스들 이전에 세정 또는 폴리싱될 필요가 있다.
[0005] 이러한 세정/폴리싱 프로세스들은 지루하며 고가일 수 있다. 몇몇 상황들(예를 들어, 결정질 실리콘 태양 전지 제조들) 하에서, 세정/폴리싱 프로세스들은 대안적인 제조 흐름에서 방지될 수 있지만, 디바이스 성능의 양보( compromises )를 동반한다. 도 2는 결정질 실리콘 태양 전지들의 기본 제조 흐름을 예시한다. 실리콘 기판(1)은 먼저 표면 상의 오염 및 손상된 실리콘을 제거하고 표면 텍스처링을 겪도록 습식 에칭 단계들을 거친다(2). 다음 제조 프로세스는 이미터 형성이다. 정규의 붕소 도핑된(p-타입) 실리콘 기판에 있어서, n-타입 이미터 영역은, 통상적으로 노에서 수행되는 고온(800-900℃) 옥시 염화인(POCI3) 확산 프로세스(3)에 의해 형성된다. PSG(phosphosilicate glass) 층이 또한 POCI3 확산 프로세스 동안 형성된다. 이것은 후속 HF-기반 습식 에칭(4)에서 제거된다. 프로세스 흐름의 다음 단계는 기판들의 에지들로부터 인 도펀트를 제거하기 위한 에지 격리 단계(5)이다. 웨이퍼 앞 표면은 이어서 반사-방지(AR) 층, 예를 들어, 수소화된 실리콘 질화물(SiNx:H) 층(6)에 의해 코팅된다. 마지막으로, 단계(7 및 9)에서, 앞면 금속 접촉들(Ag) 및 뒷면 금속들(Al/Ag)은 스크린 프린팅에 의해 제조되고, 이어서 최종 코-파이어링(co-firing) 프로세스를 통해 고형화된다.
[0006] 표면 세정 및 텍스처링 단계(2), 인 확산 단계(3) 및 연관된 PSG 제거 단계(4)를 포함하는, 도 2에서 도시된 다수의 제조 단계들은 더블-면화된다. 결정질 실리콘 태양 전지들의 제조 흐름은 더블-면 프로세스 단계들을 수용하도록 설계된다. 웨이퍼 뒷면 상의 인(n-타입) 도펀트의 오염은 뒷면 금속화(9)를 위한 알루미늄(p-타입) 필드 증착에서 보상되고, 에지 격리 단계(5)는 최종 구조에서 기판들의 앞의 n-타입 이미터와 뒤의 p-타입 콜렉터 간의 단락을 방지함으로써 기능적 태양 전지에 대해 중요하다.
[0007] 본 발명은 또한 얇은 기판들의 준비에 관한 것이다. 단-결정질 실리콘 기판들의 전류 생성에서, 실리콘 잉곳(silicon ingot)들은 먼저 초크랄스키(Czochralski)(Cz) 및 플로트 존(float zone)(Fz) 방법들을 이용하여 용융된 실리콘의 용기로부터 획득된다. 이들 원통형 잉곳들은 이어서 와이어 소( wire saw )에 의해, 원통형 잉곳의 축에 수직으로 슬라이스들로 절단되어 일반적인 전자 및 MEMS 디바이스들의 제조를 위한 둥근 웨이퍼들을 산출할 수 있다.
[0008] 150mm 직경 실리콘 웨이퍼들은 ~675 미크론의 표준 두께를 갖고, 200mm 직경 실리콘 웨이퍼들은 ~725 미크론의 표준 두께를 갖고, 300mm 직경 웨이퍼들은 ~775 미크론의 표준 두께를 갖는다. 마이크로프로세서 및 메모리 디바이스들은 실제로 1 미크론 미만의 실리콘 두께들에 있어서 적절히 기능할 수 있다. 절연체 상의 실리콘(SOI) 프로세스는 절연 기판 위에 서브-미크론의 원시 실리콘 층을 적층하도록 (예를 들어, 실리콘 산화물 상부 층을 갖는 다른 실리콘 웨이퍼) 개발되었다. SOI 기판 상에 제조된 집적 회로(IC)들에 대해 트랜지스터 스위칭 속도의 향상 및 트랜지스터 전력 소비의 감소가 보고되었다.
[0009] 일반적인 IC 생산에서, 다이들로서 알려진 다수의 디바이스들은 단일 웨이퍼 상에서 제조된다. 제조 흐름의 완료 이후에, 웨이퍼들은, 각각의 기능적 다이가 적절한 캡슐화 및 입력/출력(I/O) 커넥터들과 함께 패키징되기 이전에 개별 다이들로 분리하도록 x- 및 y-방향들을 따라 절단된다. 종종, 웨이퍼들은 웨이퍼 다이싱 단계 이전에 뒷면으로부터 가늘어진다. 감소된 기판 두께는 최종 패키지에서 더 낮은 프로파일을 산출할 수 있다. 얇은 다이들은 또한 부가적인 기능성들 및 보다 간결한 최종 패키징 크기를 위해 스택(stack up)될 수 있다. 또한, 두께가 100 미크론 미만의 실리콘 디바이스들은 플랙서블할 수 있으며, 이는 새로운 커브드 형태(curved form)의 새로운 장치로서 몇몇 최신 애플리케이션들에 적합하다.
[0010] 결정질 실리콘 태양 전지 생산에서, 실리콘 블록들은 정사각형 또는 실질적으로 정사각형(156 mm x 156 mm 또는 125 mm x 125 mm) 웨이퍼들로 절단되기 이전에 원통형 잉곳들로부터 먼저 준비된다. 200 미크론 두께 미만의 실리콘 태양 기판들이 오늘날 흔하지만, ~150 미크론 기판 두께가 진보된 와이어-소 시스템들에서 이용 가능하게 되고 있다. 적절한 기판 표면 텍스처링, 앞 표면 상의 반사 방지 코팅(ARC) 층 증착 및 뒤 표면 상의 리플랙터 층 증착에 있어서, 18-24% 효율의 태양 전지들은 현재 150 미크론 두께 미만의 모노-결정질(mono-crystalline) 실리콘 기판들 상에서 제조된다.
[0011] 실리콘 기판 비용은 종종 모노-결정질 실리콘 태양 전지들의 총 제조 비용 중 50-60% 초과를 차지한다. 따라서, 실리콘 기판 두께를 감소시키는 것은 상당한 경제적 동기가 있다. 몇몇 진보된 광 트래핑 기술들에 있어서, 두께가 100 미크론 또는 그 미만의 실리콘 기판으로 높은 태양 전지 효율을 유지하는 것이 고려 가능하다. 그러나 현재의 기판 준비 기술들은 그의 제한들을 갖는다. 와이어 소에 의한, 실리콘과 같은 딱딱한 물질들의 절단은 상당한 소모품 비용(절단 와이어들 및 슬러리들) 및 상당한 커프 손실(kerf loss), 즉 절단 와이어들에 의해 제거된 물질의 양과 연관된다. 와이어 소 기술에서의 계속적인 개선들에도 불구하고, 100미크론 미만의 커프 손실을 갖는 100 미크론 두께 미만의 웨이퍼들을 생성하는데 과제들이 남아있다.
[0012] 대안적인 기술들은 태양 전지 생산을 위한 얇은 실리콘 기판들을 준비하기 위해 제안된다. 하나의 방법에서, 20 미크론 미만의 극박(ultrathin) 실리콘 웨이퍼들은 실리콘 기판 내로의 고 에너지 수소 이온들의 주입에 이어 열적 또는 기계적 박리(exfoliation)에 의해 생산된다. 아이디어는 진보된 IC 제조에서 상업적인 절연체 상의 실리콘(SOI) 기판 기술과 유사하다. 다른 방법에서, 실리콘 기판 표면 상에 얇은 금속(예를 들어, 니켈) 층의 증착 후에 저온 어닐링이 이어져서 얇은 금속 규화물 인터페이스 층을 형성한다. 얇은 실리콘 웨이퍼는 이어서 기계적 웨지(mechanical wedge)에 의해 기판으로부터 박리될 수 있다. 양자의 실리콘 박리 방법들은 단일 기판으로부터 다수의 극박 실리콘 웨이퍼들(10-20 미크론)을 생성하기 위해 반복될 수 있으며 커프 손실들은 경미하다.
[0013] 실리콘 물질 소모가 제안된 실리콘 박리 기술들에 의해 낮춰질 수 있지만, 태양 전지들의 대량 생산에 있어서 그의 채택에 대해 상당한 장애물들이 있다. 다수의 웨이퍼들을 병렬로 생산할 수 있는 실리콘 잉곳의 와이어 소 절단과 상이하게, 실리콘 웨이퍼들은 단지 한번에 하나씩 기판으로부터 박리될 수 있다. 또한, 기판 표면의 주기적인 재컨디셔닝이 필수적이다. 사이클 시간 및 WIP(work-in-progress) 인벤토리의 증가는 제조 비용을 증가시킨다. 극박 실리콘 기판들은 또한 태양 전지 제조 프로세스에서 일부 상당한 변형들을 요구할 수 있다. 극박 기판은 높은 태양 전지 효율을 유지하기 위해 보다 충분한 광 트래핑을 요구하지만, 적절한 표면 텍스처링은 실리콘 웨이퍼 박리 기술들에 대한 선호되는 표면 배향인 Si (111) 상에서 어렵다. 또한, 대안적인 금속화 기술들은 깨지기 쉬운 극박 실리콘 기판들을 수용하기 위해 개발될 필요가 있다. 마지막이지만 여전히, 50미크론 두께 미만의 극박 기판들의 신뢰 가능한 핸들링은 생산 시에 매우 어려울 수 있다.
[0014] 본 발명에서 설명된 기판 제조를 위한 방법들 및 장치들은 기판 상의 레이저 조사를 포함한다. 전자 디바이스 제조들에서 다수의 기존의 레이저 애플리케이션들이 있다. 예를 들어, 레이저 패터닝은 직접 물질 어블레이션 프로세스(direct materials ablation process)를 통해 IC 패키징 및 태양 전지 생산에서 채택된다. 유사한 물질 어블레이션 프로세스는 또한 개별 다이들로의 웨이퍼들의 레이저 다이싱을 위해 이용될 수 있다. 대안적인 레이저 다이싱 방법은 기판들 내부에 고강도 레이저 빔을 포커싱하는 것을 포함한다. 이른바 스텔스 다이싱(Stealth Dicing) 프로세스에서, 기판 물질들은 기본 주파수의 레이저 조사에 대해 투명하지만, 기판 내부의 레이저 수렴 지점에서 다중-광자 흡수 프로세스에 의해 변형될 수 있다. 스크라이브 라인을 따른 레이저 스캔의 완료 이후에, 웨이퍼들은 지지 테이프에 본딩된다. 지지 테이프가 펼쳐질 때, 다이들은 분리될 수 있으며 커프 손실들은 0에 근접하다.
[0015] 몇몇 다른 레이저-기반 프로세스들은 기판 물질들의 용융 또는 서브-용융을 포함한다. 애플리케이션들은 진보된 IC 트랜지스터 제조들에서 실리콘 웨이퍼들 상의 도펀트 활성화 및 고해상도 평판 디스플레이들에 대한 백플래인들로서 유리 기판들 상의 비정질 실리콘 막 결정화를 포함한다. 막 스택들 및 적절한 레이저 파장/전력의 주의깊은 설계를 통해, 레이저 조사는 또한 선택적으로 본딩 층을 용융시키고 기판들로부터 박막들/물질들을 떼어낼 수 있다. 애플리케이션들은 기판들(사파이어, 실리콘 등)로부터 LED 다이들의 레이저 리프트오프(laser liftoff) 및 임시 캐리어로부터 플랙서블 디스플레이들의 릴리즈를 포함한다.
[0016] 본 발명은 또한 전자 디바이스들의 생산에서의 기판 핸들링에 관련된다. 반도체 웨이퍼들과 같은 기판들 또는 대형 유리 시트들은 기판의 양 면들 간의 압력 델타(pressure delta) 또는 정전기력에 의해 기판 척들( substrate chucks ) 대해 컴플라이언스(compliance)한 채로 유지될 수 있다. 몇몇 기판 척들은 기판들과 직접 물리적으로 접촉한다. 몇몇 다른 경우들에서, 기판 척들은 기판들 가까운 부근에 있지만 직접 물리적으로 접촉하지 않는다. 비-접촉 기판 척들은 종종 유체-기계적 설계(fluid-mechanical design)를 채택하고 리턴 스프링과 같이 동작하며, 기판들과 척 간의 작업 거리 및 척 "스프링"의 강도(stiffness)는 최적화될 수 있다. 기판들은 정적인 비-접촉 척들의 표면에 걸쳐서 이송될 수 있다. 평판 디스플레이 패널 생산에서, 비-접촉 유체-기계적 척들은 코팅, 패터닝, 및 광학 검사 툴들에서 유리 기판들의 자동화를 위해 이용된다. 박막 태양 생산에서, 비-접촉 유체-기계적 척들은 레이저 스크라이빙 등을 위한 기판들의 자동화에서 채택된다.
실시예들은 이어지는 상세한 설명에서 예시되고 다음의 도면들에서 제한되지 않는다.
도 1은 양면 전자, 광전자 및 MEMS(microelectromechanical systems) 디바이스들의 일반적인 제조 시퀀스를 예시한다.
도 2는 결정질 실리콘 태양 전지들의 기본 제조 흐름을 예시한다.
도 3은 본 발명에 따른 양면 전자, 광전자 및 MEMS(microelectromechanical systems) 디바이스들의 제조 시퀀스를 예시한다.
도 4는 본 발명에 따라 결정질 실리콘 태양 전지들의 프로세스 흐름을 예시한다.
도 5는 본 발명에 따른 기판 슬라이싱을 위한 측벽의 레이저 조사에 처해지는 기판의 사시도를 예시한다.
도 6은 본 발명에 따라 후속 기판 슬라이싱을 위한 변형된 영역의 플래인을 형성하기 위해 기판에 걸친 레이저 스캔 및 기판 내에 위치된 수렴 지점에의 레이저 조사에 처해지는 기판의 사시도를 예시한다.
도 7은 본 발명의 다른 실시예에 따른 결정질 실리콘 태양 전지를 제조하기 위한 프로세스 흐름을 예시한다.
도 8은 본 발명에 따른 장치에서 2개의 비-접촉 척들 간의 갭을 통과하고 기판의 측벽의 레이저 조사에 노출되는 기판과 함께, 그리고 레이저 조사에 대한 센서들과 함께, 기판 슬라이싱 장치의 사시도를 예시한다.
도 9는 본 발명에 따라, 2개의 비-접촉 척들 간의 갭을 통과하고 기판의 측벽에서의 레이저 조사에 노출되는 기판과 함께, 기판 슬라이싱을 위한 장치의 개략도의 단면을 예시하며, 여기서 2개의 비-접촉 척들 중 한 부분은 서로 병렬로 일반 정렬되고, 다른 부분은 웨이퍼가 장치를 나가는 방향에서 증가하는 갭을 갖는다.
도 10은 본 발명에 따라, 기판 척들의 2개의 쌍들과 함께, 레이저 조사 및 기판 슬라이싱을 위한 장치의 개략도의 단면을 예시하며, 2개의 비-접촉 척들의 한 쌍은 서로 병렬로 일반 정렬되고, 다른 쌍은 웨이퍼가 장치를 나가는 방향에서 증가하는 갭을 갖는다.
도 11은 본 발명에 따라 2개의 기판 척들 간의 갭을 통과하는 기판과 함께, 그리고 하나의 척 내의 어퍼처를 통한 기판 상으로의 레이저 조사와 함께, 레이저 조사를 위한 장치의 개략도의 단면을 예시한다.
도 12는 본 발명에 따라, 기판은 2개의 기판 척들 간의 갭을 통과하는 기판과 함께, 기판 슬라이싱을 위한 장치의 개략도의 단면을 예시하며, 기판은 기계적인 웨지의 도움으로 측벽 중앙으로부터 분리된다.
도 13은 본 발명에 따라, 레이저 조사 시에 잉곳의 측벽으로부터 분리되는 반도체 물질들의 층과 함께, 물질 분리를 위한 장치의 개략적 사시도를 예시한다.
도 14는 본 발명에 따라, 회전 잉곳의 측벽 상의 레이저 조사와 함께, 레이저 조사의 수렴 지점에 형성되는 변형된 영역의 플래인과 함께, 그리고 변형된 영역의 플래인에서 잉곳의 측벽으로부터 분리되는 물질들의 층과 함께, 물질 분리를 위한 장치의 개략적 사시도를 예시한다.
도 15는 본 발명에 따라, 레이저 조사에 의해 잉곳의 측벽으로부터의 반도체 물질들의 층의 분리 이전에, 잉곳의 측벽에 대한 지지 물질들의 박층의 본딩과 함께, 물질 분리를 위한 장치의 개략적 사시도를 예시한다.
도 16은 본 발명에 따라, 회전 잉곳의 측벽 표면 아래의 변형된 영역의 플래인을 형성하기 위한 레이저 조사, 레이저 조사 이후 잉곳의 측벽에 대한지지 물질들의 박층의 본딩, 및 변형된 영역의 플래인에서 잉곳의 측벽으로부터의 물질들의 층의 분리와 함께, 물질 분리를 위한 장치의 개략적 사시도를 예시한다.
[0017] 도면들과 함께 다음의 설명은 본 명세서에서 개시되는 교시들을 이해하는데 도움을 주기 위해 제공된다. 설명은 교시들의 특정한 구현들, 실시예들 및 이점들에 초점을 맞추며, 교시들의 범위 또는 응용성에 관한 제한으로서 해석되어선 안 된다.
[0018] 본 발명의 실시예들은 기판 분리 프로세스의 삽입을 갖는, 전자, 광전자 및 MEMS(microelectromechanical systems) 디바이스들의 제조의 방법들에 관한 것이며, 여기서 얇은 기판(즉, 반도체 웨이퍼)이 기판들로 슬라이싱되고, 2개의 결과적인 기판들은 일반적으로 시작 기판과 동일한 앞/뒤 표면 영역을 갖는다. 웨이퍼 슬라이싱 단계로 구성되는 제조 흐름은 단일 시작 웨이퍼로부터 2개의 최종 제품 웨이퍼들을 산출할 수 있으며, 이에 따라 각각의 최종 디바이스에서 기판 물질들의 소비는 반감(halve)된다.
[0019] 본 발명의 실시예에서, 기판 슬라이싱 단계는 시작 기판 상의 적어도 하나의 더블-면 프로세스 단계(double-sided process step)(들) 이후에, 양면 전자, 광전자 및 MEMS(microelectromechanical systems) 디바이스들의 제조 흐름에 삽입된다. 기판 슬라이싱 단계를 포함하는 양면 디바이스 제조의 제안된 방법은 도 1에서 도시된 일반적인 방법에 비교하여 도 3에서 예시된다. 본 발명의 제안된 제조 흐름은 웨이퍼 슬라이싱 단계 이전에 더블-면 프로세스의 동일한 생산량 및 비용으로 출력 디바이스 유닛들을 배가(double)시킨다. 그 결과, 각각의 최종 디바이스의 생산 비용은 기판 슬라이싱 단계 이전에 이러한 더블-면 프로세스들에 대해 절반만큼 감소될 수 있다.
[0020] 도 3에서 도시된 바와 같이, 전자 디바이스들의 제조 프로세스는 슬라이싱 단계 이후에 2개의 결과적인 웨이퍼들 상에서 지속하고 완료할 수 있다. 슬라이싱 단계 이후에 2개의 결과적인 기판들 각각은 슬라이싱 이전의 시작 기판으로부터 하나의 표면을 갖고, 다른 표면이 슬라이싱 프로세스로부터 새로이 생성된다. 이어지는 논의들의 단순함을 위해, 슬라이싱 이전의 시작 기판으로부터의 표면은 결과적인 기판들의 앞면(front side)으로서 지정되고, 슬라이싱 프로세스로부터 생성된 새로운 표면은 결과적인 기판들의 뒷면으로서 정의된다. 본 발명의 일 실시예에서, 적어도 하나의 프로세스 단계(들)가 슬라이싱 이후에 2개의 결과적인 웨이퍼들의 새로운(뒤) 표면 상에서 수행된다. 이러한 프로세스 단계(들)는 또한 세정, 에칭, 확산, 이온 주입, 막 증착 및 패터닝을 포함(그러나 이러한 것으로 제한되지 않음)할 수 있다.
[0021] 도 1에서 도시된 양면 디바이스들의 일반적 제조 흐름에 비해, 기판 슬라이싱 단계를 포함하는 본 발명의 제안된 방법은 양면 전자 디바이스들의 제조 복잡도를 감소시킬 수 있다. 배경 섹션에서 설명된 바와 같이, 양면 전자 디바이스들은 일반적으로 기판들의 앞면 및 뒷면에서 상이한 설계들 및 프로세스 단계들을 요구한다. 몇몇 더블-면 제조 프로세스들은 단지 기판의 한 면에 대해 바람직하거나 필수적이지만, 기판의 다른 면 상에서 바람직하지 않을 수 있거나 회피되어야만 한다. 도 3에서 도시된 양면 디바이스들의 제조 흐름에 기판 슬라이싱 단계를 삽입함으로써, 슬라이싱 이후의 2개의 결과적인 웨이퍼들은 새로운(뒤) 표면을 갖고 이어지는 제조 프로세스들을 진행할 준비가 될 수 있다. 또한, 슬라이싱 이전의 더블-면 프로세스들은 앞 표면 상의 설계 고려사항들만으로 최적화될 수 있다. 전체적인 결과들은 더 단순한 제조 프로세스 흐름, 상당한 생산 비용 감소에 대한 기회 및 디바이스 성능의 잠재적인 개선이다. 제안된 방법은 결정질 실리콘 태양 전지 제조에 응용 가능하다. 도 4는 정규의 붕소-도핑된(p-타입) 기판 상의 기판 슬라이싱 단계를 포함하는 결정질 실리콘 태양 전지 생산 흐름을 예시한다. 제안된 방식은 교시들을 설명하는데 도움을 주도록 제공되며, 방법들의 제한으로서 해석되어선 안 된다.
[0022] 동일한 시작 기판 두께를 갖는, 도 2에서 예시된 일반적인 프로세스에 비해, 기판 슬라이싱 단계를 포함하는 도 4에서 도시된 방법은 각각의 최종 태양 전지의 기판 두께를 반감할 수 있다. 태양 전지 기판 두께가 실리콘 잉곳의 와이어 소 절단에 대한 제한들에 접근하는 것이 유리할 수 있지만, 실리콘 기판 비용은 여전히 총 태양 전지 생산 비용에서 대략적으로 절반 또는 그 초과를 차지한다. 150-200 미크론의 공칭 시작 웨이퍼 두께에 있어서, 기판 슬라이싱 단계를 포함하는 제조 흐름은 최종 두께에서 50-100 미크론의 태양 전지들을 생산할 수 있다.
[0023] 표면 손상/오염 제거를 위한 습식 세정, 표면 텍스처링을 위한 습식 에칭(12), 인 도펀트의 노 확산(13) 및 PSG(phosphosilicate glass) 제거를 위한 습식 에칭(14)을 포함하는 도 4에서 예시된 제안된 방법의 최초 몇 개의 제조 단계들은, 도 2에서 도시된 기본 태양 전지 제조 방법과 유사하다. 습식 세정/에칭 및 노 확산 단계들은 모두 더블-면 프로세스인데, 즉, 기판들 상에 양 면들 상에서 동시에 진행될 수 있다. 습식 벤치들 및 노들에 균일한 간격으로 기판들을 로딩함으로써, 웨이퍼들의 앞면 및 뒷면은 동일한 프로세스들을 겪을 수 있다. 더블-면 프로세스 단계들 이후 기판 슬라이싱 단계를 삽입함으로써, 각각의 최종 태양 전지에 대한 태양 전지 생산 비용은, 기판 슬라이싱 이전의 더블-면 단계들의 동일한 생산량 및 비용으로 생산되는 최종 태양 전지들의 수를 배가함으로써 추가로 감소될 수 있다.
[0024] 도 2에서 도시된 기본 태양 전지 제조 프로세스의 하나의 프로세스 단계는 에지 격리(5)이다. 에지 격리 단계는 유효 태양 전지 웨이퍼 영역 및 이에 따른 전체 태양 전지 효율을 감소시킨다. 전지 효율 손실은 ~18%의 기본 전지 효율에 대해 상당한 양인, 단위 영역 당 ~0.2%만큼 많을 수 있다. 이러한 에지 격리 단계는 기판 슬라이싱 단계를 포함하는, 도 4에서 도시된 제안된 제조 흐름으로부터 제거될 수 있다. 더블-면 인 노 확산(double-sided phosphorus furnace diffusion) 및 PSG 제거 프로세스 이후의 기판 슬라이싱을 통해, 슬라이싱 이후 2개의 결과적인 웨이퍼들은 앞면 상에서만 인 도펀트를 가지며, 최종 태양 전지 구조에서 뒷면 콜렉터/금속에 단락되지 않는다. 에지 격리 단계의 제거는 추가로 태양 전지 생산 비용을 감소시키며, 동시에 태양 전지 효율을 개선할 기회를 제공한다.
[0025] 도 4에서 도시된 제조 프로세스에서 에지 격리 프로세스의 제거를 통해, PSG 제거 단계(14) 바로 다음으로 SiNx:H 반사방지(AR) 막 증착 단계(16)가 이어진다. SiNx:H 증착은 인라인 장비(inline equipment)들 또는 노 중 어느 하나에서 수행될 수 있다. 더블-면 SiNx 증착(예컨대, 노에서의 열 증착)은 가격 경쟁력이 있지만 현재 단일-면 증착 툴일 수 있다. 본 발명에서, 더블-면 SiNx:H 증착은 기판 슬라이싱 단계 이전에 채택될 수 있다. 프로세스 시퀀스는 추가로 각각의 최종 태양 전지에 대한 SiNx:H 증착 비용을 감소시킬 수 있다.
[0026] 앞면 금속화는 태양 전지 제조에서 SiNx:H 증착 이후의 다음 단계(17)이다. 현재 스크린 프린팅 장비들은 일반적으로 웨이퍼들의 한 면 상에서 프린팅한다. 기판 슬라이싱 단계(18) 이전에 시작 웨이퍼의 다른 면 상에서 동일한 앞면 금속화 프로세스(17)를 반복함으로써, 슬라이싱 이후의 양자의 결과적인 웨이퍼들은 모든 앞면 프로세스를 완료했을 수 있다.
[0027] 본 발명에서 제안된 방법에서, 기판 슬라이싱 단계(18) 이전에 시작 웨이퍼들의 양 면들 상에서 앞면 금속 접촉들의 스크린 프린팅(단계 17)을 완료하는 것이 유리할 수 있다. 스크린 프린팅 프로세스에서, 금속 페이스트는 정의된 압력으로 웨이퍼 표면 위에서 시퀴징(squeegeed)된다. 웨이퍼 파손은 태양 전지들의 앞면 상에서 좁은 금속 라인들의 스크린 프린팅을 위한 진행중인 이슈이다. 웨이퍼 파손 레이트는 웨이퍼 두께의 감소에 따라 증가하며, 앞면 금속화를 위한 현재의 스크린 프린팅 툴들에서 100미크론 두께 미만의 웨이퍼들을 프로세싱하는 것은 어렵다. 기판 슬라이싱 단계(18) 이전에 앞면 금속들의 스크린 프린팅은 앞면 금속화 스크린 프린팅 툴의 웨이퍼 파손 레이트의 증가를 방지할 수 있다.
[0028] 도 4에서, 기판 슬라이싱 단계(18) 이후 양자의 결과적인 웨이퍼들은 앞면 프로세스를 완료하였고, 새로고 뒷면 프로세스를 위해 준비된 뒷판 뒷면을 갖는다. 제안된 제조 흐름에 있어서, 뒷면 프로세스들은 앞면 프로세스의 임의의 변형/변동에 독립적으로 최적화될 수 있다.
[0029] 결론적으로, 도 4에서 예시된 바와 같이 결정질 실리콘 태양 전지 제조 흐름에 기판 슬라이싱 단계(18)를 포함하는 것이 바람직하다. 도 2에서 도시된 기본 방법에 비해, 태양 전지 생산 비용은 기판 두께의 ~50% 감소, 기판 슬라이싱 이전의 모든 더블-면 프로세스들에 대한 최종 디바이스 마다의 비용 감소, 및 에지 격리 단계(5)의 제거를 통해 상당히 감소될 수 있다. 에지 격리 단계의 제거는 또한 태양 전지 효율을 상승시킬 수 있다. 마지막으로, 웨이퍼 파손은 최종 태양 전지 두께의 50% 감소에도 최소화될 수 있다.
[0030] 위의 논의들은 붕소-도핑된(P-타입) 기판을 이용한 기본 태양 전지 제조 프로세스에 기판 슬라이싱 단계의 삽입에 따른 태양 전지 생산의 이점들을 설명한다. 본 발명은 또한 다양한 진보된 태양 전지 기술들에 응용 가능할 수 있다.
[0031] 자기 초크랄스키(M-Cz) 물질들은 태양 전지 생산에 있어 기본 초크랄스키(Cz) 붕소 도핑된(P-타입) 실리콘 기판보다 품질이 더 양호한 것으로 고려된다. Cz 물질들에 비해, M-Cz 실리콘 물질들은 더 낮은 산소 불순물 레벨을 가지며, 이는 특히 태양 조사 이후에 소수 캐리어 수명(minority carrier lifetime)을 더 길게 한다. 조명 이후 소수 캐리어 수명 안정성의 유사한 개선을 위해, P-타입(도펀트로서 붕소) 실리콘 기판들은 또한 n-타입(도펀트로서 인 또는 갈륨 중 어느 하나) 기판들에 의해 대체될 수 있다. 더 높은 품질의 기판 물질들은 더 높은 태양 전지 효율을 산출할 수 있다. 한편, M-Cz 물질들 및 n-타입 기판들은 종래의 p-타입 Cz 물질들보다 더 비싸다. 본 발명에서 제안된 방법들은 기판 물질 소비/비용를 감소시킬 수 있고, 이에 따라 이러한 더 높은 비용/더 높은 성능의 기판 물질들이 태양 전지 제조에 이용되는 경우 유리할 수 있다.
[0032] 진보된 태양 전지들의 제조 흐름은 몇몇 새로운 제조 프로세스들로 구성될 수 있다. 예를 들어, n-타입 실리콘 기판들 상에서의 태양 전지 제조는 또한 위에서 논의된 p-타입 기판들 상에서의 태양 전지 제조에서 인 확산 단계와 유사하게, 전지 앞 표면 상의 이미터 형성을 위한 붕소 확산 단계를 요구한다. 또한, 노 확산(도 2의 단계 3)은 이온 주입 프로세스에 의해 대체될 수 있다. 패터닝된 주입 단계는 선택적인 이미터 구조를 산출할 수 있으며 이는 금속 접촉 및 앞면 광 흡수를 개선한다. 대안적인 접근법에서, 선택적 이미터 구조는 니켈 무전해/구리 전해 도금 금속화 갖는 레이저 패터닝 프로세스에 의해 제조될 수 있다. 본 발명의 몇몇 실시예들에서, 태양 전지 제조 흐름들은 기판 슬라이싱 단계 이전에 이온 주입 또는 패터닝 또는 도금 프로세스들을 포함할 수 있다.
[0033] 기판 슬라이싱 이전의 패터닝 및 도금의 실시예들은 또한, 오늘 현재로 대량 생산에서 최고 전지 효율을 나타내는, 이른바 IBC(Interdigitated Back Contact) 전지들의 제조에 응용 가능하다. 수십 밀리초 범위의 소수 캐리어 수명을 갖는 n-타입(인 Cz) 실리콘 기판은 최상의 IBC 전지 효율을 위해 요구된다. 통상적인 IBC 전지 구조에서, 상부 표면 필드는 (예를 들어, POCI3 확산을 통해) 광 인 도핑된 층(light phosphorus doped layer)에 이어 표면 패시베이션/반사-방지(AR) 코팅 층을 갖도록 설정된다. IBC 전지들에서의 모든 금속 접촉들은 태양 조명 반대의, 기판 뒷면 상에 로케이팅된다. 전지 뒷면 상의 근접하게 패킹된 접촉들은 패시베이션 층(예를 들어, SiO2)의 증착, 레이저 패터닝, 전기도금 n+ 및 스크린 도금 p+ 접촉들을 포함하는 다수의 프로세스 단계들에서 준비될 수 있다. 본 발명에서, 기판 슬라이싱 단계를 포함하는 IBC 전지 제조 흐름을 갖는 것이 고려 가능하며, 이 기판 슬라이싱 단계에서, 전지 뒷면 패턴들은 먼저 슬라이싱 이전에 시작 웨이퍼들의 양 면들에서 제조되고, 이어서 슬라이싱 이후에 결과적인 웨이퍼들의 새로운 면 상의 전지 앞 표면 프로세스들이 이어진다.
[0034] HIT(Intrinsic Thin layer ) 전지와의 이종접합은 20% 초과의 전지 효율을 나타내는 진보된 태양 전지들에 대한 다른 유망한 기술이다. 셀 효율은 또한 상승된 온도에서 안정적이다. 또한, HIT 전지는 양면 디바이스로서 동작될 수 있는데, 즉 어느 한 면으로부터 태양 조명을 통해 전기를 생성할 수 있다. 통상적인 HIT 전지 구조는 표면 패시베이션 및 이미터 형성을 위해 기판의 양자의 면들에 도핑되고 고유한 비정질 실리콘(a-Si:H) 층 스택들을 포함한다. p-타입 및 고유한(도핑되지 않음) a-Si 층들의 막 스택은 한 면 상에 증착되고, n-타입 및 고유한 a-Si 층들의 막 스택은 기판의 다른 한 면 상에 증착된다. HIT 전지들의 양자의 면들은 금속 버스 라인들의 제조 이전에 투명 전도성 산화물(TCO) 층(예를 들어, 인듐 주석 산화물, 별칭 'ITO')에 의해 덮여진다.
[0035] HIT 전지에서 비정질 실리콘(a-Si) 증착은 막 두께, 도펀트 농도 및 깊이 프로파일의 정밀한 제어를 요구한다. SiNx:H 및 SiO2 증착과 유사하게, a-Si는 인라인 툴들(단일 면 증착) 및 노(더블-면 증착 가능) 둘 다에서 증착될 수 있다. 기판 슬라이싱 이전에 시작 웨이퍼 상에서 더블-면 a-Si 막 스택 증착을 갖고 HIT 전지 제조를 완료하기 위해 슬라이싱 이후에 결과적인 웨이퍼들의 새로운 표면을 프로세싱하는 것이 고려 가능하다. ~200 미크론의 시작 웨이퍼 두께로부터, 100 미크론 두께 미만의 HIT 전지들은 슬라이싱 이후 제조될 수 있으며, 이는 전지 효율에 최소의 충격을 준다.
[0036] 위의 논의들은 상이한 전지 구조들 및 상이한 제조 흐름들을 갖는 태양 전지들의 생산 시에 기판 슬라이싱 단계의 포함을 설명한다. 그러나 본 발명의 실시예들은 위에서 설명된 태양 전지 제조 흐름들로 제한되지 않는다. 또한, 기판 슬라이싱 단계를 포함하는 디바이스 제조의 제안된 방법들은 태양 전지 생산뿐만 아니라 다른 양면 디바이스들(전력 반도체 디바이스들, MEMS, CMOS 센서들 등)의 제조에도 응용 가능하다.
[0037] 의도된 애플리케이션들은 최소의 커프 손실들로 100-200 미크론 만큼 얇은 시작 웨이퍼의 슬라이싱을 요구한다. 기판 슬라이싱의 몇 개의 방법들은 본 발명에서 제안된다. 일 실시예에서, 기판 슬라이싱은 기판 측벽 상의 레이저 조사에 의해 달성된다. 도 5에서 예시된 바와 같이, 매우 얇은 그루부는 포커싱된 레이저 빔(100)에 의해 기판(101)의 측벽 상에 파일 수 있다. 기판 슬라이싱은 기판 앞/뒷면들에 평행한 일반적인 플래인으로 기판(101)을 통한 연속적인 컷(continuous cut)으로 달성될 수 있다. 몇 미크론의 폭을 갖는 매우 좁은 라인 프로파일을 갖는 레이저 빔(100)을 갖는 것이 바람직할 수 있다. 레이저 빔(100)의 길이는 지점(102)으로부터 지점(103)까지의 기판의 폭을 초과할 수 있다. 레이저 빔은 또한 짧은 라인, 타원형 스폿 또는 원형 스폿과 같이 몇몇 다른 프로파일로 성형될 수 있다. 또한, 레이저 빔은 "불연속적인(broken)" 라인 등을 형성하기 위해 단일 스폿 또는 정렬된 몇 개의 스폿들 상에 포커싱할 수 있다. 이들 시나리오들에서, 기판의 전체 폭에 걸친 레이저 조사는 기판 앞/뒷면들과 평행한 일반적인 방향으로 레이저 빔을 스캐닝하거나 기판을 이동시킴으로써 달성될 수 있다.
[0038] 레이저 조사에 의한 제안된 기판 슬라이싱은 포커싱된 레이저 빔이 슬라이싱의 위치에 액세스하는 경우, 슬라이싱 프로세스 동안 순간의 기판 분리를 요구할 수 있다. 본 발명의 일 실시예에서, 정전기 전하가 기판에 인가되고, 슬라이싱 이후 2개의 결과적인 기판들은 정전기 반발력에 의해 분리될 수 있다.
[0039] 본 발명의 실시예들에서, 제안된 기판 슬라이싱은 또한 측벽으로부터 기판의 기계적 클리빙(mechanical cleaving)에서 수행될 수 있다. 또한, 제안된 기판 슬라이싱 단계는 2개의 단계들, 즉 레이저 조사 프로세스에 이은 기판 슬라이싱 단계로 수행될 수 있다. 도 6에서 예시된 바와 같은 레이저 조사 프로세스에서, 레이저 빔(110)은 기판 표면(111) 아래 미리 결정된 깊이로 기판 내부에서 수렴한다. 적절한 조건들 하에서, 변형된 영역은 레이저 초점 지점(112)에서 기판 내부에 형성된다. 레이저는 기본 주파수에서 기판 물질들을 통한 높은 투과율 뿐만 아니라 배가된 주파수(절반 파장)에서 물질 전자 구조에 있어서의 양호한 공명(good resonance)을 갖도록 주의깊에 선택되어야 한다. 대략 일정한 깊이로 기판에 걸쳐서 레이저 초점 지점을 스캐닝하는 것은 기판 표면(111)과 평행하게 일반 정렬되는 변형된 영역(113)의 임베딩된 플래인을 형성할 수 있다. 변형된 영역의 이러한 플래인은 단결정 물질들의 것보다 상당히 더 약한 기계적 강도를 가질 수 있다. 후속적인 기판 슬라이싱 단계에서, 기판은 변형된 영역의 플래인에서 2개의 조각들로 분리될 수 있다.
[0040] 디커플링된 레이저 조사 및 기판 슬라이싱 프로세스에 있어서, 몇 개의 프로세스 단계들이 전체 제조 흐름에서 그 사이에 개재될 수 있다. 예를 들어, 레이저 조사 단계는 먼저 레이저 수렴을 위한 최적의 표면 거칠기/구조를 갖는 베어 기판들(bare substrates) 상에서 완료될 수 있지만, 기판 슬라이싱 단계는 몇 개의 더블-면 프로세스의 완료 이후까지 늦춰질 수 있다. 도 7은 이러한 디커플링된 레이저 조사 및 기판 슬라이싱 프로세스들을 갖는 태양 전지 생산 흐름을 예시한다. 변형된 영역의 임베딩된 플래인은 먼저 배어(bare) 기판들 내부에 형성된다(21). 기판들은 이어서, 기판 슬라이싱(28) 및 뒷면 금속화(29) 이전에, 표면 텍스처링(22), 인 확산(23), PSG 제거(24), SiHx:H 증착(26) 및 더블-패스/더블-면 앞면 금속화(27)를 포함하는, 도 4에서 도시된 것들과 유사한 프로세스 단계들을 겪는다.
[0041] 본 발명의 실시예는 양면 디바이스들의 제안된 제조 방식에서 기판의 기계적 클리빙에 관한 것이다. 실리콘과 같은 단결정 기판은 커프 손실 없이 자신의 결정질 플래인을 따라 클리빙될 수 있다. 제안된 기계적 기판 분리 프로세스는 레이저 조사 단계 이후에 수행될 수 있다. 일 방법에서, 레이저 조사는 후속 기계적 클리빙 프로세스를 용이하게 하기 위해 기판 측벽 상에 그루부를 팔 수 있다. 다른 방법에서, 기판 상의 레이저 조사는, 기판이 기판 내부의 손상된 층의 플래인을 따라 기계적으로 분리되기 이전에, 기판 앞/뒷면들에 평행한 일반적인 방향으로 기판 내부에 이러한 손상된 층의 플래인을 형성할 수 있다.
[0042] 관련된 발명에서 제안되는 참신한 기판 슬라이싱 프로세스들은 장치 설계에 관한 세부 고려사항들을 보장한다. 의도된 애플리케이션들은 최소의 커프 손실로 100-200 미크론만큼 얇은 시작 웨이퍼의 슬라이싱을 요구한다. 실리콘과 같은 얇은 반도체 기판들은 플랙서블하고 깨지기 쉬울 수 있다. 또한, 웨이퍼 내의 그리고 웨이퍼 간의 중요한 두께 변동이 있을 수 있다. 이러한 웨이퍼 내 그리고 웨이퍼 간 두께 변동은 기판 슬라이싱 장치의 설계 시에 고려될 필요가 있는데, 그 이유는 기판 슬라이싱 단계 이후 2개의 결과적인 웨이퍼들에 대해 대략 동일한 두께를 갖는 것이 바람직할 수 있기 때문이다.
[0043] 도 8에서 예시된 바와 같이, 본 발명의 일 실시예는 서로 마주하는 2개의 기판 척들(200 및 201)(이들 간에 갭이 있음)을 갖는 어셈블리를 설명한다. 기판 척들은 비-접촉 설계를 채택한다. 도 8에서 예시된 바와 같이, 기판(202)은 기판 표면들이 척 표면들과 일반적으로 정렬된 채로, 2개의 비-접촉 척들 간의 갭을 통과할 수 있다. 동작 조건들에서 동일하고 설계들에서 미러 이미지( mirror image)인 2개의 척들을 갖는 것이 바람직할 수 있다. 얇은 기판들이 2개의 척들 간의 갭을 통과할 때, 2개의 비-접촉 척들은 나란한 2개의 리턴 스프링들(return springs)로서 작동하고 기판 간 및 기판 내 두께 변동들에 무관하게, 그 사이에서 기판의 측벽들을 중앙에 배치( center )할 수 있다.
[0044] 도 8에서 예시된 바와 같이, 레이저 빔(203)은 기판 슬라이싱을 위해 기판 측벽의 중앙 라인에 포커싱할 수 있다. 본 발명의 실시예에서, 도 8의 장치는 레이저 조사를 위한 센서(들)(204)를 포함할 수 있다. 레이저 조사 센서(들)(204)는 기판 패스(pass)의 양 단부들에서, 2개의 척들(200 및 201) 간의 갭에 걸쳐서 포지셔닝될 수 있다. 센서들(204)은, 레이저 빔 위치는 물론, 피크 세기 및 스프레드(spread)/포커스를 포함하는 레이저 프로파일을 모니터링할 수 있다. 센서(들)(204)로부터의 신호들은 레이저 빔(203)을 척 어셈블리에 정렬하는데 이용될 수 있다.
[0045] 기판들이 장치를 통과할 때, 기판 측벽 상의 레이저 조사는 기판 슬라이싱 프로세스를 개시한다. 둥근 형상 웨이퍼들을 위해, 레이저 조사/기판 슬라이싱은 웨이퍼 상의 단일 지점으로부터 시작할 수 있다. 정사각형-형상 기판을 위해, 레이저 빔/기판 슬라이싱은 기판 측벽(도 8의 지점(205)으로부터 지점(206)으로)에 걸쳐서 동시에 시작할 수 있다.
[0046] 레이저 조사의 초점 지점 쪽으로 장치를 통해 기판을 공급함으로써 기판 슬라이싱 프로세스를 지속하는 것이 고려 가능하다. 레이저 라인 빔/스캔과 함께, 슬라이싱 프로세스는 기판으로 전파될 수 있다. 기판 슬라이싱 프로세스 동안, 기판은 2개의 결과적인 영역들(슬라이싱 이후) 및 하나의 시작 영역(슬라이싱 이전)을 갖는다. 본 발명에서 제안된 바와 같이 레이저 조사에 의한 연속적인 기판 슬라이싱 프로세스에서, 레이저 조사는 기판 슬라이싱의 위치에 도달하기 위해 2개의 결과적인 기판 영역들 간의 갭을 통과해야 한다. 실행 가능한 기판 슬라이싱 프로세스는 몇 미크론만큼 좁은 컷(cut)을 생성할 필요가 있다. 각각의 광학 시스템이 유한 초점 심도(Depth of Focus)를 갖기 때문에, 슬라이싱 이후 2개의 결과적인 기판 영역들 간의 클리어런스(clearance)는 레이저 조사가 기판 슬라이싱의 위치에 도달하기 위해 필수적이다. 2개의 결과적인 영역들이 직선 플래인들인 경우, 최상의 가능한 시준을 가질지라도, 레이저 조사 대부분은 기판 슬라이싱의 위치에 도달하도록 그 사이의 갭을 통과하지 않을 수 있다.
[0047] 본 발명의 실시예들에서, 슬라이싱 이후 2개의 결과적인 기판 영역들은 기판 슬라이싱의 위치로의 레이저 조사를 위해 이러한 요구되는 클리어런스를 획득하도록 떨어지게 된다( spread out). 도 9에서 예시된 바와 같이, 갭은 기판 슬라이싱(212)의 위치를 지나 장치 내의 2개의 대면식( face - to - face ) 척들(210 및 211) 사이에서 증가할 수 있다. 측면도로부터, 2개의 결과적인 기판 영역들(213/214) 및 시작 기판 영역(215)은 레이저 조사/기판 슬라이싱(212)의 위치가 "Y" 구성에서 중심 지점에 있는 채로, 대략 "Y" 형상을 형성한다.
[0048] 본 발명의 방법들에서, 장치는 2개의 부분들을 포함할 수 있다. 제 1 부분에서, 2개의 반대를 향하는 척들(210A 및 211A)은 서로 병렬로 일반 정렬된다. 위에서 설명된 바와 같이, 척 어셈블리의 이러한 부분을 통과하는 기판은 기판 슬라이싱을 위해 레이저 조사에 대해 정렬될 수 있다. 척 어셈블리의 제 2 부분에서, 2개의 척들(210B 및 211B) 간의 갭은 슬라이싱 이후 2개의 결과적인 기판 영역들로 갈라지도록( pull out ) 점진적으로 증가한다. 본 발명의 일 실시예에서, 척들의 제 1 및 제 2 부분들은 도 9에서 예시된 것과 바와 같이 연속적인 표면을 형성한다. 본 발명의 다른 실시예에서, 척들의 제 1 및 제 2 부분들은 도 10에서 예시된 바와 같이 2개의 독립적인 유닛들로서 설계될 수 있다. 척들(222 및 223)의 제 2 부분은 척들(220 및 221)의 제 1 부분으로부터 분리될 수 있다. 2개의 설계들은 슬라이싱 이후 기판의 2개의 결과적인 영역들이 떨어지도록 하는 동일한 원리들을 공유한다. 그래도, 이들은 상세한 설계 및 동작에서 몇몇 미묘한 차이를 가질 수 있다.
[0049] 제안된 접근법은 기판 슬라이싱 프로세스의 의도된 애플리케이션들에서 얇은 반도체 기판들의 플랙서블 성질을 이용할 수 있다. 예를 들어, 공칭 기판 두께는 결정질-실리콘 기반 태양 전지 생산 시에 ~200 미크론 또는 그 미만으로 떨어질 수 있다. 기판 슬라이싱 단계 이후 결과적인 웨이퍼는 두께가 ~100 미크론 또는 그 미만일 수 있다. 이러한 두께의 실리콘 기판은 플랙서블하며, 도 9 및 도 10에서 예시된 바와 같이 떨어지게 될 수 있다. 그러나 얇은 반도체 기판은 또한 깨지기 쉬울 수 있고 조심스럽게 핸들링되어야 한다. 도 9 및 도 10에서 도시된 바와 같이 척들의 제 2 부분의 설계에서 커브드 표면(curved surface)을 갖는 것이 유리할 수 있다. 척 표면 굴곡의 설계는 기판 슬라이싱을 위한 위치에 방해받지 않은 레이저 조사를 산출할 필요가 있다. 또한, 설계는 기판 두께, 기판 기계적 특성, 기판 품질 및 기판 표면들 상의 막 스택들의 온전함(integrity)과 같은 다른 팩터들을 고려할 필요가 있다.
[0050] 위의 섹션들은 직접 레이저 조사/기판 슬라이싱 프로세스(이하 "원-스텝 프로세스"로서 설명됨)를 위한 장치를 논의하였다. 앞서 논의된 바와 같이, 기판 슬라이싱을 위한 다른 접근법은 디커플링된 레이저 조사 및 기판 슬라이싱 단계들(이하 "투-스텝 프로세스"로서 설명됨)을 포함할 수 있다. 본 발명의 몇몇 실시예들은 투-스텝 레이저 조사/기판 분리 프로세스를 위한 장치 설계를 다룬다. 레이저 조사 및 기판 슬라이싱 양자의 단계들은 시작 기판들의 두께 변동을 수용해야 한다. 도 11에서 예시된 바와 같이, 투-스텝 프로세스에서 레이저 조사를 위한 장치는, 그 사이에서 기판(232)의 측벽을 중앙에 배치하기 위해 2개의 대면식 비-접촉 척들(230 및 231)을 포함할 수 있다. 레이저(233)는 척을 통해 기판 앞(또는 뒤) 표면에 조사된다. 이것은 척 상의 어퍼처(234) 또는 윈도우를 통해 또는 단순히 레이저 파장에 대해 투명한 물질로 이루어진 척들로 달성될 수 있다.
[0051] 레이저 조사는 레이저 파장의 흡수가 없는, 기판까지의 패스( pass )를 요구한다. 또한, 반도체 물질 상의 고전력 에너지 빔의 조사는 옥시던트(oxidant) 및 오염이 없는 기판 주변(substrate ambient)을 요구한다. 다수의 비-접촉 척들은 기판과 척 표면 간의 매우 작은 작동 거리를 갖고 기판 표면이 척 동작에서 유체들(예를 들어, 정화 가스)에 노출되는 유체-기계적 설계를 포함한다. 레이저 조사의 파장의 흡수 없는 정화 가스를 선택하는 것이 고려 가능하다. 불활성 정화 가스는 또한 고-전력 레이저 조사 하에서 기판 표면들의 산화 및 오염을 방지할 수 있다.
[0052] 본 발명의 다른 실시예는 투-스텝 프로세스에서 기판 슬라이싱 단계에 대한 장치 설계를 다룬다. 장치는 또한 도 12에서 예시된 바와 같이 2개의 대면식 비-접촉 척들의 어셈블리를 포함할 수 있다. 유닛을 통과하는 기판(240)은 먼저 서로 병렬로 일반 정렬되는 비-접촉 척들의 부분(241A 및 242A) 간에 중앙에 배치된다. 장치의 다음 부분에서, 2개의 대면식 척들(241B 및 242B) 간의 갭은 웨이퍼 출구 방향에서 증가한다. 적절한 조건들 하에서, 기판은 종래의 레이저 조사 단계에서 준비되는 바와 같이 변형된 영역의 플래인을 따라 슬라이싱될 수 있다. 기판의 분리는 기판 슬라이싱의 위치에 배치되는 기계적 웨지(243)에 의해 용이하게 될 수 있다. 척 어셈블리의 제 2 부분(241B 및 242B)은 깨지기 쉬운 얇은 기판 물질을 핸들링하도록 커브드 표면들일 수 있다. 각각의 척의 제 1 부분 및 제 2 부분(241A 및 241B, 242A 및 242B)은 하나의 통합된 유닛이거나, 또는 서로 인접한 2개의 유닛들로서 설계/제조될 수 있다.
[0053] 본 발명의 다음 실시예는 원통형 잉곳(cylindrical ingot)의 일반 형태의 워크피스로 레이저 조사/기판 분리 프로세스들을 연장한다. 도 13에서 예시된 바와 같이, 접선 방향으로부터 잉곳(301)의 측벽으로 레이저 조사(300)를 하고 잉곳 측벽으로부터 물질의 연속적인 층(302)을 분리하는 것이 고려 가능하다.
[0054] 얇은 기판들에 대해 위해서 설명된 원-스텝 및 투-스텝 기판 슬라이싱 방법들과 유사하게, 워크피스로서 원통형 잉곳을 이용하는 레이저 조사 및 기판 분리를 위한 2개의 접근법들이 또한 있다. 도 13에서 예시된 바와 같은 제 1 방법에서, 물질들의 박층은 레이저 조사 위치(303)에서 잉곳으로부터 분리된다. 이는 얇은 기판들에 대해 위에서 설명된 일-스텝 레이저 조사/기판 슬라이싱 프로세스와 유사하다. 도 14에서 예시된 바와 같은 제 2 방법에서, 레이저 빔(310)은 초점 지점(312)에서 잉곳(311) 측벽 표면 하에 수렴한다. 잉곳의 길이에 걸친 레이저 스캔 및 잉곳 회전을 통해, 변형된 영역의 플래인(313)은, 물질들의 층(314)이 기판 분리의 위치(315)에서 변형된 영역의 플래인을 따라 롤 아웃(rolled out)되기 이전에 기판 내부에서 형성된다. 이는 얇은 기판들에 대해 위에서 설명된 투-스텝 레이저 조사/기판 슬라이싱 프로세스와 유사하다.
[0055] 도 13 및 도 14는 또한 원통형 잉곳 상의 이러한 기판 분리 프로세스들을 위한 장치의 셋업을 예시한다. 도 13에서 예시된 바와 같은 일-스텝 레이저 조사/기판 분리 프로세스에서, 물질의 박층(302)은 레이저 조사(300)에 의해 회전 잉곳(301)으로부터 분리된다. 물질들의 이러한 결과적인 박층의 두께는 시작 잉곳 측벽 표면(306)과 레이저 조사/기판 분리 위치(303) 간의 거리와 동일하다. 레이저 조사(300)는 몇 미크론의 폭 및 잉곳(301)의 길이를 초과하는 길이를 갖는 좁은 라인 빔의 형태일 수 있다. 대안적으로, 다른 형상들(예를 들어, 스폿)의 레이저 빔은, 잉곳의 길이에 따른 레이저 스캔과 함께 적응된다.
[0056] 도 13에서 예시된 바와 같이, 회전 잉곳은 잉곳 측벽의 길이에 걸쳐서 레이저 조사/기판 분리의 위치 바로 옆에 있는 척(304)과 함께 있을 수 있다. 척(304)은 분리(302) 이후 레이저 조사(300)의 경로에서 멀어지게 결과적인 층을 당길 수 있다. 레이저 빔 라인/스캔의 다른 측에서, 분리(305) 이후 원통형 잉곳 표면은 레이저 조사의 경로에서 멀어지게 회전한다. 측면도로부터, 이러한 구성은, 슬라이싱 이후 2개의 결과적인 기판 영역들이 레이저 조사 경로의 클리어런스를 위해 떨어지게 되는, 도 9 및 도 10에서 예시된 바와 같은 얇은 기판 상의 원-스텝 레이저 조사/기판 분리 프로세스에 대한 셋업과 유사하다.
[0057] 도 13에서 도시된 바와 같이, 레이저 조사/기판 분리 위치(303)로부터 물질들의 결과적인 플랙서블 층(302)을 롤 아웃하기 위한 원통 형상 척(304)을 갖는 것이 유리할 수 있다. 양자의 비-접촉 또는 직접-접촉 타입들의 척 설계들이 고려될 수 있다. 비-접촉 척 설계에 있어서, 척은 연속적인 기판 분리 프로세스 동안 고정된 채로 머무를 수 있는 반면에, 분리 이후 물질들의 결과적인 박층은 롤 아웃된다.
[0058] 분리 이후 물질들의 결과적인 층에 대해 균일한 두께를 갖는 것이 바람직할 수 있다. 도 13에서 예시된 바와 같이, 물질들의 이러한 박층의 두께는 레이저 조사(303)의 위치와 시작 잉곳 측벽(306) 간의 거리와 동일하다. 반면에, 잉곳 측벽(306)과 원통형 척(304) 간의 작동 거리(working distance)는 일정하다. 따라서 척 측벽에 관하여 레이저 조사의 상대적 위치를 고정함으로써 잉곳으로부터 연속적인 기판 분리 프로세스를 수행하는 것이 고려 가능하다. 장치에서 레이저 조사 센서(들)를 구현하고 레이저/척 정렬의 정밀한 제어 시에 그 신호들을 이용하는 것이 유리할 수 있다. 잉곳으로부터 물질들의 연속적인 층의 분리는 잉곳 반경을 감소시킨다. 잉곳 측벽과 척 간의 작업 거리를 유지하기 위해, 잉곳(301)의 중앙 위치(307)는 일반적으로 척(304) 쪽으로 이동해야 한다. 요구된 움직임은 계산되고 정밀하게 제어될 수 있다. 또한, 잉곳은 단순히 척력(chucking force)에 의해 척 쪽으로 당겨질 수 있다.
[0059] 도 14는 원통형 잉곳 상의 투-스텝 기판 분리 프로세스를 위한 장치를 예시한다. 제 1 단계는 방사상(radial) 방향으로부터 잉곳(311)의 측벽 상의 고-전력 단-펄스 레이저(310)의 조사이다. 변형된 영역은 측벽 표면 아래의 레이저 조사(312)의 초점 지점에서 생성될 수 있다. 잉곳 회전과 함께, 잉곳 측벽의 길이에 따른 레이저 스캔은 잉곳 측벽 표면 아래의 변형된 영역의 플래인(313)을 산출할 수 있다. 잉곳(311)이 레이저 조사의 위치로부터 회전한 이후, 물질들의 박층(314)은 기판 분리(315)의 위치에서 이러한 변형된 영역의 플래인(313)으로부터 잉곳(311)과 분리될 수 있다. 도 13에서 도시된 원-스텝 장치와 유사하게, 회전 잉곳은 또한 기판 분리 이후 물질들의 결과적인 층을 롤 아웃하기 위해 원통형 척(316)과 결합될 수 있다. 또한, 기계적 웨지(317)는 기판 분리 프로세스를 용이하게 하도록 적응될 수 있다.
[0060] 본 발명의 몇몇 최종 실시예들에서, 다른 물질들은 제안된 기판 분리 프로세스 이전에 잉곳에 증착 또는 본딩될 수 있다. 일-스텝 및 투-스텝 기판 분리 프로세스에 대한 제안된 방법들은 도 15 및 도 16에서 각각 예시된다. 원통형 잉곳 상의 투-스텝 레이저 조사/기판 분리 프로세스에서, 레이저 조사 이전 또는 이후 지지 물질들(320)을 증착/본딩하기 위한 선택이 있다. 몇몇 물질들은 고-밀도 레이저 조사를 유지할 수 없거나, 또는 기판 내부의 레이저 투과 및 수렴에 불리할 수 있다. 도 16에서 예시된 바와 같이 레이저 조사 이후 기판 표면 상에 이러한 물질들을 증착/본딩하는 것이 바람직하다. 한편, 몇몇 다른 물질들/막들은 반사-방지 층으로서 역할하고 광 흡수를 강화할 수 있거나, 또는 기판 표면 거칠기를 감소시키고 광 스캐터링을 감소시킬 수 있다. 레이저 조사 이전의 이러한 지지 물질들/막들의 코팅은 기판 내부의 레이저 투과 및 그의 수렴에 유리할 수 있다.

Claims (40)

  1. 반도체 웨이퍼를 제조하는 방법으로서,
    상기 반도에 웨이퍼의 앞면(front side) 및 뒷면을 프로세싱하는 단계;
    상기 반도체 웨이퍼를 적어도 2개의 조각들로 슬라이싱(slicing)하는 단계 - 하나의 조각은 상기 앞면을 포함하고, 다른 조각은 상기 기판의 뒷면을 포함함 - ; 및
    상기 적어도 2개의 조각들의 다른 면들을 프로세싱하는 단계
    를 포함하고,
    상기 적어도 2개의 조각들의 다른 면들은 상기 기판을 슬라이싱함으로써 생성되는,
    반도체 웨이퍼를 제조하는 방법.
  2. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 표면 텍스처링(surface texturing)을 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  3. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 이온 확산을 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  4. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 이온 주입을 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  5. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 막 증착을 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  6. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 에피택셜 물질 성장(epitaxial materials growth)을 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  7. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 패터닝을 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  8. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 스크린 프린팅(screen printing)을 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  9. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 전자도금(electroplating)을 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  10. 제 1 항에 있어서,
    상기 프로세싱은,
    상기 앞면, 뒷면 및/또는 상기 다른 면들 상의 막 제거를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  11. 제 1 항에 있어서,
    상기 반도체 웨이퍼의 앞면 및 뒷면을 프로세싱하는 단계는,
    상기 앞면 및 상기 뒷면 상의 절연 물질층을 증착하는 단계;
    상기 앞면 및 상기 뒷면을 패터닝하는 단계; 및
    상기 앞면 및 상기 뒷면을 금속화하는 단계를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  12. 제 1 항에 있어서,
    상기 반도체 웨이퍼의 앞면 및 뒷면을 프로세싱하는 단계는,
    상기 앞면 및 상기 뒷면을 표면 텍스처링하는 단계;
    상기 앞면 및 상기 뒷면 상에서 인을 확산시키는 단계;
    상기 앞면 및 상기 뒷면으로부터 PSG(phosphosilicate glass)를 제거하는 단계;
    상기 앞면 및 상기 뒷면 상에 실리콘 질화물(SiNx:H)을 증착하는 단계; 및
    상기 앞면 및 상기 뒷면을 금속화하는 단계를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  13. 제 1 항에 있어서,
    상기 반도체 웨이퍼의 앞면 및 뒷면을 프로세싱하는 단계는,
    상기 앞면 및 상기 뒷면을 표면 텍스처링하는 단계;
    상기 앞면 및 상기 뒷면 상에 붕소를 확산시키는 단계;
    상기 앞면 및 상기 뒷면 상에 SiNx:H를 증착하는 단계; 및
    상기 앞면 및 상기 뒷면을 금속화하는 단계를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  14. 제 1 항에 있어서,
    상기 반도체 웨이퍼를 적어도 2개의 조각들로 분리하는 단계는,
    상기 반도체 웨이퍼의 측벽 상에서 레이저 조사하는 단계를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  15. 제 1 항에 있어서,
    상기 반도체 웨이퍼를 적어도 2개의 조각들로 분리하는 단계는,
    상기 반도체 웨이퍼의 한 면 상에서 레이저 조사하고 변형된 영역의 플래인(plane)을 형성하기 위해 상기 반도체 웨이퍼의 표면 아래 상기 레이저 조사를 포커싱하는 단계를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  16. 제 1 항에 있어서,
    상기 반도체 웨이퍼를 적어도 2개의 조각들로 분리하는 단계는,
    상기 반도체 웨이퍼를 기계적 클리빙(mechanical cleaving)하는 단계를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  17. 제 1 항에 있어서,
    상기 반도체 웨이퍼를 적어도 2개의 조각들로 분리하는 단계는,
    상기 반도체 웨이퍼의 기계적 클리빙 이전에 상기 반도체 웨이퍼를 레이저 조사하는 단계를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  18. 제 1 항에 있어서,
    상기 반도체 웨이퍼를 적어도 2개의 조각들로 분리하는 단계는,
    상기 반도체 웨이퍼 상에 정전기 전하를 인가하는 단계를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  19. 반도체 웨이퍼를 제조하는 방법으로서,
    상기 반도체 웨이퍼의 앞면 및 뒷면을 실질적으로 동일한 방식으로 프로세싱하는 단계;
    상기 프로세싱 단계들 이후에 상기 반도체 웨이퍼를 2개의 조각들로 슬라이싱하는 단계 - 하나의 조각은 상기 앞면을 포함하고, 두 번째 조각은 상기 뒷면을 포함함 - ;
    상기 반도체 웨이퍼를 슬라이싱한 결과로서 생성되는 2개의 조각들의 다른 면들을 프로세싱하는 단계
    를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  20. 반도체 웨이퍼를 제조하는 방법으로서,
    상기기 반도체 디바이스의 앞면 및 뒷면을 동시적인 방식으로 프로세싱하는 단계 - 상기 앞면 및 상기 뒷면을 프로세싱하는 단계는 실질적으로 동일한 양의 시간 내에 완료되도록 되는 것임 - ;
    상기 프로세싱 단계들 이후에 상기 반도체 웨이퍼를 2개의 조각들로 슬라이싱하는 단계 - 하나의 조각은 상기 앞면을 포함하고, 두 번째 조각은 상기 뒷면을 포함함 - ; 및
    상기 반도체 웨이퍼를 슬라이싱한 결과로서 생성되는 2개의 조각들의 다른 면들을 프로세싱하는 단계
    를 포함하는,
    반도체 웨이퍼를 제조하는 방법.
  21. 반도체 웨이퍼를 핸들링하도록 적응된 장치로서,
    제 1 척:
    상기 제 1 척으로부터 이격된 제 2 척;
    상기 반도체 웨이퍼가 통과할 수 있도록 하는, 상기 제 1 척과 상기 제 2 척 간의 개구; 및
    상기 반도체 웨이퍼가 상기 개구를 통해 이동할 때 상기 반도체 웨이퍼를 적어도 2개의 조각들로 분리하기 위한 매커니즘
    을 포함하고,
    상기 2개의 조각들 각각은 실질적으로 상기 분리 이전의 상기 반도체 웨이퍼의 표면 영역과 동일한 표면 영역을 포함하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  22. 제 21 항에 있어서,
    상기 제 1 및 제 2 척들은,
    실질적으로 설계에 있어 서로에 대한 미러 이미지들(mirror images)인,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  23. 제 21 항에 있어서,
    상기 제 1 및 제 2 척들은,
    상기 반도체 웨이퍼가 상기 개구를 통해 이동할 때 상기 반도체 웨이퍼가 상기 척들과 접촉하지 않게 되도록 설계, 포지셔닝 및 동작되는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  24. 제 21 항에 있어서,
    상기 제 1 척의 부분은,
    상기 반도체 웨이퍼가 분리 이전에 상기 장치를 통해 이동할 때 상기 제 2 척의 부분과 병렬로 일반 정렬되는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  25. 제 21 항에 있어서,
    상기 개구는,
    상기 반도체 웨이퍼가 분리 이후에 상기 장치를 통해 이동할 때 증가하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  26. 제 21 항에 있어서,
    상기 반도체 웨이퍼가 상기 개구를 통해 이동할 때 상기 반도체 웨이퍼의 측벽을 조명하도록 적응된 레이저 조사 시스템
    을 더 포함하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  27. 제 26 항에 있어서,
    상기 레이저 조사 시스템은,
    레이저 조사 센서(들)를 포함하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  28. 제 26 항에 있어서,
    상기 레이저 조사 센서(들)로부터의 신호들로 상기 개구를 상기 레이저 조사에 정렬하기 위한 폐-루프 제어 시스템
    을 더 포함하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  29. 제 21 항에 있어서,
    상기 반도체 웨이퍼가 상기 개구를 통해 이동할 때 상기 반도체 웨이퍼의 한 면을 조명하도록 적응된 레이저 조사 시스템
    을 더 포함하고,
    상기 레이저 조사는 상기 반도체 웨이퍼 내부에서 수렴하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  30. 제 21 항에 있어서,
    상기 반도체 웨이퍼 상에 정전기 전하를 인가하도록 적응된 디바이스를 포함하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  31. 제 21 항에 있어서,
    상기 반도체 웨이퍼에 정화 가스를 전달할 수 있는 유닛
    을 더 포함하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  32. 제 21 항에 있어서,
    상기 반도체 웨이퍼를 분리하기 위한 매커니즘은,
    기계적 클리버(mechanical cleaver)를 포함하는,
    반도체 웨이퍼를 핸들링하도록 적응된 장치.
  33. 기판을 제조하는 방법으로서,
    원통형 잉곳(cylindrical ingot)의 측벽 상에 레이저 빔을 조사하는 단계 - 상기 잉곳은 반도체 물질들로 구성됨 - ; 및
    상기 잉곳의 측벽으로부터 상기 반도체 물질들의 층을 분리하는 단계
    를 포함하는,
    기판을 제조하는 방법.
  34. 제 33 항에 있어서,
    상기 잉곳의 측벽은,
    상기 물질들의 층을 분리하기 이전에 다른 물질들에 본딩되는,
    기판을 제조하는 방법.
  35. 반도체 잉곳을 핸들링하도록 적응된 장치로서,
    상기 잉곳을 회전시키기 위한 매커니즘;
    상기 잉곳의 측벽 상에 레이저를 조명하기 위한 유닛; 및
    상기 잉곳으로부터 물질들의 박층을 분리하기 위한 매커니즘
    을 포함하는,
    반도체 잉곳을 핸들링하도록 적응된 장치.
  36. 제 35 항에 있어서,
    상기 잉곳으로부터 물질들의 박층을 분리하기 위한 기판 척
    을 더 포함하는,
    반도체 잉곳을 핸들링하도록 적응된 장치.
  37. 제 35 항에 있어서,
    상기 물질들을 분리하는 매커니즘은,
    기계적 클리버를 포함하는,
    반도체 잉곳을 핸들링하도록 적응된 장치.
  38. 제 35 항에 있어서,
    상기 반도체 잉곳 상에 정전기 전하를 인가하기 위한 유닛
    을 더 포함하는,
    반도체 잉곳을 핸들링하도록 적응된 장치.
  39. 제 35 항에 있어서,
    레이저 조사 센서(들)
    를 더 포함하는,
    반도체 잉곳을 핸들링하도록 적응된 장치.
  40. 제 35 항에 있어서,
    상기 잉곳으로부터 상기 물질들의 박층을 분리하기 위한 기판 척;
    레이저 빔을 감지하도록 적응된 레이저 조사 센서(들); 및
    상기 레이저 조사를 상기 기판 척에 정렬하기 위한 폐-루프 제어 시스템
    을 더 포함하는,
    반도체 잉곳을 핸들링하도록 적응된 장치.
KR1020157006355A 2012-08-23 2013-08-23 기판을 분리하고 전자 디바이스를 제조하기 위한 방법들 및 장치 KR20150046109A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261742975P 2012-08-23 2012-08-23
US61/742,975 2012-08-23
PCT/US2013/000191 WO2014031140A1 (en) 2012-08-23 2013-08-23 Methods and apparatus for separating a substrate and fabricating an electronic device

Publications (1)

Publication Number Publication Date
KR20150046109A true KR20150046109A (ko) 2015-04-29

Family

ID=50146728

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157006355A KR20150046109A (ko) 2012-08-23 2013-08-23 기판을 분리하고 전자 디바이스를 제조하기 위한 방법들 및 장치

Country Status (4)

Country Link
US (3) US9190294B2 (ko)
KR (1) KR20150046109A (ko)
CN (1) CN104813447B (ko)
WO (1) WO2014031140A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9344196B1 (en) 2009-05-28 2016-05-17 Freedom Photonics, Llc. Integrated interferometric optical transmitter
US8401405B2 (en) 2009-05-28 2013-03-19 Freedom Photonics, Llc. Monolithic widely-tunable coherent receiver
CN103299448B (zh) * 2010-09-29 2016-09-07 Posco公司 使用辊形状母基板的柔性电子器件的制造方法、柔性电子器件及柔性基板
US9499921B2 (en) * 2012-07-30 2016-11-22 Rayton Solar Inc. Float zone silicon wafer manufacturing system and related process
US9190294B2 (en) * 2012-08-23 2015-11-17 Michael Xiaoxuan Yang Methods and apparatus for separating a substrate
DE102014006328A1 (de) 2014-04-30 2015-11-05 Siltectra Gmbh Kombiniertes Festkörperherstellungsverfahren mit Laserbehandlung und temperaturinduzierten Spannungen zur Erzeugung dreidimensionaler Festkörper
DE102015008034A1 (de) * 2015-06-23 2016-12-29 Siltectra Gmbh Verfahren zum Führen eines Risses im Randbereich eines Spendersubstrats
JP6472347B2 (ja) * 2015-07-21 2019-02-20 株式会社ディスコ ウエーハの薄化方法
CN105436710B (zh) 2015-12-30 2019-03-05 大族激光科技产业集团股份有限公司 一种硅晶圆的激光剥离方法
DE102016000184A1 (de) 2016-01-11 2017-07-27 Zwiesel Kristallglas Ag Laserfilamentieren
US9502522B1 (en) * 2016-02-29 2016-11-22 Chongqing Pingwei Enterprise Co., Ltd. Mass production process of high voltage and high current Schottky diode with diffused design
US9670061B1 (en) 2016-09-12 2017-06-06 International Business Machines Corporation Flexible electronics for wearable healthcare sensors
US10320152B2 (en) 2017-03-28 2019-06-11 Freedom Photonics Llc Tunable laser
JP6904793B2 (ja) * 2017-06-08 2021-07-21 株式会社ディスコ ウエーハ生成装置
CN110911330A (zh) * 2018-09-14 2020-03-24 东莞市中麒光电技术有限公司 一种通过转移晶圆批量转移、固定led芯片的吸盘及方法
US11764315B2 (en) * 2020-09-16 2023-09-19 Maxeon Solar Pte. Ltd. Solar cell separation with edge coating
CN113257722B (zh) * 2021-06-29 2021-09-28 深圳中科四合科技有限公司 一种芯片倒膜方法和芯片倒膜设备
JP2023044430A (ja) * 2021-09-17 2023-03-30 キオクシア株式会社 半導体装置、半導体装置の製造方法、および基板の再利用方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3773321A (en) * 1972-01-11 1973-11-20 Optical Recognition Systems Overlapped document detector
US4261781A (en) * 1979-01-31 1981-04-14 International Business Machines Corporation Process for forming compound semiconductor bodies
US4736942A (en) * 1986-09-17 1988-04-12 Tex-Nology Systems, Inc. Apparatus for separating moving superposed fabric layers
JPS6477507A (en) * 1987-09-18 1989-03-23 Toshiba Corp Slicing device for semiconductor substrate
JPH0177507U (ko) * 1987-11-13 1989-05-25
JP3310326B2 (ja) * 1992-04-16 2002-08-05 株式会社半導体エネルギー研究所 光電変換装置の作製方法
JPH06232258A (ja) * 1993-01-29 1994-08-19 Nec Kansai Ltd 半導体ウェーハのダイシング装置
US6010951A (en) * 1998-04-14 2000-01-04 National Semiconductor Corporation Dual side fabricated semiconductor wafer
FR2807074B1 (fr) * 2000-04-03 2002-12-06 Soitec Silicon On Insulator Procede et dispositif de fabrication de substrats
JP2002075917A (ja) * 2000-08-25 2002-03-15 Canon Inc 試料の分離装置及び分離方法
EP1209737B2 (en) * 2000-11-06 2014-04-30 Hitachi, Ltd. Method for specimen fabrication
WO2004013603A2 (en) * 2002-08-05 2004-02-12 California Institute Of Technology A method of sample preparation for atom probes and source of specimens
TWI240965B (en) * 2003-02-28 2005-10-01 Toshiba Corp Semiconductor wafer dividing method and apparatus
US7700413B2 (en) * 2004-04-20 2010-04-20 Showa Denko K.K. Production method of compound semiconductor light-emitting device wafer
US8420435B2 (en) * 2009-05-05 2013-04-16 Solexel, Inc. Ion implantation fabrication process for thin-film crystalline silicon solar cells
US7682937B2 (en) * 2005-11-25 2010-03-23 Advanced Laser Separation International B.V. Method of treating a substrate, method of processing a substrate using a laser beam, and arrangement
JP4775046B2 (ja) * 2006-03-15 2011-09-21 コニカミノルタビジネステクノロジーズ株式会社 用紙搬送装置及び画像形成装置
US8168514B2 (en) * 2006-08-24 2012-05-01 Corning Incorporated Laser separation of thin laminated glass substrates for flexible display applications
US9362439B2 (en) * 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US8076605B2 (en) * 2007-06-25 2011-12-13 Electro Scientific Industries, Inc. Systems and methods for adapting parameters to increase throughput during laser-based wafer processing
EP2009687B1 (en) * 2007-06-29 2016-08-17 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing an SOI substrate and method of manufacturing a semiconductor device
EP2068369A1 (en) * 2007-12-03 2009-06-10 Interuniversitair Microelektronica Centrum (IMEC) Photovoltaic cells having metal wrap through and improved passivation
US7976629B2 (en) * 2008-01-01 2011-07-12 Adam Alexander Brailove Crystal film fabrication
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus
JP2009260313A (ja) * 2008-03-26 2009-11-05 Semiconductor Energy Lab Co Ltd Soi基板の作製方法及び半導体装置の作製方法
JP2010021398A (ja) * 2008-07-11 2010-01-28 Disco Abrasive Syst Ltd ウェーハの処理方法
US20100084744A1 (en) * 2008-10-06 2010-04-08 Zafiropoulo Arthur W Thermal processing of substrates with pre- and post-spike temperature control
DE102008052006B4 (de) * 2008-10-10 2018-12-20 3D-Micromac Ag Verfahren und Vorrichtung zur Herstellung von Proben für die Transmissionselektronenmikroskopie
FR2943848B1 (fr) * 2009-03-27 2012-02-03 Jean Pierre Medina Procede et machine de fabrication d'un semi-conducteur, du type cellule photovoltaique ou composant electronique similaire
SG166060A1 (en) * 2009-04-22 2010-11-29 Semiconductor Energy Lab Method of manufacturing soi substrate
US20100275995A1 (en) * 2009-05-01 2010-11-04 Calisolar, Inc. Bifacial solar cells with back surface reflector
CN101604711A (zh) * 2009-06-08 2009-12-16 无锡尚德太阳能电力有限公司 一种太阳电池的制备方法以及通过该方法制备的太阳电池
US9171726B2 (en) * 2009-11-06 2015-10-27 Infineon Technologies Ag Low noise semiconductor devices
US8419895B2 (en) * 2010-05-27 2013-04-16 International Business Machines Corporation Laser ablation for integrated circuit fabrication
US8845859B2 (en) * 2011-03-15 2014-09-30 Sunedison Semiconductor Limited (Uen201334164H) Systems and methods for cleaving a bonded wafer pair
US8575758B2 (en) * 2011-08-04 2013-11-05 Texas Instruments Incorporated Laser-assisted cleaving of a reconstituted wafer for stacked die assemblies
US8884159B2 (en) * 2011-09-14 2014-11-11 International Business Machines Corporation Photovoltaic devices with metal semiconductor alloy metallization
KR20130062775A (ko) * 2011-12-05 2013-06-13 엘지전자 주식회사 태양 전지 및 이의 제조 방법
JP2015516672A (ja) * 2012-02-26 2015-06-11 ソレクセル、インコーポレイテッド レーザ分割及び装置層移設のためのシステム及び方法
US20130251940A1 (en) * 2012-03-23 2013-09-26 Sheng Sun Method of cutting an ingot for solar cell fabrication
US8794624B2 (en) * 2012-06-21 2014-08-05 Xerox Corporation Method and apparatus for a pneumatic baffle to selectively direct a cut media in a media feed system
US9190294B2 (en) * 2012-08-23 2015-11-17 Michael Xiaoxuan Yang Methods and apparatus for separating a substrate
US20150170967A1 (en) * 2012-08-23 2015-06-18 Michael Xiaoxuan Yang Methods for Substrate and Device Fabrications

Also Published As

Publication number Publication date
WO2014031140A9 (en) 2015-04-09
US9196503B2 (en) 2015-11-24
US9440311B2 (en) 2016-09-13
CN104813447B (zh) 2017-06-27
US20160059356A1 (en) 2016-03-03
WO2014031140A1 (en) 2014-02-27
CN104813447A (zh) 2015-07-29
US20140053382A1 (en) 2014-02-27
US9190294B2 (en) 2015-11-17
US20140057413A1 (en) 2014-02-27

Similar Documents

Publication Publication Date Title
KR20150046109A (ko) 기판을 분리하고 전자 디바이스를 제조하기 위한 방법들 및 장치
US9929054B2 (en) Systems and methods for laser splitting and device layer transfer
US9379258B2 (en) Fabrication methods for monolithically isled back contact back junction solar cells
US9515217B2 (en) Monolithically isled back contact back junction solar cells
US9768343B2 (en) Damage free laser patterning of transparent layers for forming doped regions on a solar cell substrate
US8637340B2 (en) Patterning of silicon oxide layers using pulsed laser ablation
US20170236969A1 (en) Laser irradiation aluminum doping for monocrystalline silicon substrates
US20130130430A1 (en) Spatially selective laser annealing applications in high-efficiency solar cells
US20080179547A1 (en) Method and structure for fabricating solar cells using a thick layer transfer process
US20120225515A1 (en) Laser doping techniques for high-efficiency crystalline semiconductor solar cells
KR101384853B1 (ko) 광기전 태양 전지의 레이저 가공 방법
US20130164883A1 (en) Laser annealing applications in high-efficiency solar cells
WO2014127067A1 (en) Monolithically isled back contact back junction solar cells using bulk wafers
KR20110090933A (ko) 광전 변환 장치의 제조 방법, 광전 변환 장치의 제조 장치 및 광전 변환 장치
US20170005206A1 (en) Patterning of silicon oxide layers using pulsed laser ablation
KR20160120274A (ko) 후면 접촉 후면 접합 태양 전지를 위한 부동태화된 접촉부
EP2819181A1 (en) Laser annealing applications in high-efficiency solar cells
KR101396027B1 (ko) 고효율 후면 접촉 후면 접합 태양 전지의 이온 주입 및 어닐링
WO2012162276A2 (en) Spatially selective laser annealing applications in high-efficiency solar cells
JP2014239085A (ja) 太陽電池素子およびその製造方法
US5489555A (en) Method for forming a photoelectric conversion device
WO2015100392A2 (en) Self aligned contacts for monolithically isled back contact back junction solar cells

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination