KR20130065571A - 후방 조명식 cmos 이미지 센서 - Google Patents

후방 조명식 cmos 이미지 센서 Download PDF

Info

Publication number
KR20130065571A
KR20130065571A KR20120058718A KR20120058718A KR20130065571A KR 20130065571 A KR20130065571 A KR 20130065571A KR 20120058718 A KR20120058718 A KR 20120058718A KR 20120058718 A KR20120058718 A KR 20120058718A KR 20130065571 A KR20130065571 A KR 20130065571A
Authority
KR
South Korea
Prior art keywords
region
layer
substrate
forming
epitaxial layer
Prior art date
Application number
KR20120058718A
Other languages
English (en)
Other versions
KR101443438B1 (ko
Inventor
시우-코 장지안
볼룸 치엔
추-안 우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130065571A publication Critical patent/KR20130065571A/ko
Application granted granted Critical
Publication of KR101443438B1 publication Critical patent/KR101443438B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14698Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

후방 조명식 CMOS 이미지 센서는 전방 이온 주입 공정을 사용하여 기재 위에 형성되는 광 활성화 영역과 후방 이온 주입 공정을 사용하여 상기 광 활성화 영역에 인접하게 형성되는 확장된 광 활성화 영역을 포함한다. 후방 조명식 CMOS 이미지 센서는 기재의 후방면 상에 레이저 어닐링된 층을 더 포함할 수 있다. 확장된 광 활성화 영역은 양자 효율을 향상시키기 위해 전자로 변화되는 광자의 수를 증가시키는 것을 돕는다.

Description

후방 조명식 CMOS 이미지 센서{BACKSIDE ILLUMINATED CMOS IMAGE SENSOR}
본 출원은 여기에 참조로 포함되는 2011년 12월 9일자 출원된 "Back-Side Illumination Sensor and Method of Manufacture"라는 제하의 미국 가특허 출원 제61/569,018호의 이익을 주장한다.
본 발명은 상보적 금속-산화물 반도체(CMOS; complementary metal-oxide semiconductor) 이미지 센서에 관한 것으로, 보다 상세하게는 후방 조명식 CMOS 이미지 센서에 관한 것이다.
기술의 발달에 따라, 상보적 금속-산화물 반도체(CMOS) 이미지 센서가 해당 CMOS 이미지 센서 고유의 장점에 기인하여 통상의 전하 결합 소자(CCD; charged-coupled device)에 비해 인기를 얻고 있다. 특히, CMOS 이미지 센서는 높은 이미지 포착 속도, 낮은 작동 전압, 낮은 전력 소비 및 높은 노이즈 불활성화를 가질 수 있다. 또한, CMOS 이미지 센서는 로직 및 메모리 소자와 동일한 대용적 웨이퍼 처리 라인 상에서 제조될 수 있다. 결국, CMOS 이미지 칩은 이미지 센서와 모든 필요한 로직, 예컨대, 증폭기, A/D 컨버터 등의 필요 로직을 포함할 수 있다.
CMOS 이미지 센서는 픽셀화된 금속 산화물 반도체이다. CMOS 이미지 센서는 통상적으로, 감광 화소(픽셀)들의 어레이를 포함하며, 각각의 감광 화소는 트랜지스터(스위칭 트랜지스터 및 리셋 트랜지스터), 캐패시터 및 감광 소자(예, 광-다이오드)를 포함할 수 있다. CMOS 이미지 센서는 감광 CMOS 회로를 사용하여 광자를 전자로 변환시킨다. 감광 CMOS 회로는 통상적으로 실리콘 기판에 형성되는 광-다이오드를 포함한다. 광-다이오드가 광에 노출되면, 광-다이오드에 전하가 유도된다. 각각의 화소는 대상 현장(subject scene)에서 광이 화소에 입사될 때 화소에 떨어지는 광량에 비례하여 전자를 생성할 수 있다. 또한, 전자는 화소에서 전압 신호로 변환되고 추가로 A/D 컨버터에 의해 디지털 신호로 변환된다. 디지털 신호는 복수의 주변 회로에 의해 수신된 후 처리되어 대상 현장의 이미지가 표시된다.
CMOS 이미지 센서는 기판 상부에 형성된 유전체 층과 금속 배선층과 같은 복수의 추가의 층을 포함할 수 있는데, 여기서 배선층은 광-다이오드를 주변 회로에 결합시키는데 사용된다. CMOS 이미지 센서의 추가의 층을 갖는 측면은 전방 측면으로 지칭되는 한편, 기판을 갖는 측면은 후방 측면으로 지칭된다. CMOS 이미지 센서는 광로차에 따라 두 개의 주요 카테고리, 즉 전방측 조명(FSI; front-side illuminated) 이미지 센서와 후방측 조명(BSI; back-side illuminated) 이미지 센서로 구분될 수도 있다.
FSI 이미지 센서의 경우, 대상 현장으로부터의 광은 CMOS 이미지 센서의 전방 측면에 입사된 후 유전체 층과 배선을 통과하고 최종적으로 광 다이오드에 도달한다. 광로에 있는 추가의 층(예, 불투명 및 반사 금속층)은 양자 효율의 감소를 위해 광 다이오드에 의해 흡수되는 광량을 제한할 수 있다. 이에 비해, BSI 이미지 센서에서는 추가의 층(예, 금속층)으로부터의 차폐가 없다. 광은 CMOS 이미지 센서의 후방 측면에 입사된다. 결국, 광은 직통로를 통해 광 다이오드에 충돌할 수 있다. 이러한 직통로는 전자로 변환되는 광자의 수를 증가시키는 것을 돕는다.
본 발명의 목적은 양자 효율을 향상시키는 후방 조명식 CMOS 이미지 센서 및 그 제조 방법을 제공하는 것이다.
후방 조명식 CMOS 이미지 센서는 전방 이온 주입 공정을 사용하여 기재 위에 형성되는 광 활성화 영역과 후방 이온 주입 공정을 사용하여 상기 광 활성화 영역에 인접하게 형성되는 확장된 광 활성화 영역을 포함한다. 후방 조명식 CMOS 이미지 센서는 기재의 후방면 상에 레이저 어닐링된 층을 더 포함할 수 있다. 확장된 광 활성화 영역은 양자 효율을 향상시키기 위해 전자로 변화되는 광자의 수를 증가시키는 것을 돕는다.
본 발명과 그 장점의 보다 완전한 이해를 돕기 위해, 첨부 도면과 관련하여 행해지는 이하의 설명을 참조하며, 도면에서,
도 1은 일 실시예에 따른 후방 조명식(backside illuminated) 이미지 센서의 단순화된 단면도를 나타내며;
도 2는 일 실시예에 따라 전방 이온 주입된 후방 조명식 이미지 센서 웨이퍼의 단면도이고;
도 3은 일 실시예에 따라 웨이퍼가 플립된 후 캐리어에 접합된 상태의 후방 조명식 이미지 센서 웨이퍼의 단면도이고;
도 4는 일 실시예에 따라 웨이퍼 후면을 얇게 가공한 도 3에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이고;
도 5는 일 실시예에 따라 웨이퍼 후면에 후방 이온 주입을 행한 도 4에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이고;
도 6은 일 실시예에 따라 웨이퍼 후면에 P+ 박막층을 도포한 도 5에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이고;
도 7은 일 실시예에 따라 컬러 필터를 적용한 도 6에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이고;
도 8은 일 실시예에 따라 마이크로 렌즈를 적용한 도 7에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이고;
도 9는 다른 실시예에 따른 후방 조명식 이미지 센서의 단면도이고;
도 10은 일 실시예에 따른 CMOS 이미지 센서에 후방 주입 공정을 적용하는 방법의 흐름도이고;
도 11은 단일 주입형의 후방 조명식 이미지 센서와 더블 주입형의 후방 조명식 이미지 센서 간의 성능차를 나타내는 3개 곡선을 예시한다.
여러 다른 도면에서 대응하는 도면 번호와 부호는 달리 지시하지 않으면 대응하는 부분을 지칭한다. 도면은 다양한 실시예의 관련 측면을 분명히 나타내도록 그려진 것으로 반드시 비율대로 작성되는 것은 아니다.
본 발명의 실시예의 구성 및 사용을 이하에 설명한다. 그러나, 본 발명은 다양한 특정의 측면으로 구체화될 수 있는 다수의 적용 가능한 창의적 개념을 제공함을 이해하여야 한다. 설명되는 특정 실시예들은 본 발명의 실시예들을 구성하고 사용하기 위한 특정 방식에 대한 예시일 뿐으로, 발명의 범위를 제한하지 않는다.
본 발명은 특정 측면의 실시예, 즉 후방 조명식(backside illuminated) 이미지 센서와 관련하여 설명될 것이다. 그러나, 본 발명의 실시예들은 다양한 종류의 이미지 센서에도 적용될 수 있다. 이하 첨부 도면을 참조로 하여 다양한 실시예들을 상세히 설명한다.
도 1은 일 실시예에 따른 후방 조명식 이미지 센서의 단순화된 단면도를 나타낸다. 후방 조명식 이미지 센서(100)는 실리콘 기재 상에 에피택셜 층 내에 형성된다. 후방 조명식 이미지 센서의 제조 공정에 따르면, 실리콘 기판은 후방 박형화(thinning) 공정에서 제거된다. 도 1에 도시된 바와 같이, 에피택셜 층은 일부(103)가 잔류된다. 광 활성화 영역(104)과 확장된 광 활성화 영역(106)은 잔류하는 에피택셜 층(103) 내에 형성된다.
광 활성화 영역(예, 광 활성화 영역(104))은 예컨대, 에피택셜 층(103) 내로 불순물 이온을 주입하는 것에 의해 형성되는 광-다이오드를 포함할 수 있다. 또한, 광 활성화 영역은 PN 접합 광-다이오드, PNP 광-트랜지스터, NPN 광-트랜지스터 등일 수 있다. 일 실시예에 따르면, 104와 같은 광 활성화 영역은 p-형 반도체 기판(도시 생략)으로부터 성장된 n-형 영역에 형성되는 p-형 층을 포함할 수 있다.
후방 조명식 이미지 센서(100)는 에피택셜 층(103) 내에 형성되는 절연 영역(114)을 더 포함한다. 절연 영역(114)은 필드 산화물 영역 또는 트렌치 소자 분리(STI) 구조를 사용하는 것에 의해 실현될 수 있다. 도 1에 도시된 바와 같이, 절연 영역(114)은 후방 조명식 이미지 센서(100)의 광 활성화 영역들을 인접 이미지 센서(도시 생략)로부터 분리하기 위해 해당 광 활성화 영역(104)과 확장된 광 활성화 영역(106)을 둘러싼다.
후방 조명식 이미지 센서(100)는 기재상에 형성된 유전체 층(110)과 금속 배선층(112)과 같은 추가의 층을 복수 개 포함할 수 있다. 간명성을 위해 이하에서는 후방 조명식 이미지 센서(100)의 추가의 층을 갖는 측면을 전방 측면(또는 전방면)으로 지칭하고, 기판을 갖는 측면을 후방 측면(또는 후방면)으로 지칭한다.
상세한 설명 전반에 걸쳐 광 활성화 영역의 깊이는 기판의 전방면과 해당 전방면에 반대인 광 활성화 영역의 엣지 사이의 거리로서 정의된다. 예를 들면, 광 활성화 영역(104)의 깊이는 일 실시예에 따르면 약 2 내지 2.5 ㎛의 범위에 있는 D1으로서 정의된다. 마찬가지로, 확장된 광 활성화 영역(106)의 깊이는 약 4 내지 5 ㎛의 범위에 있는 D2로서 정의된다.
확장된 광 활성화 영역(예, 106)을 갖는 유리한 특징은 광 활성화 영역을 더 깊은 영역으로 확장하는 것이 해당 광 활성화 영역으로의 광의 충돌을 보조함으로써 보다 많은 광자가 기재에 의해 흡수되지 않고 전자로 변환될 수 있다는 것이다. 또한, 광 활성화 영역이 두꺼워 짐으로써 후방 조명식 이미지 센서(100)의 광전 반응이 향상되는 것이 용이해진다. 결국, 후방 조명식 이미지 센서(100)의 양자 효율이 향상될 수 있다. 확장된 광 활성화 영역을 형성하는 상세한 제조 공정을 이후의 도 2-8을 참조하여 설명한다.
도 2-8은 더블 주입 공정으로 후방 조명식 이미지 센서를 제조하는 방법을 예시한다. 도 2는 일 실시예에 따라 전방 이온 주입된(front side ion implantation) 후방 조명식 이미지 센서 웨이퍼의 단면도이다. 후방 조명식 이미지 센서 웨이퍼(200)는 제1 도전성을 갖는 기재(102)를 포함한다. 일 실시예에 따르면, 기재(102)는 고농도 도핑된 p-형 기재이다. 기재(102)는 실리콘, 게르마늄, 실리콘 게르마늄, 고순도 실리콘 게르마늄(graded silicon germanium), 반도체 온 인슐레이터(semiconductor-on-insulator), 탄소, 수정, 사파이어, 유리 등으로 형성될 수 있고, 다층 구조(예, 응력층)일 수 있다. 고농도 도핑된 p-형 기재(102) 상에는 저농도 도핑된 p-형 에피택셜 층(103)이 성장된다.
일 실시예에 따르면, 웨이퍼의 전방면으로부터 n-형 불순물 이온이 p-형 에피택셜 층(103) 내로 주입됨으로써 n-형 광 다이오드 영역(도시 생략)을 형성한다. 또한, 웨이퍼의 전방면으로부터 p-형 불순물 이온이 n-형 광 다이오드 영역 내로 주입됨으로써 p-형 다이오드 영역(도시 생략)을 형성한다. n-형 및 p-형 광 다이오드 영역은 추가로 광 다이오드 영역(104)을 형성한다. 도 2에 도시된 바와 같이, 광 다이오드 영역(104)은 고농도 도핑된 p-형 기재(102) 상의 저농도 도핑된 p-형 에피택셜 층(103) 내에 형성된다.
후방 조명식 이미지 센서 웨이퍼(200)는 복수의 화소를 포함할 수 있는데, 각각의 화소는 광 다이오드 영역(예, 104)을 포함한다. 인접 화소 간의 누화(crosstalk)를 방지하기 위해, 광 다이오드 영역(104)을 둘러싸는 절연 영역(204)이 제공된다. 일 실시예에 따르면, 절연 영역은 트렌치 소자 분리(STI) 구조일 수 있다. 해당 STI 구조는 기재의 일부를 에칭하여 트렌치를 형성하고 해당 트렌치에 산화물 및/또는 기타 절연 물질을 충전하는 것에 의해 형성될 수 있다. 절연 영역(204)은 인접하는 화소로부터 반사되는 광이 광 다이오드 영역(104)에 도달하는 것을 방지하는데 도움이 된다.
광 활성화 영역(104) 위에는 통상 유전체 층(110)이 형성된다. 트래지스터, 캐패시터 등과 같은 다른 회로(도시 생략)도 에피택셜 층(103) 내에 형성될 수 있음에 유의하여야 한다. 일 실시예에 따르면, 유전체 층(110)은 이외의 층(예, 금속 배선층)이 상부에 형성될 수 있는 평탄화 층을 형성한다. 일 실시예에서, 유전체 층(110)은 실리콘 산화물, 보로포스포실리케이트(BPSG), 포스포실리케이트 글래스(PSG), 플로오르화 실리케이트 글래스(FSG), 탄소 도핑된 실리콘 산화물 등과 같은 저-K의 절연 물질로 형성될 수 있다. 유전체 층(110)은 화학적 기상 증착(CVD) 등의 적절한 기술로 형성될 수 있다.
유전체 층 위에는 금속 배선층(112)이 형성될 수 있다. 금속 배선층(112)은 플라즈마 에칭 또는 상감 공정(damascene process)에 의해 패턴화될 수 있으며, 특정 용례에 적합한 임의의 전도성 재료로 형성될 수 있다. 적절할 수 있는 재료는 예컨대, 알루미늄, 구리, 도핑된 폴리실리콘 등을 포함한다. 금속 배선층과 기저 회로 간의 전기적 연결을 위해 접촉부와 비아(via)(도시 생략)가 형성될 수 있다.
도 3은 일 실시예에 따라 웨이퍼가 플립된 후 캐리어(202)에 접합된 상태의 후방 조명식 이미지 센서 웨이퍼의 단면도이다. 금속 배선층(112)이 일단 형성되면, 후방 조명식 이미지 센서 웨이퍼(200)는 플립된 후 실리콘 또는 유리 캐리어(202) 상에 접합된다. 구체적으로, 후방 조명식 이미지 센서 웨이퍼(200)의 전방면은 캐리어(202) 측으로 상부를 향한다. 후방 조명식 이미지 센서 웨이퍼(200)와 캐리어(202) 간의 접합을 달성하기 위해 다양한 접합 방법이 사용될 수 있다. 일 실시예에 따르면, 적절한 접합 방법은 접착제 접합, 진공 접합, 양극 접합 등을 포함할 수 있다. 캐리어(202)는 박형화 공정의 연삭 단계에 따른 힘에 저항하도록 충분한 기계적 지지를 제공할 수 있다. 박형화 공정은 도 4를 참조로 설명될 것이다.
도 4는 일 실시예에 따라 웨이퍼 후면을 얇게 가공한 도 3에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이다. 후방 조명식 이미지 센서의 제조 공정에 따르면, 기재는 고농도 도핑된 p-형 기재(102)(도 3 참조)가 제거되고 저농도 도핑된 p-형 에피택셜 층(103)이 노출될 때까지 박형화된다. 더욱 구체적으로, 후방 조명식 이미지 센서 웨이퍼(100)의 기재(p-형 에피택셜 층(103)의 잔여부)의 후방면이 약 4 내지 5 ㎛의 범위의 두께까지 박형화될 수 있다. 이러한 박막 기재층은 해당 기재(도시 생략)를 통해 광이 투과되어, 기재에 의해 흡수되지 않고 해당 해당 기재 내에 매립된 광 다이오드에 충돌하도록 한다. 박형화 공정은 연삭, 연마 및/또는 화학적 에칭과 같은 적절한 방법을 사용하는 것에 의해 실현될 수 있다.
도 5는 일 실시예에 따라 웨이퍼 후면에 후방 이온 주입을 행한 도 4에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이다. 후방 조명식 이미지 센서 웨이퍼(200)의 후방면을 통해 박형화된 에피텍셜 층(103) 내로 n-형 불순물 이온(502)이 주입된다. 결국, 확장된 광 활성화 영역(106)이 형성된다. 일 실시예에 따르면, 해당 확장된 광 활성화 영역(106)은 광 활성화 영역을 약 2 ㎛의 깊이로부터 약 4 ㎛의 깊이까지 확장시킨다. 이로써, 보다 많은 광자가 광 활성화 영역과 충돌할 수 있다. 따라서, 도 5에 도시된 후방 조명식 이미지 센서의 양자 효율이 향상된다.
도 6은 일 실시예에 따라 웨이퍼 후면에 P+ 박막층을 도포한 도 5에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이다. 또한, 박형화된 기재의 후방면 상에는 P+ 이온층(108)이 형성됨으로써 전자로 변환되는 광자의 수를 증가시킬 수 있다. P+ 이온 주입 공정은 결정 결함을 야기할 수 있다. 결정 결함을 치유하고 주입된 P+ 이온을 활성화하기 위해, 후방 조명식 이미지 센서 웨이퍼(200)의 후방면 상에 레이저 어닐링 공정이 수행될 수 있다.
도 7은 일 실시예에 따라 컬러 필터층을 적용한 도 6에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이다. 컬러 필터층(702)은 다른 파장은 반사시키면서 광의 특정 파장은 통과시킴으로써 이미지 센서가 광 활성화 영역(104, 106)에 의해 수신되는 광의 색깔을 결정하도록 하는데 사용될 수 있다. 컬러 필터층(702)은 적색, 녹색 및 청색 등으로 변할 수 있다. 청록색, 황색, 마젠타색과 같은 다른 조합도 사용될 수 있다. 컬러 필터(702)의 다른 색깔의 수도 변할 수 있다.
일 실시예에 따르면, 컬러 필터층(702)은 아크릴과 같은 안료 또는 염료 물질을 포함할 수 있다. 예를 들면, 적절한 재료는 안료 또는 염료가 첨가되어 컬러 필터층(702)을 형성하는 폴리메틸-메타크릴레이트(PMMA) 또는 폴리글리시딜메타크릴레이트(PGMS)이다. 그러나, 다른 재료도 사용될 수 있다. 컬러 필터층(702)은 당업계에 공지된 임의의 적절한 방법으로 형성될 수 있다.
도 8은 일 실시예에 따라 마이크로 렌즈층을 적용한 도 7에 도시된 후방 조명식 이미지 센서 웨이퍼의 단면도이다. 마이크로 렌즈층(802)은 고투과율의 아크릴 폴리머와 같이 패턴화되어 렌즈 형태로 형성될 수 있는 임의의 재료로 형성될 수 있다. 마이크로 렌즈층(802)은 약 0.1 내지 약 2.5 ㎛의 두께이다. 일 실시예에 따르면, 마이크로 렌즈층(802)은 액체 상태의 재료와 당업계에 공지된 스핀-온 기술을 사용하여 형성될 수 있다. 이 방법은 실질적으로 평면인 표면과 실질적으로 균일한 두께의 마이크로 렌즈층(802)을 형성함으로써 마이크로 렌즈에 상당한 균일성을 제공하는 것으로 확인되었다. 화학적 기상 증착(CVD), 물리적 기상 증착(PVD) 등의 증착 방법과 같은 다른 방법도 사용될 수 있다.
도 9는 다른 실시예에 따른 후방 조명식 이미지 센서의 단면도이다. 후방 조명식 이미지 센서의 경우, 복수의 화소가 존재할 수 있으며, 이들 화소 각각은 실리콘 기재 내에 형성되는 광 다이오드이다. 일 실시예에 따르면, 기재(910)는 p-형 기재(도시 생략)로부터 성장되는 p-형 에피택셜 층일 수 있다. 간명성을 위해, 오직 하나의 화소만을 예시하여 다양한 실시예의 독창적인 측면들을 보여준다.
도 9에 도시된 바와 같이, 화소(900)는 p-형 도핑 영역(914)과 n-형 도핑 영역(912)에 의해 형성되는 광 다이오드를 포함한다. p-형 도핑 영역(914)은 웨이퍼의 전방면으로부터 이온 주입 공정을 적용하는 것에 의해 형성될 수 있다. 일 실시예에 따르면, p-형 도핑 영역(914)은 보론, 붕소와 같은 p-형 도핑 물질로 도핑된다. p-형 도핑 영역(914)의 도핑 농도는 약 1016 내지 1019/cm3의 범위에 있다.
일 실시예에 따르면, n-형 도핑 영역(912)은 더블 이온 주입 공정을 사용하는 것으로 형성될 수 있다. 제1 이온 주입 공정은 웨이퍼의 전방면으로부터 수행된다. 인과 같은 n-형 도핑 물질이 에피택셜 층(910) 내로 도핑됨으로써 n-형 도핑 영역(912)을 형성한다. 일 실시예에 따르면, 전방 이온 주입 공정은 약 1016 내지 1019/cm3의 범위의 도핑 농도를 만들어낼 수 있다.
제2 이온 주입 공정은 기재의 후방면에 박형화 공정이 행해진 뒤 기재의 후방면으로부터 수행된다. 인과 같은 n-형 도핑 물질이 박형화된 기재의 후방면으로부터 n-형 도핑 영역(112) 내로 도핑된다. 일 실시예에 따르면, 후방 이온 주입 공정은 약 1017 내지 1020/cm3의 범위의 도핑 농도를 만들어낼 수 있다. 결국, n-형 도핑 영역(912)의 하부는 n-형 도핑 영역(912)의 상부보다 높은 도핑 농도를 가질 수 있다.
후방 이온 주입 공정은 광 다이오드가 기재(910)의 더 깊은 영역으로 확장되도록 하는 것을 보조한다. 이러한 광 다이오드 확장은 양자 효율을 향상시킬 수 있다. 후방 조명식 이미지 화소의 경우, 기재는 청색광과 같은 입사 광이 약 1.5 ㎛의 깊이로만 기재를 투과할 수 있으므로 약 2 ㎛의 두께로까지 박형화될 수 있다. 그러나, 박형화된 기재는 광자-전자 변환을 위한 대용적의 P-N 접합을 제공하지 않을 수 있다. 화소(900)의 후방면으로부터의 제2 이온 주입 공정을 도입하는 것에 의해 P-N 접합은 보다 깊은 영역으로 확장된다. 이로써, 유입하는 광자를 위한 큰 P-N 접합이 유효하다. 그러므로, 결국 화소(900)의 양자 효율이 향상된다.
인접 화소로부터의 간섭을 피하기 위해, 절연 영역이 제공되어 인접 화소 간의 횡단을 방지할 수 있다. 절연 영역은 STI 구조(926), 셀 p-우물(CPW) 영역(924) 및 디프 p-우물(DPW) 영역(922)에 의해 형성된다. STI 구조의 형성은 도 2를 참조로 설명되었으므로 반복을 피하기 위해 여기서는 논의되지 않는다.
CPW 영역(924)은 붕소 등의 P-형 물질로 형성된다. CPW 영역(924)은 약 1015 내지 1019/cm3의 범위의 도핑 농도를 가진다. CPW 영역(924)은 약 0 내지 1 ㎛의 범위의 도핑 깊이를 가진다. DPW 영역(922)은 약 1013 내지 1018/cm3의 범위의 도핑 농도를 가진다. DPW 영역(922)은 약 0.5 내지 3 ㎛의 범위의 도핑 깊이를 가진다. 화소(900)는 P+ 층(908), 반사 방지층(906), 컬러 필터층(904) 및 마이크로 렌즈층(902)과 같은 다른 층들을 포함할 수 있는데, 이들 층은 당업계에 널리 공지되어 있으므로 여기서는 추가로 상세하게 설명되지 않는다.
도 10은 일 실시예에 따른 CMOS 이미지 센서에 후방 주입 공정을 적용하는 방법의 흐름도이다. 1002 단계에서, CMOS 이미지 센서 웨이퍼에 전방 주입 공정이 적용되어 광 활성화 영역과 절연 영역과 같은 다양한 도핑 영역을 형성한다. 일 실시예에 따르면, 광 활성화 영역은 약 2 ㎛의 깊이를 가질 수 있다.
1004 단계에서, CMOS 이미지 센서 웨이퍼는 플립된 후 캐리어상에 접합된다. 후방 조명식 이미지 센서 웨이퍼의 제조 공정에 따르면, 기재의 두께가 약 4 ㎛의 두께로 감소되도록 기재의 후방면에 기재 박형화 공정이 수행된다. 이러한 박형화된 기재는 광이 기재의 후방면으로부터 전파되도록 하는 것을 돕는다.
1006 단계에서, 광 활성화 영역이 약 2 ㎛의 깊이로부터 약 4 ㎛의 깊이까지 확장될 수 있도록 광 활성화 영역에 후방 n-형 주입이 적용된다. 이러한 확장은 더 많은 광자가 기재를 통과하여 광 활성화 영역에 충돌하도록 하는 것을 돕는다. 1008 단계에서, 이온 주입 공정을 통해, 양자 효율이 향상되도록 박형화된 기재 상에 P+ 박막층이 형성될 수 있다. 일 실시예에 따르면, P+ 박막층은 약 100Å 내지 약 1 ㎛의 범위의 두께를 가진다. 1010 단계에서, P+ 이온 주입에 따른 결함을 치유하고 P+ 이온을 활성화하도록 기재의 후방면에 레이저 어닐링 공정이 수행된다.
도 11은 단일 주입형의 후방 조명식 이미지 센서와 더블 주입형의 후방 조명식 이미지 센서 간의 성능차를 나타내는 3개 곡선을 예시한다. 도 11의 수평축은 후방 조명식 이미지 센서의 광 활성화 영역의 깊이를 나타낸다. 도 11의 수직축은 광 활성화 영역의 도핑 밀도를 나타낸다. 보다 구체적으로, 광 활성화 영역의 도핑 밀도는 광자가 기재 내에 흡수되지 않고 전자로 변환될 수 있는 활성화 영역을 나타낸다.
곡선(1104)과 곡선(1106)은 전방 주입 공정에 의해 형성되는 후방 조명식 이미지 센서의 광 활성화 영역의 도핑 밀도를 나타낸다. 양측 곡선은 광 활성화 영역의 도핑 밀도가 2 ㎛이상의 깊이 이상의 영역에서 떨어짐을 보여준다. 이에 비해, 동일한 구조에 대해서 광 활성화 영역의 도핑 밀도는 웨이퍼의 전방면으로부터 약 4 ㎛의 깊이까지 높은 상태를 유지할 수 있다. 다시 말해, 이러한 확장된 광 활성화 영역은 웨이퍼의 후방면으로부터의 광이 기재에 흡수되지 않고 광 활성화 영역에 충돌하도록 하는 것을 돕는다.
본 발명의 실시예들과 그 장점을 상세히 설명하였지만, 첨부된 특허청구범위의 취지와 범위를 벗어나지 않고 다양한 변화, 치환 및 변경이 가능함을 이해하여야 한다.
더욱이, 본 출원의 범위는 명세서에 설명된 공정, 기계, 제조, 물질의 조성, 수단, 방법 및 단계에 대한 특정 실시예에 한정되도록 의도된 것이 아니다. 당업자는 본 발명의 개시로부터 공정, 기계, 제조, 물질의 조성, 수단, 방법 또는 단계를 쉽게 알 수 있으므로, 여기 개시된 실시예와 실질적으로 동일한 기능을 수행하거나 해당 실시예와 실질적으로 동일한 결과를 달성하는 이미 존재하고 있거나 후에 개발될 사항은 본 발명의 개시에 따라 활용될 수 있다. 따라서, 첨부된 특허청구범위는 해당 범위 내에서 공정, 기계, 제조, 물질의 조성, 수단, 방법 또는 단계를 포함하도록 의도된 것이다.

Claims (10)

  1. 전방(front side) 이온 주입 공정을 사용하여 기재 위에 광 활성화 영역(photo active region)을 형성하는 단계와;
    후방(backside) 이온 주입 공정을 사용하여 상기 광 활성화 영역에 인접하게 확장된 광 활성화 영역을 형성하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서,
    상기 광 활성화 영역과 상기 확장된 광 활성화 영역이 매립되는 에피택셜 층을 형성하는 단계와;
    상기 에피택셜 층에 상기 광 활성화 영역과 상기 확장된 광 활성화 영역을 둘러싸는 절연 영역을 형성하는 단계와;
    상기 광 활성화 영역 위에 유전체 층을 형성하는 단계와;
    상기 유전체 층 위에 금속 배선층을 형성하는 단계
    를 더 포함하는 방법.
  3. 제1항에 있어서,
    상기 기재의 후방면 상에 P+ 층을 형성하는 단계와;
    상기 P+ 층에 레이저 어닐링 공정을 적용하는 단계
    를 더 포함하는 방법.
  4. 제1항에 있어서,
    상기 광 활성화 영역과 상기 확장된 광 활성화 영역을 사용하여 광자를 전자로 변환시킬 수 있는 후방 조명식 이미지 화소(backside illuminated image pixel)를 형성하는 단계를 더 포함하는 방법.
  5. 제1 도전성을 갖는 고농도 도핑(highly doped) 기재를 제공하는 단계와;
    상기 고농도 도핑 기재 상에 저농도 도핑(lightly doped) 에피택셜 층을 성장시키는 단계와;
    상기 기재의 전방면으로부터 상기 에피택셜 층에 제2 도전성의 이온을 주입하는 단계와;
    상기 기재의 상기 전방면으로부터 상기 에피택셜 층에 상기 제1 도전성의 이온을 주입하는 단계와;
    상기 저농도 도핑 에피택셜 층이 노출될 때까지 상기 고농도 도핑 기재를 박형화(thinning)하는 단계와;
    상기 기재의 후방면으로부터 상기 에피택셜 층에 상기 제2 도전성의 이온을 주입하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  6. 제5항에 있어서,
    상기 에피택셜 층 상에 P+ 층을 형성하는 단계와;
    상기 P+ 층에 레이저 어닐링 공정을 적용하는 단계
    를 더 포함하는 방법.
  7. 제5항에 있어서,
    상기 기재의 상기 전방면으로부터 상기 에피택셜 층에 n-형 이온을 주입함으로써 n-형 광 다이오드 영역을 형성하는 단계와;
    상기 기재의 상기 전방면으로부터 상기 에피택셜 층에 p-형 이온을 주입함으로써 p-형 광 다이오드 영역을 형성하는 단계
    를 더 포함하는 방법.
  8. 고농도 도핑 p-형 기재를 포함하는 웨이퍼를 제공하는 단계와;
    상기 고농도 도핑 p-형 기재 상에 저농도 도핑 p-형 에피택셜 층을 성장시키는 단계와;
    상기 웨이퍼의 전방면으로부터 n-형 광 다이오드 영역을 형성하도록 상기 에피택셜 층 안으로 n-형 불순물 이온을 주입하는 단계와;
    상기 웨이퍼의 상기 전방면으로부터 p-형 광 다이오드 영역을 형성하도록 상기 에피택셜 층 안으로 p-형 불순물 이온을 주입하는 단계로서, 상기 p-형 광 다이오드 영역과 상기 n-형 광 다이오드 영역은 PN 접합을 형성하는 것인 단계와;
    상기 웨이퍼를 플리핑(flipping)하는 단계와;
    상기 웨이퍼를 캐리어에 접합하는 단계와;
    상기 고농도 도핑 p-형 기재를 박형화하는 단계와;
    상기 웨이퍼의 후방면으로부터 상기 에피택셜 층 안으로 p-형 불순물 이온을 주입하여 상기 PN 접합을 확장하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  9. 제8항에 있어서,
    상기 저농도 도핑 에피택셜 층 위에 유전체 층을 형성하는 단계와;
    상기 유전체 층 위에 금속층을 형성하는 단계
    를 더 포함하는 방법.
  10. 제8항에 있어서,
    상기 웨이퍼의 상기 후방면 위에 P+ 박막층을 형성하는 단계와;
    상기 P+ 박막층 위에 레이저 어닐링 공정을 적용하는 단계와;
    상기 P+ 박막층 위에 컬러 필터층을 형성하는 단계와;
    상기 컬러 필터층 위에 마이크로 렌즈층을 형성하는 단계
    를 더 포함하는 방법.
KR20120058718A 2011-12-09 2012-05-31 후방 조명식 cmos 이미지 센서 KR101443438B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161569018P 2011-12-09 2011-12-09
US61/569,018 2011-12-09
US13/416,004 US9123608B2 (en) 2011-12-09 2012-03-09 Backside illuminated CMOS image sensor
US13/416,004 2012-03-09

Publications (2)

Publication Number Publication Date
KR20130065571A true KR20130065571A (ko) 2013-06-19
KR101443438B1 KR101443438B1 (ko) 2014-09-24

Family

ID=48572339

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20120058718A KR101443438B1 (ko) 2011-12-09 2012-05-31 후방 조명식 cmos 이미지 센서

Country Status (4)

Country Link
US (1) US9123608B2 (ko)
KR (1) KR101443438B1 (ko)
CN (1) CN103165633B (ko)
TW (1) TWI517368B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112090B2 (en) * 2012-01-31 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. UV radiation recovery of image sensor
TWI501643B (zh) * 2013-07-29 2015-09-21 Uchange Technology Co Ltd 基於互補式金氧半導體之影像感測系統及其方法
US9136298B2 (en) * 2013-09-03 2015-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming image-sensor device with deep-trench isolation structure
US9347890B2 (en) 2013-12-19 2016-05-24 Kla-Tencor Corporation Low-noise sensor and an inspection system using a low-noise sensor
US9748294B2 (en) 2014-01-10 2017-08-29 Hamamatsu Photonics K.K. Anti-reflection layer for back-illuminated sensor
US9406797B2 (en) 2014-03-07 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with dislocations
US9410901B2 (en) * 2014-03-17 2016-08-09 Kla-Tencor Corporation Image sensor, an inspection system and a method of inspecting an article
CN104393006B (zh) * 2014-09-30 2018-03-30 上海华力微电子有限公司 背照式cis产品的制作方法
CN105575980A (zh) * 2014-10-14 2016-05-11 中芯国际集成电路制造(上海)有限公司 背照式图像传感器的制作方法及背照式图像传感器
CN104706334B (zh) * 2015-03-30 2017-08-11 京东方科技集团股份有限公司 一种具有健康监测功能的显示面板及显示装置
US10778925B2 (en) 2016-04-06 2020-09-15 Kla-Tencor Corporation Multiple column per channel CCD sensor architecture for inspection and metrology
US10313622B2 (en) 2016-04-06 2019-06-04 Kla-Tencor Corporation Dual-column-parallel CCD sensor and inspection systems using a sensor
CN109065565B (zh) * 2018-09-29 2021-03-02 德淮半导体有限公司 图像传感器及其形成方法
US11114491B2 (en) 2018-12-12 2021-09-07 Kla Corporation Back-illuminated sensor and a method of manufacturing a sensor
CN109950263A (zh) * 2019-03-20 2019-06-28 德淮半导体有限公司 图像传感器及其形成方法
CN110137191A (zh) * 2019-04-19 2019-08-16 德淮半导体有限公司 图像传感器及其形成方法
JP7478657B2 (ja) * 2019-12-23 2024-05-07 ガタン インコーポレイテッド 熱伝導層をもつ電子撮像検出器
US11688754B2 (en) * 2020-05-06 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic device and method having increased quantum effect length
CN112436024B (zh) * 2020-11-20 2024-02-27 武汉新芯集成电路制造有限公司 背照式图像传感器及其制作方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69637636D1 (de) * 1995-12-06 2008-09-25 Sony Corp Aus einer Fotodiode und einem bipolaren Element bestehende Halbleitervorrichtung und Verfahren zur Herstellung
JP4525144B2 (ja) * 2004-04-02 2010-08-18 ソニー株式会社 固体撮像素子及びその製造方法
CN101552280B (zh) * 2006-09-20 2012-07-18 富士胶片株式会社 背面照明成像器件及其制造方法、半导体基片和成像设备
US7781715B2 (en) 2006-09-20 2010-08-24 Fujifilm Corporation Backside illuminated imaging device, semiconductor substrate, imaging apparatus and method for manufacturing backside illuminated imaging device
KR101439434B1 (ko) 2007-10-05 2014-09-12 삼성전자주식회사 이미지 센서 및 그 제조 방법
US20090200580A1 (en) * 2008-02-08 2009-08-13 Omnivision Technologies, Inc. Image sensor and pixel including a deep photodetector
US7741666B2 (en) * 2008-02-08 2010-06-22 Omnivision Technologies, Inc. Backside illuminated imaging sensor with backside P+ doped layer
US8357984B2 (en) * 2008-02-08 2013-01-22 Omnivision Technologies, Inc. Image sensor with low electrical cross-talk
US20100109060A1 (en) * 2008-11-06 2010-05-06 Omnivision Technologies Inc. Image sensor with backside photodiode implant
US7952096B2 (en) * 2008-12-08 2011-05-31 Omnivision Technologies, Inc. CMOS image sensor with improved backside surface treatment
KR101738532B1 (ko) 2010-05-25 2017-05-22 삼성전자 주식회사 상부 고농도 p 영역을 포함하는 후면 조사형 이미지 센서 및 그 제조 방법
US8338856B2 (en) * 2010-08-10 2012-12-25 Omnivision Technologies, Inc. Backside illuminated image sensor with stressed film
US8466530B2 (en) * 2011-06-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Co-implant for backside illumination sensor

Also Published As

Publication number Publication date
US9123608B2 (en) 2015-09-01
US20130149807A1 (en) 2013-06-13
TW201324754A (zh) 2013-06-16
TWI517368B (zh) 2016-01-11
KR101443438B1 (ko) 2014-09-24
CN103165633A (zh) 2013-06-19
CN103165633B (zh) 2015-12-09

Similar Documents

Publication Publication Date Title
KR101443438B1 (ko) 후방 조명식 cmos 이미지 센서
KR101432889B1 (ko) 수직적으로 집적된 후면 조명 이미지 센서들을 위한 장치
KR101864481B1 (ko) 이미지 센서 및 이미지 센서의 형성 방법
KR101897433B1 (ko) 후면 조사 센서에 대한 방법 및 장치
US8941204B2 (en) Apparatus and method for reducing cross talk in image sensors
US8390089B2 (en) Image sensor with deep trench isolation structure
US9406711B2 (en) Apparatus and method for backside illuminated image sensors
US9484376B2 (en) Semiconductor isolation structure and manufacturing method thereof
US20130113061A1 (en) Image sensor trench isolation with conformal doping
KR20110079323A (ko) 이미지 센서 및 그 제조방법
CN109192741B (zh) 背照式图像传感器的形成方法
KR20150136039A (ko) 개선된 암전류 성능을 갖는 이미지 센서
KR101363223B1 (ko) 포토다이오드 풀 웰 커시시티 증가 방법
KR101420503B1 (ko) 이미지 센서에서 암전류를 줄이기 위한 장치 및 방법
KR20190006764A (ko) 후면 조사형 이미지 센서 및 그 제조 방법
CN105655361B (zh) 背照式cmos图像传感器及其形成方法
US8652868B2 (en) Implanting method for forming photodiode
CN116469903A (zh) 背照式图像传感器及其制备方法
KR20080014261A (ko) 이미지 센서 및 그 형성 방법
KR20110079340A (ko) 이미지 센서 및 그 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170908

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180904

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190909

Year of fee payment: 6