KR101420503B1 - 이미지 센서에서 암전류를 줄이기 위한 장치 및 방법 - Google Patents

이미지 센서에서 암전류를 줄이기 위한 장치 및 방법 Download PDF

Info

Publication number
KR101420503B1
KR101420503B1 KR1020120103683A KR20120103683A KR101420503B1 KR 101420503 B1 KR101420503 B1 KR 101420503B1 KR 1020120103683 A KR1020120103683 A KR 1020120103683A KR 20120103683 A KR20120103683 A KR 20120103683A KR 101420503 B1 KR101420503 B1 KR 101420503B1
Authority
KR
South Korea
Prior art keywords
layer
silicon nitride
image sensor
plasma enhanced
rti
Prior art date
Application number
KR1020120103683A
Other languages
English (en)
Inventor
먀오 청 랴오
진 크웨 량
웬 치에 시에
시우 코 장지안
시앙 시앙 고
윙 랑 왕
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Application granted granted Critical
Publication of KR101420503B1 publication Critical patent/KR101420503B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/103Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PN homojunction type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

이미지 센서에서 암전류를 줄이기 위한 방법은 후면 조명 이미지 센서 웨이퍼를 제공하는 단계, 후면 조명 이미지 센서 웨이퍼의 후면 상에 제1 보호층을 증착하는 단계, 제1 보호층 상에 플라즈마 향상 보호층을 증착하는 단계, 플라즈마 향상 보호층 상에 제2 보호층을 증착하는 단계를 포함한다.

Description

이미지 센서에서 암전류를 줄이기 위한 장치 및 방법{Apparatus and Method for Reducing Dark Current in Image Sensors}
본 출원은 발명의 명칭이 "Film Structure for Improved CMOS Image Sensor Dark Current Performance,"인 2012년 1월 31일자에 출원된 미국 가특허 출원 제61/593,038호의 우선권을 주장하고, 이 출원은 참조용으로 본 명세서에 포함된다.
기술이 진화함에 따라, 상보성 금속 산화물 반도체(complementary metal-oxide semiconductor; CMOS) 이미지 센서는 CMOS 이미지 센서에 내재하는 특정한 이점으로 인해 종래의 전하 결합 장치(charged-coupled device; CCD)에 비해 인기를 얻고 있다. 특히, CMOS 이미지 센서는 높은 이미지 획득률, 낮은 동작 전압, 낮은 전력 소모 및 높은 잡음 여유를 가질 수 있다. 게다가, CMOS 이미지 센서는 로직과 메모리 장치와 동일한 대량 웨이퍼 처리 라인을 통해 제조될 수 있다. 그 결과, CMOS 이미지 칩은 증폭기, A/D 컨버터 등과 같은 모든 필수 로직과 이미지 센서를 모두 포함할 수 있다.
CMOS 이미지 센서는 화소로 된 금속 산화물 반도체이다. CMOS 이미지 센서는 통상적으로 감광 화소(픽셀)의 어레이를 포함하고, 감강 화소의 각각은 트랜지스터(스위칭 트랜지스터 및 리셋 트랜지스터), 커패시터, 및 감광 소자(예컨대, 포토 다이오드)를 포함할 수 있다. CMOS 이미지 센서는 광자(photon)를 전자(electron)로 변환하기 위해 감광 CMOS 회로를 이용한다. 감광 CMOS 회로는 통상적으로 실리콘 기판에 형성된 포토 다이오드를 포함한다. 포토 다이오드가 빛에 노출되면, 전하가 포토 다이오드에 유도된다. 빛이 피사체 장면(subject scene)으로부터 픽셀 상에 입사될 때 각각의 픽셀은 픽셀 상에 떨어진 빛의 양에 비례해서 전자를 생성할 수 있다. 더욱이, 전자는 픽셀에서 전압 신호로 변환되고, A/D 컨버터를 이용하여 디지털 신호로 또한 변환된다. 복수의 주변 회로들은 디지털 신호를 수신하고 처리하여, 피사체 장면의 이미지를 디스플레이할 수 있다.
CMOS 이미지 센서는 기판의 탑(top)에 형성된 유전층 및 상호접속 금속층과 같은 복수의 추가적인 층들을 포함할 수 있고, 여기서 상호접속 금속층은 주변 회로와 포토 다이오드를 결합하는데 이용된다. CMOS 이미지 센서의 추가적인 층들을 구비한 면은 대개 전면(front side)으로 언급되고, 기판을 구비한 면은 후면(backside)으로 언급된다. 빛 경로 차이에 따라, CMOS 이미지 센서는 두 개의 주요 카테고리 즉, 전면 조명(front-side illuminated; FSI) 이미지 센서와 후면 조명(back-side illuminated; BSI) 이미지 센서로 더욱 분할될 있다.
FSI 이미지 센서에서, CMOS 이미지 센서의 전면 상에 입사되는 피사체 장면으로부터의 빛은 유전층 및 상호접속층을 통과하여 최종적으로 포토 다이오드 상에 떨어진다. 광 경로에 있는 추가적인 층들(예컨대, 불투명 금속층 및 반사 금속층)은 포토 다이오드에 의해 흡수되는 빛의 양을 제한하여 양자 효율을 감소시킬 수 있다. 반대로, BSI 이미지 센서에서는 추가적인 층(예컨대, 금속층)으로부터 어떠한 방해도 없다. 빛은 CMOS 이미지 센서의 후면 상에 입사된다. 그 결과, 빛은 직접적인 경로를 통해 포토 다이오드에 부딪칠 수 있다. 이러한 직접적인 경로는 전자로 변환되는 광자의 수의 증가를 돕는다.
포토 다이오드는 CMOS 이미지 센서의 후면 상에 입사된 광에 응답하여 전자를 발생시킬 수 있다. 그러나, 원치 않는 전류가 조명의 부재시에 발생될 수 있다. 이러한 원치 않는 전류는 암 전류로 공지되어 있다. 과도한 암 전류는 이미지 저하를 야기할 수 있다.
본 발명의 목적은, 이미지 센서에서 암전류를 줄이기 위한 장치 및 방법을 제공하는 것이다.
이미지 센서에서 암전류를 줄이기 위한 방법은 후면 조명 이미지 센서 웨이퍼를 제공하는 단계, 후면 조명 이미지 센서 웨이퍼의 후면 상에 제1 보호층을 증착하는 단계, 제1 보호층 상에 플라즈마 향상 보호층을 증착하는 단계, 플라즈마 향상 보호층 상에 제2 보호층을 증착하는 단계를 포함한다.
본 발명에 따르면, 이미지 센서에서 암전류를 줄이기 위한 장치 및 방법을 제공하는 것이 가능하다.
본 개시 및 본 개시의 장점의 보다 완벽한 이해를 위해, 이제부터 첨부된 도면들을 참조하면서 이하의 상세한 설명에 대해 설명을 한다.
도 1은 실시예에 따른 후면 조명 이미지 센서의 간략화된 횡단면도를 나타낸다.
도 2는 실시예에 따른 합성 보호층을 구비하는 후면 조명 이미지 센서의 횡단면도를 나타낸다.
도 3은 다른 실시예에 따른 후면 조명 이미지 센서의 횡단면도를 나타낸다.
도 4는 실시예에 따라 전면 이온 주입 공정이 기판 상에 수행된 이후에 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다.
도 5는 실시예에 따라 추가적인 전면층들이 광 활성 영역 위에 형성된 이후에 도 4에 도시된 반도체 장치의 횡단면도를 나타낸다.
도 6은 실시예에 따라 웨이퍼가 뒤집히고 캐리어에 본딩된 이후에 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다.
도 7은 실시예에 따라 씨닝 공정(thinning process)이 웨이퍼의 후면에 적용된 이후에 도 6에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다.
도 8은 실시예에 따라 합성 보호층이 적용된 이후에 도 7에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다.
도 9는 실시예에 따라 얇은 P+ 이온층이 웨이퍼의 후면에 적용된 이후에 도 8에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다.
도 10은 실시예에 따라 반사 방지 코팅(anti-reflection coating; ARC) 층이 웨이퍼의 후면에 적용된 이후에 도 9에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다.
도 11은 실시예에 따라 컬러 필터층이 적용된 이후에 도 10에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다.
도 12는 실시예에 따라 마이크로 렌즈층이 적용된 이후에 도 11에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다.
여러 도면들에서의 대응하는 숫자들 및 심볼들은 다르게 언급되지 않는 한 일반적으로 대응하는 부분들을 가리킨다. 다양한 실시예들의 관련된 양태들을 명확하게 설명하기 위해 도면들이 도시되고 있으며, 도면들은 반드시 실척도로 도시되어 있지는 않다.
이하에서는 본 실시예들의 제조 및 이용을 자세하게 설명한다. 하지만, 본 개시는 폭넓은 다양한 특정한 상황에서 구현될 수 있는 수많은 적용 가능한 발명의 사상을 제공한다는 점을 이해해야 한다. 설명하는 특정한 실시예들은 본 개시의 실시예를 제조하고 이용하는 특정한 방법들에 대한 단순한 예시에 불과하며, 본 개시의 범위를 한정시키려는 것은 아니다.
본 개시는 특정한 상황 즉, 후면 조명 이미지 센서의 실시예에 대하여 기술될 것이다. 하지만 본 개시의 실시예들 또한 다양한 이미지 센서 및 반도체 장치에도 적용될 수 있다. 이하에, 다양한 실시예들이 첨부 도면들을 참조하여 상세하게 설명될 것이다.
도 1은 실시예에 따른 후면 조명 이미지 센서의 간략화된 횡단면도를 나타낸다. 후면 조명 이미지 센서(100)는 당해 기술에 공지된 CMOS 공정 기술로 제조된다. 특히, 후면 조명 이미지 센서(100)는 실리콘 기판 위의 에피택셜 층에 형성된다. 후면 조명 이미지 센서의 제조 공정에 따라, 에피택셜 층이 노출될 때까지 실리콘 기판은 후면 씨닝 공정으로 제거되었다. 도 1에 도시된 바와 같이, 에피택셜 층(103)의 일부가 남아 있다. p형 광 활성 영역(105) 및 n형 광 활성 영역(104)이 잔여 에피택셜 층(103)에 형성된다.
p형 광 활성 영역(105) 및 n형 광 활성 영역(104)과 같은 광 활성 영역은 PN 접합을 형성할 수 있고, 이 PN 접합은 포토 다이오드의 역할을 한다. 실시예에 따라, 광 활성 영역(예컨대, n형 광 활성 영역(104) 및 p형 광 활성 영역(105))은 p형 반도체 기판(도시되지 않음)으로부터 성장된 에피택셜 층(103)에 형성된다.
후면 조명 이미지 센서(100)는 에피택셜 층(103)에 형성된 분리 영역(114)을 더 포함한다. 도 1에 도시된 바와 같이, n형 광 활성 영역(104) 및 p형 광 활성 영역(105)에 의해 형성된 포토 다이오드는 분리 영역(114)에 의해 둘러싸인다. 특히, 분리 영역(114)은 인접 픽셀(도시되지 않음)로부터의 간섭 및 크로스토크를 방지하는데 도움을 준다. 실시예에 따라, 분리 영역(114)은 붕소, BF2 등과 같은 p형 물질로 형성될 수 있다. 게다가, 분리 영역(114)은 쉘로우 트렌치 분리(shallow trench isolation; STI) 구조(도시되지 않음)를 포함할 수 있다. 실시예에 따라, 분리 영역(114)은 대략 0 um 내지 대략 2 um의 범위에 있는 도핑 깊이를 갖는다.
후면 조명 이미지 센서(100)는 기판 위에 형성된 유전층(110) 및 상호접속 금속층(112)과 같은 복수의 추가적인 층들을 포함할 수 있다. 간략히 나타내기 위해, 이하에, 후면 조명 이미지 센서(100)의 추가적인 층들을 구비한 면은 전면으로 언급되고, 기판을 구비한 면은 후면으로 언급된다.
유전층(110)은 통상적으로 기판의 전면 위에 형성된다. 트랜지스터, 커패시터 등과 같은 다른 회로(도시되지 않음)가 또한 에피택셜 층(103)에 형성될 수 있다는 것을 유념해야 한다. 실시예에 따라, 유전층(110)은 평탄화층을 형성하고, 이 평탄화층 위에 다른 층들(예컨대, 금속 상호접속 층)이 형성될 수 있다. 실시예에서, 유전층(110)은 실리콘 산화물, BPSG(borophosphosilicate glass), PSG(phosphosilicate glass), FSG(fluorinated silicate glass), 탄소 도핑된 실리콘 산화물 등과 같은 저유전율(low-k) 유전체로 형성될 수 있다. 유전층(110)은 화학적 기상 증착(chemical vapor deposition; CVD) 기술 등과 같은 임의의 적합한 기술에 의해 형성될 수 있다.
금속 상호접속 층(112)은 유전층(110) 위에 형성될 수 있다. 금속 상호접속 층(112)은 플라즈마 에칭 공정 또는 다마신 공정에 의해 패턴화될 수 있고, 특정 애플리케이션에 적합한 임의의 도전 물질로 형성될 수 있다. 적합한 물질은 예를 들어, 알루미늄, 구리, 도핑된 폴리실리콘 등을 포함한다. 콘택 및 비아(도시되지 않음)가 형성되어 금속 상호접속 층과 밑에 있는 회로 사이에 전기 접속을 제공할 수 있다.
후면 조명 이미지 센서(100)는 기판의 후면 상에 형성된 보호층(120)을 포함할 수 있다. 도 1에 도시된 바와 같이, 보호층(120)은 3개의 층들을 포함할 수 있다. 제1 보호층(122)은 기판의 후면 상에 형성된다. 제1 보호층(122)은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물 등과 같은 유전체로 형성된다. 제1 보호층(122)은 CVD, 플라즈마 향상 CVD(plasma enhanced CVD; PECVD), 물리적 기상 증착(physical vapor deposition; PVD) 등을 포함하지만 이들로 제한되는 것은 아닌 적합한 기술을 이용하여 형성될 수 있다. 실시예에 따라, 제1 보호층(122)은 실리콘 이산화물과 같은 유전체로 형성될 수 있다. 제1 보호층(122)은 대략 80 Å 내지 대략 120 Å 범위의 두께를 가질 수 있다.
합성 보호층(124)이 제1 보호층(122) 상에 형성된다. 합성 보호층(124)은 (도 2에 도시되지 않았지만 예시됨) 복수의 플라즈마 향상 유전층을 더 포함할 수 있다. 각각의 플라즈마 향상 유전층은 실리콘 질화물 등과 같은 유전체로 형성된다. 실리콘 질화층의 형성은 제1 보호층(122)의 형성과 유사하다. 게다가, 플라즈마 향상 공정이 각각의 실리콘 질화층 상에 수행된다. 합성 보호층(124)의 상세한 제조 공정은 도 2에 관하여 상세하게 기술될 것이다. 제2 보호층(126)이 합성 보호층(124) 상에 형성된다. 제2 보호층(126)은 제1 보호층(122)과 유사하므로, 불필요한 반복을 피하기 위해 더욱 상세히 기술되지 않는다.
플라즈마 향상 실리콘 질화물에 의해 형성된 합성 보호층(124)을 구비하는 것의 유리한 특징은 플라즈마 향상 실리콘 질화층이 고밀도 N-H 콘텐츠를 포함할 수 있다는 것이다. 이러한 고밀도 N-H 콘텐츠는 음전하의 레벨을 증가시키는데 도움을 준다. 플라즈마 향상 실리콘 질화층으로부터의 음전하는 이미지 센서에 구속된 양전하를 줄일 수 있다. 그 결과, 후면 조명 이미지 센서(100)의 암전류 성능이 개선될 수 있다.
도 2는 실시예에 따른 합성 보호층을 구비하는 후면 조명 이미지 센서의 횡단면도를 나타낸다. 후면 조명 이미지 센서(200)는 도 1에 도시된 바와 같이 복수의 층들을 포함할 수 있다. 간결함과 명료함을 위해, 후면 조명 이미지 센서(200)의 오직 관련 있는 부분만이 합성 보호층(124)의 발명의 양태를 도시하기 위해 포함된다. 도 2에 도시된 바와 같이, 제1 보호층(122)은 기판의 후면 상에 형성된다. 제1 보호층(122)의 형성은 도 1에 대하여 상세히 기술되었고, 따라서 반복을 피하기 위해 본 명세서에서 다시 기술되지 않는다. 합성 보호층(124)은 복수의 플라즈마 향상 실리콘 질화층을 포함할 수 있다. 실시예에 따라서, 플라즈마 향상 실리콘 질화층의 전체 수는 대략 2개의 층 내지 대략 20개의 층의 범위에 있다.
제1 플라즈마 향상 실리콘 질화층(202)이 제1 보호층(122) 상에 형성된다. 특히, 실리콘 질화층은 CVD 등을 포함하지만 이에 한정되는 것은 아닌 적합한 기술을 이용하여 형성된다. 실리콘 질화층이 형성된 이후에, 고주파수 플라즈마가 실리콘 질화층 상에 수행된다. 게다가, 고주파수 플라즈마 하에, 실리콘 질화층은 실리콘 질화층의 N-H 콘텐츠를 증가시키도록 암모니아와 반응한다. 그 결과, 실리콘 질화층은 플라즈마 향상 실리콘 질화층이 된다. 실시예에 따라서, 고주파수 플라즈마의 전력은 대략 160 W이다. 플라즈마 처리 시간은 대략 60 초이다. 추가적인 플라즈마 향상 실리콘 질화층(예컨대, 플라즈마 향상 실리콘 질화층(204))이 제1 플라즈마 향상 실리콘 질화층(202) 상에 형성될 수 있다.
실시예에 따라, 각각의 플라즈마 향상 실리콘 질화층은 대략 500 Å의 두께이다. 합성 보호층(124)의 전체 두께는 얼마나 많은 플라즈마 향상 실리콘 질화층이 제1 보호층(122)과 제2 보호층(126) 사이에 형성되는지에 따른다. 예를 들어, 4개의 플라즈마 향상 실리콘 질화층이 제1 보호층(122)과 제2 보호층(126) 사이에 형성되면, 합성 보호층(124)의 두께는 대략 2000 Å이다.
상기 설명이 플라즈마 향상 실리콘 질화층에 기초하는 동안, 실리콘 질화물은 단지 예일 뿐, 특허청구의 범위를 지나치게 제한하지 않아야 한다는 것을 유념해야 한다. 본 발명분야의 당업자는 많은 변화, 대안, 및 수정을 이해할 것이다. 예를 들어, 합성 보호층은 실리콘 탄화물, 실리콘 질화물, 이들의 조합 등으로 형성될 수 있다.
도 2에 도시된 합성 보호층을 구비하는 것의 유리한 특징은 플라즈마 향상 실리콘 질화층이 인 시추(in-situ) 방식으로 형성될 수 있다는 것이다. 이러한 인 시추 방식은 후면 조명 이미지 센서를 제조하는 사이클 타임을 줄이는데 도움을 준다. 그 결과, 후면 조명 이미지 센서(100)의 암전류 성능을 줄이는 효과적인 해결책은 비용 효율적이고 호환 가능한 제조 공정을 이용함으로써 달성될 수 있다.
도 3은 다른 실시예에 따른 후면 조명 이미지 센서의 횡단면도를 나타낸다. 후면 조명 이미지 센서에, 복수의 픽셀이 존재할 수 있고, 복수의 픽셀 각각은 실리콘 기판에 형성된 포토 다이오드이다. 실시예에 따라, 기판(310)은 p형 기판(도시되지 않음)으로부터 성장된 p형 에피택셜 층일 수 있다. 간결함을 위해, 다양한 실시예들의 발명의 양태를 도시하기 위해 오직 하나의 픽셀만이 예시된다.
도 3에 도시된 바와 같이, 픽셀(300)은 p형 도핑 영역(314) 및 n형 도핑 영역(312)에 의해 형성된 포토 다이오드를 포함한다. p형 도핑 영역(314)은 이온 주입 공정 또는 확산 공정을 이용하여 웨이퍼의 전면으로부터 형성될 수 있다. 실시예에 따라, p형 도핑 영역(314)은 붕소와 같은 p형 도핑 물질로 도핑된다. p형 도핑 영역(314)의 도핑 농도는 대략 1016/cm3 내지 대략 1019/cm3에 이르는 범위에 있다.
실시예에 따라, n형 도핑 영역(312)은 p형 도핑 영역(314)를 형성하는 주입 공정 또는 확산 공정과 유사한 공정들을 이용하여 형성될 수 있다. 인과 같은 n형 도핑 물질이 에피택셜 층(310) 내에 도핑되어 n형 도핑 영역(312)을 형성한다. 실시예에 따라서, 전면 이온 주입 공정 또는 확산 공정은 대략 1016/cm3 내지 대략 1019/cm3에 이르는 범위의 도핑 농도를 발생시킬 수 있다.
인접 픽셀로부터의 간섭을 피하기 위해서, 분리 영역이 이용되어 인접 픽셀들 간의 크로스토크를 방지한다. 분리 영역은 STI 구조(326), 셀 p웰(cell p-well; CPW) 영역(324), 및 딥 p웰(deep p-well; DPW) 영역(322)에 의해 형성된다. STI 구조(326)는 적합한 제조 기술에 의해 형성될 수 있다. 예를 들어, STI 구조(326)는 포토리소그래피에 의해 에피택셜 층(310)을 패턴화하고, STI 트렌치를 형성하도록 플라즈마 에칭에 의해 에피택셜 층(310)을 에칭하고, STI 구조(326)를 형성하기 위해 유전체로 STI 트렌치를 충진함으로써 형성될 수 있다.
CPW 영역(324)은 붕소 등과 같은 p형 물질로 형성될 수 있다. CPW 영역(324)은 대략 1012/cm3의 도핑 농도를 갖는다. CPW 영역(324)은 대략 0 um 내지 대략 1 um에 이르는 범위의 도핑 깊이를 갖는다. DPW 영역(322)은 대략 5 x 1011/cm3 의 도핑 농도를 갖는다. DPW 영역(322)은 대략 1 um 내지 대략 2 um에 이르는 범위의 도핑 깊이를 갖는다. 픽셀(300)은 도 4에 관하여 이하에 상세히 기술될, p+ 이온층(308), 반사 방지층(306), 컬러 필터층(304) 및 마이크로 렌즈층(302)과 같은 다른 층들을 포함할 수 있다.
도 4 내지 도 12는 실시예에 따라 후면 조명 이미지 센서를 제조하는 방법을 나타낸다. 도 4는 실시예에 따라 전면 이온 주입 공정이 기판 상에 수행된 이후에 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다. 후면 조명 이미지 센서 웨이퍼(300)는 제1 도전율을 갖는 기판(402)을 포함한다. 실시예에 따라, 기판(402)은 p형 기판일 수 있다. 기판(402)은 실리콘, 게르마늄, 실리콘 게르마늄, 경사 실리콘 게르마늄, 절연체 상의 반도체(SOI), 탄소, 석영, 사파이어, 유리 등으로 형성될 수 있고, 다층(예컨대, 변형된 층들)일 수 있다.
p형 에피택셜 층(310)이 p형 기판(402) 상에 성장된다. 실시예에 따라, 붕소와 같은 p형 불순물 이온이 웨이퍼의 전면으로부터 p형 에피택셜 층(310) 내로 주입되어 p형 광 활성 영역(314)을 형성한다. 게다가, 인 또는 비소와 같은 n형 불순물 이온이 웨이퍼의 전면으로부터 주입되어 n형 광 활성 영역(312)을 형성한다.
후면 조명 이미지 센서 웨이퍼(300)는 복수의 픽셀(도시되지 않음)을 포함하고, 복수의 픽셀 각각은 p형 광 활성 영역(예컨대, 광 활성 영역(314)) 및 n형 광 활성 영역(예컨대, 광 활성 영역(312))에 의해 형성된 PN 접합을 포함한다. 인접 픽셀 간의 크로스토크 및 간섭을 방지하기 위해서, STI 구조(326), CPW(324), 및 DPW(322)를 포함하는 분리 영역(322)이 이용되어 p형 광 활성 영역(314) 및 n형 광 활성 영역(312)을 에워싼다. 분리 영역은 인접 픽셀로부터 반사된 빛이 광 활성 영역(314) 및 광 활성 영역(312)에 도달하는 것을 방지하는데 도움을 준다.
도 5는 실시예에 따라 추가적인 전면층들이 광 활성 영역 위에 형성된 이후에 도 4에 도시된 반도체 장치의 횡단면도를 나타낸다. 유전층(318)이 에피택셜 층(310) 위에 형성된다. 유전층(318)은 실리콘 이산화물, 실리콘 질화물 및/또는 다른 적합한 물질로 형성될 수 있다. 유전층(318)은 CVD, 스퍼터링, 스핀온 등과 같은 적합한 기술을 이용하여 형성될 수 있다.
도 6은 실시예에 따라 웨이퍼가 뒤집히고 캐리어(602)에 본딩된 이후에 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다. 일단 유전층(318)이 형성되면, 후면 조명 이미지 센서 웨이퍼(300)가 뒤집히고 실리콘 또는 유리 캐리어(602)에 더욱 본딩된다. 특히, 후면 조명 이미지 센서 웨이퍼(300)의 전면은 캐리어(602) 쪽으로 직면한다. 다양한 본딩 기술이 이용되어 후면 조명 이미지 센서 웨이퍼(300)와 캐리어(602) 간의 본딩을 달성할 수 있다. 실시예에 따라, 적합한 본딩 기술은 접착제 본딩, 진공 본딩, 양극성 본딩 등을 포함할 수 있다. 캐리어(602)는 씨닝 공정의 연마 단계로 인한 힘에 저항하도록 충분한 기계적인 지원을 제공할 수 있다. 씨닝 공정은 도 7에 대하여 이하에 기술될 것이다.
도 7은 실시예에 따라 씨닝 공정(thinning process)이 웨이퍼의 후면에 적용된 이후에 도 6에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다. 후면 조명 이미지 센서의 제조 공정에 따라, 기판(402)(도 6에 예시됨)이 제거되고 에피택셜 층(310)이 노출될 때까지 기판은 얇아진다. 보다 구체적으로, 후면 조명 이미지 센서 웨이퍼(300)의 기판의 후면(예컨대, p형 에피택셜 층(310)의 잔여 부분)은 대략 2 um 내지 대략 2.15 um의 범위에 이르는 두께로 얇아질 수 있다. 이와 같은 얇은 기판층은 빛이 기판(도시되지 않음)을 통과하여, 기판에 의한 흡수 없이 기판에 내장된 포토다이오드에 이르게 할 수 있다.
씨닝 공정은 그라인딩, 연마 및/또는 화학 에칭과 같은 적합한 기술을 이용함으로써 구현될 수 있다. 실시예에 따라, 씨닝 공정은 화학적 기계적 연마(CMP) 공정을 이용함으로써 구현될 수 있다. CMP 공정에서, 에칭 물질 및 연마 물질의 조합이 기판의 후면과의 접촉을 실행하고, 원하는 두께에 도달할 때까지 기판의 후면을 연마하는데 연마 패드(도시되지 않음)가 이용된다.
도 8은 실시예에 따라 합성 보호층이 적용된 이후에 도 7에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다. 도 2를 다시 참조하면, 합성 보호층(120)은 2개의 실리콘 이산화층 사이에 배치된 복수의 플라즈마 향상 실리콘 질화층을 포함할 수 있다. 플라즈마 향상 실리콘 질화층 및 실리콘 이산화층의 형성은 도 1 및 도 2에 대하여 각각 상세하게 기술되었으므로, 본 명세서에서 다시 기술되지 않는다.
도 9는 실시예에 따라 얇은 P+ 이온층이 웨이퍼의 후면에 적용된 이후에 도 8에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다. 더욱이, 얇은 p+ 이온층(308)은 전자로 변환되는 광자의 수를 증가시키기 위해서 얇아진 기판의 후면 상에 형성될 수 있다. p+ 이온 주입 공정은 결정 결함을 일으킬 수 있다. 결정 결함을 수리하고 주입된 p+ 이온을 활성화시키기 위해서, 레이저 어닐링 공정이 후면 조명 이미지 센서 웨이퍼(300)의 후면 상에 수행될 수 있다.
도 10은 실시예에 따라 반사 방지 코팅(anti-reflection coating; ARC) 층이 웨이퍼의 후면에 적용된 이후에 도 9에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다. ARC 층(306)이 p+ 이온층(308) 위에 형성된다. ARC 층(306)은 질화 물질, 유기 물질, 산화 물질 등으로 형성될 수 있다. ARC 층(306)은 CVD 등과 같은 적합한 기술을 이용하여 형성될 수 있다.
도 11은 실시예에 따라 컬러 필터층이 적용된 이후에 도 10에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다. 컬러 필터층(304)이 이용되어 빛의 특정한 파장은 통과시키는 반면 다른 파장들은 반사시켜, 이미지 센서가 광 활성 영역(예컨대, 광 활성 영역(312 및 314))에 의해 수신된 빛의 컬러를 결정할 수 있도록 한다. 컬러 필터층(304)은 빨강, 초록 및 파랑 필터와 같이 다를 수 있다. 청록, 노랑, 자주의 다른 조합이 또한 이용될 수 있다. 컬러 필터(304)의 상이한 컬러의 수는 또한 다를 수 있다.
실시예에 따라서, 컬러 필터층(304)은 아크릴과 같은 유색의 또는 염색된 물질을 포함할 수 있다. 예를 들어, PMMA(polymethyl-methacrylate) 또는 PGMS(polyglycidylmethacrylate)는 컬러 필터층(304)을 형성하는데 추가될 수 있는 색소 또는 염료를 이용하는 적합한 물질이다. 그러나, 다른 물질이 이용될 수 있다. 컬러 필터층(304)은 당해 기술에 공지된 임의의 적합한 방법에 의해 형성될 수 있다.
도 12는 실시예에 따라 마이크로 렌즈층이 적용된 이후에 도 11에 예시된 후면 조명 이미지 센서 웨이퍼의 횡단면도를 나타낸다. 마이크로 렌즈층(302)은 높은 투과율의 아크릴 폴리머와 같은 렌즈 내에서 패턴화되고 형성될 수 있는 임의의 물질로 형성될 수 있다. 마이크로 렌즈층(302)은 대략 0.1 um 내지 대략 2.5 um의 두께이다. 실시예에 따라, 마이크로 렌즈층(302)은 당해 기술에 공지된 스핀 온 기술 및 액체 상태의 물질을 이용하여 형성될 수 있다. 이 방법은, 실질적으로 균일한 두께를 갖는 마이크로 렌즈층(302) 및 실질적으로 평면 표면을 생성하여 마이크로 렌즈에 더욱 큰 균일성을 제공하는 것으로 발견되었다. CVD, PVD 등의 증착 기술들과 같은 다른 방법들이 또한 이용될 수 있다.
본 개시의 실시예 및 이에 관한 장점들을 자세하게 설명하였지만, 본 발명에 대한 다양한 변경, 대체, 및 변동이 첨부된 청구범위들에 의해 정의된 발명의 범위 및 사상으로부터 일탈하지 않고서 행해질 수 있다는 것을 이해해야 한다.
또한, 본 출원의 범위는 상세한 설명에서 설명된 공정, 머신, 제품, 문제의 구성, 수단, 방법, 및 단계의 특정한 실시예들로 한정되는 것을 의도하지 않는다. 본 발명분야의 당업자라면 본 개시에 따라 이용될 수 있는 본 명세서에서 설명된 대응하는 실시예들과 실질적으로 동일한 기능을 수행하거나 이와 실질적으로 동일한 결과를 달성하는, 현존하거나 후에 개발될 공정, 머신, 제품, 문제의 구성, 수단, 방법, 및 단계를 본 발명개시로부터 손쉽게 알 수 있을 것이다. 따라서, 첨부된 청구항들은 이와 같은 공정, 머신, 제품, 문제의 구성, 수단, 방법, 및 단계를 청구항의 범위 내에 포함하는 것으로 한다.
103: 에피택셜 층 104: n형 광 활성 영역
105: p형 광 활성 영역 110: 유전층
112: 상호접속 금속층 114: 분리 영역
120: 보호층 122: 제1 보호층
124: 합성 보호층 126: 제2 보호층
202: 제1 플라즈마 향상 실리콘 질화층
314: p형 도핑 영역 312: n형 도핑 영역
310: 에피택셜 층 322: DPW 영역
324: CPW 영역 326: STI 구조
318: 유전층 602: 캐리어
308: p+ 이온층 306: ARC 층
304: 컬러 필터층 302: 마이크로 렌즈층

Claims (10)

  1. 후면 조명 이미지 센서의 제조 방법에 있어서,
    후면 조명 이미지 센서 웨이퍼를 제공하는 단계;
    상기 후면 조명 이미지 센서 웨이퍼의 후면 상에 제1 보호층(passivation layer)을 증착하는 단계;
    상기 제1 보호층 상에 제1 플라즈마 향상 실리콘 질화층을 증착하는 단계;
    상기 제1 플라즈마 향상 실리콘 질화층 상에 제2 플라즈마 향상 실리콘 질화층을 증착하는 단계; 및
    상기 제2 플라즈마 향상 실리콘 질화층 상에 제2 보호층을 증착하는 단계
    를 포함하고,
    상기 제1 플라즈마 향상 실리콘 질화층 및 상기 제2 플라즈마 향상 실리콘 질화층은 각각 실리콘 질화물을 포함하고, 상기 제1 및 제2 플라즈마 향상 실리콘 질화층은 고밀도 N-H 콘텐츠를 포함하여 음전하의 레벨을 증가시키도록 하는, 후면 조명 이미지 센서의 제조 방법.
  2. 제1항에 있어서,
    상기 후면 조명 이미지 센서 웨이퍼 내에 에피택셜 층 - 포토 다이오드는 상기 에피택셜 층 내에 내장됨 - 을 성장시키는 단계;
    상기 에피택셜 층 내에 분리 영역 - 상기 분리 영역은 상기 포토 다이오드를 둘러쌈 - 을 형성하는 단계;
    상기 후면 조명 이미지 센서 웨이퍼의 전면 위에 유전층을 형성하는 단계; 및
    상기 유전층 위에 금속 상호 접속층을 형성하는 단계
    를 더 포함하는, 후면 조명 이미지 센서의 제조 방법.
  3. 제1항에 있어서,
    상기 제2 보호층 상에 p+ 층을 형성하는 단계; 및
    상기 p+ 층 상에 레이저 어닐링 공정을 적용하는 단계
    를 더 포함하는, 후면 조명 이미지 센서의 제조 방법.
  4. 제1항에 있어서,
    상기 제1 보호층 상에 실리콘 질화층을 증착하는 단계; 및
    상기 실리콘 질화층에 대해 플라즈마 처리를 수행하는 단계를 더 포함하고, 상기 실리콘 질화층은 암모니아와 반응하는 것인, 후면 조명 이미지 센서의 제조 방법.
  5. 후면 조명 이미지 센서 디바이스에 있어서,
    제1 면에 상호접속층을 구비하는 후면 조명 이미지 센서 웨이퍼;
    상기 후면 조명 이미지 센서 웨이퍼의 제2 면에 형성된 제1 실리콘 이산화층;
    상기 제1 실리콘 이산화층 상에 형성된 제1 플라즈마 향상 실리콘 질화층;
    상기 제1 플라즈마 향상 실리콘 질화층 상에 형성된 제2 플라즈마 향상 실리콘 질화층; 및
    상기 제2 플라즈마 향상 실리콘 질화층 상에 형성된 제2 실리콘 이산화층
    을 포함하고,
    상기 제1 플라즈마 향상 실리콘 질화층 및 상기 제2 플라즈마 향상 실리콘 질화층은 각각 실리콘 질화물을 포함하고, 상기 제1 및 제2 플라즈마 향상 실리콘 질화층은 고밀도 N-H 콘텐츠를 포함하여 음전하의 레벨을 증가시키도록 하는, 후면 조명 이미지 센서 디바이스.
  6. 제5항에 있어서,
    상기 제2 실리콘 이산화층 상에 형성된 p+ 층;
    상기 p+ 층 상에 형성된 반사 방지 코팅층;
    상기 반사 방지 코팅층 상에 형성된 컬러 필터층; 및
    상기 컬러 필터층 상에 형성된 마이크로 렌즈층
    을 더 포함하는, 후면 조명 이미지 센서 디바이스.
  7. 제5항에 있어서,
    상기 후면 조명 이미지 센서 웨이퍼 내에 성장된 에피택셜 층;
    상기 에피택셜 층 내에 내장된 포토 다이오드;
    상기 에피택셜 층 내에 내장된 분리 영역 - 상기 포토 다이오드는 상기 분리 영역에 의해 둘러싸임 -;
    상기 후면 조명 이미지 센서 웨이퍼의 상기 제1 면 위에서 상기 에피택셜 층 상에 형성된 유전층; 및
    상기 유전층 상에 형성된 상기 상호접속층
    을 더 포함하는, 후면 조명 이미지 센서 디바이스.
  8. 후면 조명 이미지 센서의 제조 방법에 있어서,
    제1 도전성을 갖는 기판을 제공하는 단계;
    상기 기판 상에 에피택셜 층을 성장시키는 단계;
    제1 광 활성 영역을 형성하기 위해 상기 에피택셜 층 내에 제2 도전성을 갖는 이온을 주입하는 단계;
    제2 광 활성 영역을 형성하기 위해 상기 에피택셜 층 내에 상기 제1 도전성을 갖는 이온을 주입하는 단계;
    상기 에피택셜 층이 노출될 때까지 상기 기판의 후면을 얇게 하는 단계; 및
    상기 에피택셜 층 위에서 상기 후면 상에 복수의 플라즈마 향상 보호층을 증착하는 단계
    를 포함하는, 후면 조명 이미지 센서의 제조 방법.
  9. 제8항에 있어서,
    상기 복수의 플라즈마 향상 보호층 위에 p+ 층을 형성하는 단계; 및
    상기 p+ 층에 레이저 어닐링 공정을 적용하는 단계
    를 더 포함하는, 후면 조명 이미지 센서의 제조 방법.
  10. 제9항에 있어서,
    상기 p+ 층 상에 반사 방지 코팅층을 증착하는 단계;
    상기 반사 방지 코팅층 상에 컬러 필터층을 형성하는 단계; 및
    상기 컬러 필터층 상에 마이크로 렌즈층을 형성하는 단계
    를 더 포함하는, 후면 조명 이미지 센서의 제조 방법.
KR1020120103683A 2012-01-31 2012-09-19 이미지 센서에서 암전류를 줄이기 위한 장치 및 방법 KR101420503B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261593038P 2012-01-31 2012-01-31
US61/593,038 2012-01-31
US13/436,364 US9379275B2 (en) 2012-01-31 2012-03-30 Apparatus and method for reducing dark current in image sensors
US13/436,364 2012-03-30

Publications (1)

Publication Number Publication Date
KR101420503B1 true KR101420503B1 (ko) 2014-07-16

Family

ID=48869520

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120103683A KR101420503B1 (ko) 2012-01-31 2012-09-19 이미지 센서에서 암전류를 줄이기 위한 장치 및 방법

Country Status (3)

Country Link
US (1) US9379275B2 (ko)
KR (1) KR101420503B1 (ko)
TW (1) TWI540688B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209216B2 (en) * 2013-08-07 2015-12-08 Globalfoundries Inc Passivation of back-illuminated image sensor
CN107958912B (zh) * 2016-10-17 2020-11-13 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10361113B2 (en) * 2017-06-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ treatment processes for gap fill layers
CN117594621B (zh) * 2024-01-17 2024-04-26 合肥晶合集成电路股份有限公司 图像传感器的制作方法及图像传感器

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090037362A (ko) * 2007-10-11 2009-04-15 소니 가부시끼 가이샤 고체 촬상 장치, 그 제조방법 및 촬상 장치
KR20100079247A (ko) * 2008-12-31 2010-07-08 주식회사 동부하이텍 후면수광 이미지센서 및 그 제조방법
US20110266645A1 (en) 2010-04-28 2011-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Back Side Illuminated Image Sensor With Back Side Pixel Substrate Bias

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795833A (en) * 1996-08-01 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd Method for fabricating passivation layers over metal lines
US6507059B2 (en) * 2001-06-19 2003-01-14 United Microelectronics Corp. Structure of a CMOS image sensor
JP3722367B2 (ja) * 2002-03-19 2005-11-30 ソニー株式会社 固体撮像素子の製造方法
KR101089684B1 (ko) * 2002-12-13 2011-12-07 소니 주식회사 고체 촬상 소자 및 그 제조방법
US6852565B1 (en) * 2003-07-10 2005-02-08 Galaxcore, Inc. CMOS image sensor with substrate noise barrier
WO2006079041A1 (en) * 2005-01-24 2006-07-27 Quasar Federal Systems, Inc. Integrated sensor system monitoring and characterizing lightning events
JP4992446B2 (ja) 2006-02-24 2012-08-08 ソニー株式会社 固体撮像装置及びその製造方法、並びにカメラ
JP4193870B2 (ja) 2006-05-09 2008-12-10 ソニー株式会社 固体撮像素子、撮像装置
US7638852B2 (en) * 2006-05-09 2009-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making wafer structure for backside illuminated color image sensor
US8436443B2 (en) * 2006-09-29 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside depletion for backside illuminated image sensors
US7608837B2 (en) * 2006-11-24 2009-10-27 Tower Semiconductor Ltd. High resolution integrated X-ray CMOS image sensor
TWI426602B (zh) 2007-05-07 2014-02-11 Sony Corp A solid-state image pickup apparatus, a manufacturing method thereof, and an image pickup apparatus
JP5217251B2 (ja) 2007-05-29 2013-06-19 ソニー株式会社 固体撮像装置、その製造方法および撮像装置
JP5151375B2 (ja) 2007-10-03 2013-02-27 ソニー株式会社 固体撮像装置およびその製造方法および撮像装置
JP5136110B2 (ja) 2008-02-19 2013-02-06 ソニー株式会社 固体撮像装置の製造方法
JP5365033B2 (ja) 2008-03-12 2013-12-11 ソニー株式会社 固体撮像装置
US8207590B2 (en) * 2008-07-03 2012-06-26 Samsung Electronics Co., Ltd. Image sensor, substrate for the same, image sensing device including the image sensor, and associated methods
US20100013039A1 (en) * 2008-07-21 2010-01-21 Omnivision Technologies, Inc. Backside-illuminated imaging sensor including backside passivation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090037362A (ko) * 2007-10-11 2009-04-15 소니 가부시끼 가이샤 고체 촬상 장치, 그 제조방법 및 촬상 장치
KR20100079247A (ko) * 2008-12-31 2010-07-08 주식회사 동부하이텍 후면수광 이미지센서 및 그 제조방법
US20110266645A1 (en) 2010-04-28 2011-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Back Side Illuminated Image Sensor With Back Side Pixel Substrate Bias

Also Published As

Publication number Publication date
US20130193540A1 (en) 2013-08-01
US9379275B2 (en) 2016-06-28
TW201332064A (zh) 2013-08-01
TWI540688B (zh) 2016-07-01

Similar Documents

Publication Publication Date Title
US10943940B2 (en) Image sensor comprising reflective guide layer and method of forming the same
KR101432889B1 (ko) 수직적으로 집적된 후면 조명 이미지 센서들을 위한 장치
KR101443438B1 (ko) 후방 조명식 cmos 이미지 센서
US8941204B2 (en) Apparatus and method for reducing cross talk in image sensors
US10062728B2 (en) Image sensor device and method
US9406711B2 (en) Apparatus and method for backside illuminated image sensors
US9786707B2 (en) Image sensor isolation region and method of forming the same
KR20160072078A (ko) 딥-트렌치 격리 구조를 갖는 이미지-센서 디바이스를 형성하기 위한 매커니즘
KR101376030B1 (ko) 이미지 센서의 uv 방사 회복
US8748952B2 (en) Co-implant for backside illumination sensor
KR101363223B1 (ko) 포토다이오드 풀 웰 커시시티 증가 방법
KR101420503B1 (ko) 이미지 센서에서 암전류를 줄이기 위한 장치 및 방법
CN103227179B (zh) 减小图像传感器中的暗电流的装置和方法
US8652868B2 (en) Implanting method for forming photodiode

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190627

Year of fee payment: 6