KR20120095327A - 기판 홀더, 리소그래피 장치, 디바이스 제조방법, 및 기판 홀더 제조방법 - Google Patents

기판 홀더, 리소그래피 장치, 디바이스 제조방법, 및 기판 홀더 제조방법 Download PDF

Info

Publication number
KR20120095327A
KR20120095327A KR1020120016588A KR20120016588A KR20120095327A KR 20120095327 A KR20120095327 A KR 20120095327A KR 1020120016588 A KR1020120016588 A KR 1020120016588A KR 20120016588 A KR20120016588 A KR 20120016588A KR 20120095327 A KR20120095327 A KR 20120095327A
Authority
KR
South Korea
Prior art keywords
layer
substrate
substrate holder
sub
forming
Prior art date
Application number
KR1020120016588A
Other languages
English (en)
Other versions
KR101460597B1 (ko
Inventor
레이몬드 빌헬무스 루이스 라파레
니콜라스 텐 카테
니나 블라디미로브나 드지옴키나
요게시 프라모드 카라데
지그프리트 알렉산더 트롬프
야코부스 요세푸스 리센
엘리자베스 코린 로덴버그
마우리스 빌헬무스 레오나르두스 헨드리쿠스 피츠
헨드릭 후이스만
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20120095327A publication Critical patent/KR20120095327A/ko
Application granted granted Critical
Publication of KR101460597B1 publication Critical patent/KR101460597B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/10Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors
    • G01J5/20Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors using resistors, thermistors or semiconductors sensitive to radiation, e.g. photoconductive devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • B05D1/38Successively applying liquids or other fluent materials, e.g. without intermediate treatment with intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/12Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a coating with specific electrical properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/02Constructional details
    • G01J5/06Arrangements for eliminating effects of disturbing radiation; Arrangements for compensating changes in sensitivity
    • G01J5/068Arrangements for eliminating effects of disturbing radiation; Arrangements for compensating changes in sensitivity by controlling parameters other than temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • G03B27/52Details
    • G03B27/58Baseboards, masking frames, or other holders for the sensitive material
    • G03B27/583Handling microfiche
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks

Abstract

리소그래피 장치용 기판 홀더의 표면에는 평탄화 층이 제공된다. 평탄화 층은 전자 구성요소를 형성하는 박막 스택의 형성을 위한 매끈한 표면을 제공한다. 박막 스택은 (선택적) 절연 층, 전극을 형성하는 금속 층, 센서, 히터, 트랜지스터 또는 논리 디바이스, 및 최상부 절연 층을 포함한다.

Description

기판 홀더, 리소그래피 장치, 디바이스 제조방법, 및 기판 홀더 제조방법{SUBSTRATE HOLDER, LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD, AND METHOD OF MANUFACTURING A SUBSTRATE HOLDER}
본 발명은 기판 홀더, 리소그래피 장치, 및 디바이스 제조방법과 기판 홀더의 제조방법에 관한 것이다.
리소그래피 장치는 기판, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조시에 사용될 수 있다. 이러한 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층에 대응되는 회로 패턴을 생성하는 데 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 일부, 하나의 다이 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼, 및 방사선 빔을 통해 주어진 방향("스캐닝"-방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향 또는 역-평행 방향으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다. 또한, 패턴을 기판 상에 임프린트(imprint)함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 장치는, 투영 시스템의 최종 요소와 기판 사이의 공간을 채우기 위하여 비교적 높은 굴절률을 갖는 액체, 예를 들어 물에 리소그래피 장치의 기판을 침지시키는 것이 제안되어 왔다. 일 실시예에서, 상기 액체는 증류수이나, 다른 액체가 이용될 수도 있다. 본 발명의 일 실시예는 액체를 기준으로 설명될 것이다. 하지만, 다른 유체, 특히 공기보다 높은 굴절률을 갖는, 바람직하게는 물보다 높은 굴절률을 갖는 습윤 유체(wetting fluid), 비압축성 유체 및/또는 유체가 적절할 수 있다. 가스를 제거한 유체들이 특히 바람직하다. 요지는 노광 방사선은 액체 내에서 보다 짧은 파장을 갖기 때문에 보다 작은 피처들의 이미징(imaging)이 가능해진다는 점이다. [또한, 액체의 효과는 시스템의 유효 개구수(NA)를 증가시키며, 아울러 초점 심도(depth of focus)를 증가시키는 것으로 간주된다]. 안에 고체 입자들[예를 들어, 쿼츠(quartz)]이 부유되어 있는 물, 또는 나노-입자 부유물들(nano-particle suspension)(예를 들어, 10 nm까지의 최대 치수를 갖는 입자들)을 갖는 액체를 포함하는 다른 침지 액체들이 제안되어 왔다. 부유되어 있는 입자들은 그들이 부유되어 있는 액체와 유사하거나 같은 굴절률을 갖거나 또는 그렇지 않을 수 있다. 적합한 다른 용액들에는 하이드로카본, 예컨대 방향족(aromatic), 플루오로하이드로카본, 및/또는 수용액이 포함된다.
종래의 리소그래피 장치에서는, 노광될 기판이 기판 테이블에 의해 지지되는 기판 홀더에 의하여 지지될 수 있다. 흔히, 기판 홀더는 기판에 대응되는 크기와 형상(그러나 상이한 크기 또는 형상을 가질 수도 있음)의 프랫 리지드 디스크(flat rigid disc)이다. 이는, 적어도 일 측으로부터 돌출된 버얼들(burls) 또는 핌플들(pimples)로 언급되는 돌출부들의 어레이를 갖는다. 일 실시예에서, 기판 홀더는 2 개의 대향되는 측들 상에 돌출부들의 어레이를 갖는다. 이 경우에, 기판 홀더가 기판 테이블 상에 배치될 때, 기판 홀더의 본체는 기판 테이블 위로 짧은 간격을 두고 유지되는 한편, 기판 홀더 일 측 상의 버얼들의 단부들은 기판 테이블의 표면에 놓인다. 이와 유사하게, 기판이 기판 홀더의 대향되는 측 상의 버얼들의 최상부에 놓여 있을 때, 기판은 기판 홀더의 본체로부터 이격되어 있다. 이것의 요지는 기판 테이블 또는 기판 홀더 상에서 나타날 수 있는 입자[즉, 먼지(dust) 입자와 같은 오염 입자]가 기판 홀더나 기판을 왜곡시키지 않도록 돕기 위한 것이다. 버얼들의 총 표면적은 기판이나 기판 홀더 총 면적의 극히 일부에 불과하기 때문에, 어떠한 입자가 버얼들 사이에 있을 가능성이 매우 크며, 상기 입자의 존재는 영향을 주지 않는다. 흔히, 기판 홀더 및 기판은 기판의 상부면이 기판 테이블의 상부면과 실질적으로 공면(coplanar)을 이루도록 기판 테이블의 후퇴부(recess) 내에 수용된다.
고-스루풋(high-throughput) 리소그래피 장치의 이용시 기판이 겪는 높은 가속도로 인해, 기판을 단순히 기판 홀더의 버얼들에 올려 놓는 것으로는 충분하지 않다. 기판은 제 위치에 클램핑된다. 기판을 제 위치에 클램핑하는 두 가지 방법 - 진공 클램핑(vacuum clamping) 및 정전기 클램핑(electrostatic clamping) - 이 알려져 있다. 진공 클램핑에서는, 기판이 그 위의 가스나 액체의 보다 큰 압력에 의해 제 위치에서 유지되도록, 기판 홀더와 기판 사이의 공간 및 선택적으로 기판 테이블과 기판 홀더 사이의 공간은 부분적으로 비워진다(evacuate). 하지만, 기판 또는 기판 홀더 부근의 환경 및/또는 빔 경로가, 예를 들어 극 자외(EUV) 방사선 리소그래피를 위해 낮은 압력이나 매우 낮은 압력으로 유지되어야 하는 곳에서는 진공 클램핑이 이용될 수 없다. 이 경우에, 기판(또는 기판 홀더)을 클램핑하기 위하여 상기 기판(또는 기판 홀더)을 가로지르는 충분히 큰 압력차를 조성하는 것은 가능하지 않을 수도 있다. 그러므로, 정전기 클램핑이 이용될 수도 있다. 정전기 클램핑에서는, 기판이나 그것의 하부면에 판금된(plate) 전극과, 기판 테이블 및/또는 기판 홀더 상에 제공되는 전극 사이에 전위 차가 조성된다. 2 개의 전극들은 큰 캐패시커로서 거동하며, 적정한 전위 차를 이용하여 실질적인 클램핑력 발생될 수 있다. 정전기적 구성은, 한 쌍의 전극들, 즉 기판 테이블 상의 하나의 전극과 기판 상의 하나의 전극이 기판 테이블, 기판 홀더 및 기판의 완전한 스택을 함께 클램핑하도록 이루어질 수 있다. 일 구성에서는, 기판 홀더가 기판 테이블에 클램핑되고 기판이 별도로 기판 홀더에 클램핑되도록 기판 홀더 상에 1 이상의 전극들이 제공될 수도 있다.
기판 표면에 걸친 온도 제어는 중요한데, 특히 액체(예를 들어, 물)이 증발 효과들로 인한 온도 변화들에 민감한 침지 시스템들에서 그러하다. 기판으로부터의 액체의 증발은 기판에 열 부하를 가하며, 이는 온도 변화를 야기한다. 온도 변화는 기판에서의 열적 응력을 야기하며, 이는 결국 오버레이 오차(overlay error)에 관여한다. 온도 제어의 정확도를 높이기 위해서는, 능동적 가열(active heating)과 온도의 실시간 국부적 측정(real time local measurement)을 조합하는 것이 바람직하다. 이러한 측정 및 가열 시스템은 시스템, 즉 기판 홀더(즉, 기판을 직접 지지하는 대상물) 및/또는 기판 테이블(거울 블록 또는 스테이지, 즉 기판 홀더를 지지하고 기판 홀더를 둘러싸는 상부면을 제공하는 테이블과 같은 대상물)에 통합된다. 측정과 가열 둘 모두를 이행할 수 있는 구조체를 만드는 데 박막의 스택이 이용될 수 있다. 이러한 구조체는 기판 홀더나 기판 테이블 또는 그 둘 모두로의 통합을 위한 기회를 제공한다.
예를 들어, 1 이상의 전자 구성요소, 예컨대 1 이상의 박막 구성요소들이 형성되는 기판 테이블 또는 기판 홀더를 제공하는 것이 바람직하다.
본 발명의 일 실시형태에 따르면, 리소그래피 장치에서 이용하기 위한 기판 홀더가 제공되며, 상기 기판 홀더는: 표면을 갖는 본체; 상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면을 갖는 복수의 버얼들; 상기 본체 표면의 적어도 일부에 제공되는 평탄화 층(planarization layer); 및 상기 평탄화 층 상에 제공되고 전기 구성요소를 형성하는 박막 스택을 포함한다.
본 발명의 일 실시형태에 따르면, 패터닝 디바이스를 지지하도록 구성되는 지지 구조체; 상기 패터닝 디바이스에 의하여 패터닝되는 빔을 기판 상으로 투영하도록 배치되는 투영 시스템; 및 상기 기판을 유지하도록 배치되는 기판 홀더를 포함하는 리소그래피 장치가 제공되며, 상기 기판 홀더는: 표면을 갖는 본체; 상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면을 갖는 복수의 버얼들; 상기 본체 표면의 적어도 일부에 제공되는 평탄화 층; 및 상기 평탄화 층 상에 제공되고 전기 구성요소를 형성하는 박막 스택을 포함한다.
본 발명의 일 실시형태에 따르면, 리소그래피 장치를 이용하는 디바이스 제조방법이 제공되며, 상기 방법은: 기판이 기판 홀더에서 유지되고 있는 동안 패터닝 디바이스에 의하여 패터닝된 빔을 상기 기판 상으로 투영하는 단계를 포함하며, 상기 기판 홀더는: 표면을 갖는 본체; 상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면을 갖는 복수의 버얼들; 상기 본체 표면의 적어도 일부에 제공되는 평탄화 층; 및 상기 평탄화 층 상에 제공되고 전기 구성요소를 형성하는 박막 스택을 포함한다.
본 발명의 일 실시형태에 따르면, 리소그래피 장치에 이용하기 위한 기판 홀더가 제공되며, 상기 기판 홀더는: 표면을 갖는 본체; 상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면을 갖는 복수의 버얼들; 및 상기 본체 표면의 적어도 일부에 제공되는 평탄화 층을 포함하며, 상기 평탄화 층은 제 1 서브-층 및 제 2 서브-층을 포함하고, 상기 제 2 서브-층은 상기 제 1 서브-층과는 상이한 성분(composition)을 갖는다.
본 발명의 일 실시형태에 따르면, 리소그래피 장치에 이용하기 위한 기판 홀더를 제조하는 방법이 제공되며, 상기 방법은: 표면을 갖는 본체 및 상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면들을 갖는 복수의 버얼들을 제공하는 단계; 및 상기 본체 표면의 적어도 일부에 평탄화 층을 형성하는 단계를 포함하며, 상기 평탄화 층을 형성하는 단계는 제 1 서브-층 및 상기 제 1 서브-층 상의 제 2 서브-층을 형성하는 단계를 포함하여 이루어지며, 상기 제 2 서브-층은 상기 제 1 서브-층과는 상이한 성분을 갖는다.
본 발명의 일 실시형태에 따르면, 리소그래피 장치에 이용하기 위한 기판 홀더를 제조하는 방법이 제공되며, 상기 방법은: 표면을 갖는 본체 및 상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면들을 갖는 복수의 버얼들을 제공하는 단계; 및 상기 본체 표면의 적어도 일부에 평탄화 층을 형성하는 단계를 포함하며, 상기 평탄화 층을 형성하는 단계는 제 1 서브-층을 형성하는 단계, 상기 제 1 서브-층을 베이크(bake)하여 경화시키는 단계, 및 상기 제 1 서브-층 상에 제 2 서브-층을 형성시키는 단계를 포함한다.
이하, 대응되는 참조 부호들이 대응되는 부분들을 나타내는 개략적인 첨부 도면들을 참조하여, 본 발명의 실시예들이 예시의 방법으로 설명될 것이다.
- 도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 나타낸 도;
- 도 2 및 도 3은 리소그래피 장치에 사용하기 위한 액체 공급 시스템(liquid supply system)을 나타낸 도;
- 도 4는 리소그래피 투영 장치에 사용하기 위한 추가 액체 공급 시스템;
- 도 5는 침지 액체 공급 시스템로서 본 발명의 일 실시예에서 이용될 수 있는 방벽 부재(barrier member)의 단면도;
- 도 6은 본 발명의 일 실시예에 따른 기판 홀더 및 기판 테이블의 단면도;
- 도 7은 도 6의 기판 홀더 일부의 확대도;
- 도 8은 도 6 및 도 7의 기판 홀더 일부의 추가 확대도;
- 도 9 및 도 10은 본 발명의 일 실시예에 따른 기판 홀더 제조 방법의 단계들;
- 도 11 내지 도 14는 본 발명의 일 실시예에 따른 기판 홀더 제조 방법의 단계들;
- 도 15는 본 발명의 일 실시예의 몇몇 예들의 표면 거칠기를 나타낸 그래프;
- 도 16 내지 도 19는 본 발명의 일 실시예에서 평탄화 층의 형성시의 화학적 반응들을 나타낸 도;
- 도 20은 본 발명의 일 실시예에 따른 기판 홀더의 단면도;
- 도 21은 도 20의 기판 홀더의 평면도;
- 도 22는 도 20의 기판 홀더 일부의 확대 평면도;
- 도 23은 본 발명의 일 실시예에 따른 기판 홀더의 단면도;
- 도 24는 본 발명의 일 실시예에 따른 기판 홀더의 단면도;
- 도 25는 본 발명의 일 실시예에 따른 기판 홀더의 단면도;
- 도 26은 본 발명의 일 실시예에 따른 기판 홀더의 단면도;
- 도 27a 내지 도 27e는 본 발명의 일 실시예에서 이용가능한 센서들의 배치들을 나타낸 도;
- 도 28a 내지 도 28h는 본 발명의 일 실시예에서 이용가능한 센서들의 단면 배치들;
- 도 29는 본 발명의 일 실시예에서 이용가능한 센서의 평면도;
- 도 30은 본 발명의 일 실시예에서 이용가능한 감지 회로를 나타낸 도;
- 도 31은 도 30의 회로의 커넥터 및 센서의 확대도;
- 도 32는 본 발명의 일 실시예에서 이용가능한 측정 회로를 나타낸 도;
- 도 33은 본 발명의 일 실시예에서 이용가능한 센서들 및 히터들의 배치를 나타낸 도;
- 도 34는 본 발명의 일 실시예에서 이용가능한 센서의 평면도;
- 도 35는 본 발명의 일 실시예에서 이용가능한 측정 회로를 나타낸 도;
- 도 36은 본 발명의 일 실시예에서 이용가능한 측정 회로를 나타낸 도;
- 도 37은 본 발명의 일 실시예에서 얻어진 시뮬레이트된 신호들을 나타낸 도;
- 도 38a 및 도 38b는 본 발명의 일 실시예에서 이용가능한 커넥터들을 나타낸 도;
- 도 39a 및 도 39b는 도 38의 커넥터들의 유효 면적들의 차이들을 나타낸 도;
- 도 40 및 도 41은 위상 내에서 연결되는 센서들 및 위상을 벗어나 연결되는 센서들의 노이즈를 각각 나타낸 도;
- 도 42는 본 발명의 일 실시예에서 이용가능한 측정 회로를 나타낸 도;
- 도 43은 본 발명의 일 실시예에서 이용가능한 측정 회로를 나타낸 도;
- 도 44는 본 발명의 일 실시예에 따른 리소그래피 장치를 나타낸 도;
- 도 45는 도 44의 장치의 보다 상세한 도;
- 도 46은 도 44 및 도 45의 소스 컬렉터의 보다 상세한 도이다.
도 1 및 도 44는 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 나타내고 있다. 상기 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선, DUV 방사선 또는 EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 특정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT) - 본 명세서에 기술된 바와 같은 기판 홀더가 기판 테이블(WT) 상에서 기판(W)을 유지하는 데 이용될 수 있음 - ; 및
- 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 투영하도록 구성된 투영 시스템(예를 들어, 굴절 또는 반사 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 그들의 여하한 조합과 같은 다양한 형태의 광학 구성요소들을 포함할 수 있다.
지지 구조체(MT)는 패터닝 디바이스를 유지시킨다. 지지 구조체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지시킨다. 지지 구조체(MT)는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체(MT)는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체(MT)는, 패터닝 디바이스가, 예를 들어 투영 시스템에 대해 원하는 위치에 있도록 보장할 수 있다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어들의 사용은 "패터닝 디바이스"라는 보다 일반적인 용어와 동의어로서 간주될 수 있다.
본 명세서에서 이용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 패턴이 위상-시프팅 피처들(phase-shifting features) 또는 소위 어시스트 피처들(assist features)을 포함하는 경우 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 데 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입뿐만 아니라, 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, "조명 시스템"이라는 용어 같이 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭, 자기, 전자기 및 정전기 광학 시스템, 또는 그들의 여하한의 조합을 포함하는 어떠한 타입의 투영 시스템도 포괄하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. 조명 시스템 같은 투영 시스템은, 사용되는 노광 방사선에 대하여, 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들과 같은 다양한 타입의 구성요소들, 또는 다른 타입의 광학 구성요소, 또는 그들의 조합을 포함할 수 있다. 다른 가스들은 지나치게 많은 방사선을 흡수할 수 있기 때문에 EUV 방사선을 위해서는 진공을 이용하는 것이 바람직할 수 있다. 그러므로, 진공 벽 및 진공 펌프의 도움으로 전체 빔 경로에 진공 환경이 제공될 수도 있다.
도 1에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성될 수 있다. 대안적으로는, 도 44에 도시된 바와 같이, 상기 장치는 (예를 들어, 상술된 타입의 프로그램가능한 거울 어레이를 채용하거나, 또는 반사 마스크를 채용하는) 반사형으로 구성될 수도 있다.
리소그래피 장치는 듀얼 스테이지라고도 언급되는 2 개 이상의 테이블들[또는 스테이지(들) 또는 지지체(들)], 예를 들어 1 개 이상의 기판 테이블 또는 1 이상의 기판들과 1 이상의 센서나 측정 테이블들로 이루어질 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블들이 병행하여 사용되거나, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블 상에서는 준비작업 단계가 수행될 수 있다. 리소그래피 장치는 기판, 센서 및 측정 테이블들과 유사한 방식으로 병행하여 사용될 수 있는 2 개 이상의 패터닝 디바이스 테이블들[또는 스테이지(들) 또는 지지체(들)]을 가질 수도 있다.
도 1 및 도 44를 참조하면, 일루미네이터(IL)는 도 1의 방사선 소스(SO) 또는 도 44의 소스 컬렉터 장치(SO)로부터 방사선 빔을 수용한다. 예를 들어, 상기 소스가 엑시머 레이저(excimer laser)인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 상기 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사선 빔은, 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 전달된다. 다른 경우, 예를 들어 상기 소스가 수은 램프인 경우, 상기 소스는 리소그래피 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
EUV 광을 생성하기 위한 방법들은, EUV 범위 내에서 1 이상의 방출 라인들로 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 플라즈마 상태로 재료를 전환시키는 단계를 포함하지만, 반드시 이들로만 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라 칭해지는 이러한 한 가지 방법에서, 플라즈마는 필요한 라인-방출(line-emitting) 요소를 갖는 재료의 액적(droplet), 줄기 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 장치(SO)는 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 44에 도시되지 않음)를 포함하는 EUV 방사선 시스템의 일부일 수 있다. 그로 인해 생성된 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 장치에 배치되는 방사선 컬렉터를 이용하여 수집된다. 레이저 및 소스 컬렉터 장치는, 예를 들어 CO2 레이저가 연료 여기용 레이저 빔을 제공하는 데 이용되는 경우 별도의 개체일 수 있다. 이러한 경우에, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사선 빔은, 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 장치로 전달된다. 다른 경우, 예를 들어 상기 소스가 방전 생성 플라즈마 EUV 발생기 - 흔히 DPP 소스라 칭해짐 - 인 경우 소스 컬렉터 장치의 통합부일 수도 있다.
상기 일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하도록 구성된 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 상술된 외부 반경 및/또는 내부 반경 크기(통상적으로, 각각 값 σouter 및 σinner라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 거울 디바이스(facetted field mirror device) 및/또는 퓨필 거울 디바이스(pupil mirror device)와 같은 다양한 다른 구성요소들을 포함할 수 있다. 일루미네이터는 방사선 빔의 단면이 원하는 균일성(uniformity) 및 세기 분포(intensity distribution)를 가질 수 있도록, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다. 상기 소스(SO)와 유사하게, 일루미네이터(IL)는 리소그래피 장치의 일부를 형성하는 것으로 간주되거나 또는 그렇지 않을 수 있다. 예를 들어, 일루미네이터(IL)느리소그래피 장치의 통합부이거나 또는 리소그래피 장치와는 별개의 개체일 수 있다. 후자의 경우에, 리소그래피 장치는 그 위에 일루미네이터(IL)가 장착될 수 있도록 구성될 수 있다. 선택적으로, 일루미네이터(IL)는 (리소그래피 장치 제조업자 또는 다른 공급자에 의해) 탈착가능하고 개별적으로 제공될 수도 있다.
상기 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 패터닝 디바이스(MA)를 가로지른 후, 상기 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 상기 투영 시스템은 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF, PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은, 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)(도 1에 명확히 도시되지 않음)는, 마스크 라이브러리로부터의 기계적인 회수 후에, 또는 스캔 도중에 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있다]. 이와 유사하게, 패터닝 디바이스(MA) 상에 1 이상의 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 45는 소스 컬렉터 장치(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하는 EUV 장치(4100)를 보다 상세히 도시하고 있다. 상기 소스 컬렉터 장치(SO)는 소스 컬렉터 장치(SO)의 인클로징 구조체(enclosing structure; 4220) 내에 진공 환경이 유지될 수 있도록 구성 및 배치된다. EUV 방사선 방출 플라즈마(4210)는 방전 생성 플라즈마 소스에 의하여 형성될 수 있다. EUV 방사선은, 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma; 4210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(4210)는, 예를 들어 전기적 방전에 의해 전체 또는 부분적으로 이온화된 플라즈마를 유도함으로써 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서는, 여기된 주석(Sn)의 플라즈마가 제공되어 EUV 방사선을 발생시킨다.
초고온 플라즈마(4210)에 의하여 방출된 방사선은, 소스 챔버(source chamber: 4211) 내의 개구부(opening) 내에 또는 그 뒤에 위치되는 선택적 가스 방벽 또는 오염물 트랩(contaminant trap: 4230)[몇몇 경우에는, 오염물 방벽 또는 포일 트랩(foil trap)으로도 칭해짐]을 통해, 소스 챔버(4211)로부터 컬렉터 챔버(collector chamber: 4212) 내로 전달된다. 오염물 트랩(4230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(4230)은 가스 방벽 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 보다 자세히 낱낸 오염물 트랩 또는 오염물 방벽(4230)은 적어도 업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(4212)는 소위 그레이징 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(SO)를 포함한다. 방사선 컬렉터(SO)는 상류 방사선 컬렉터 측(4251) 및 하류 방사선 컬렉터 측(4252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(4240)로부터 반사되어, 가상 소스 지점(virtual source point)(IF)에 포커스될 수 있다. 가상 소스 지점(IF)은 통상적으로 중간 포커스라 지칭되며, 소스 컬렉터 장치는 중간 포커스(IF)가 인클로징 구조체(4220)의 개구부나 그 부근에 위치되도록 배치된다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(4210)의 이미지이다.
후속하여, 방사선은, 패터닝 디바이스(MA)에서 방사선 빔(421)의 원하는 각도 분포를 제공할 뿐만 아니라 패터닝 디바이스(MA)에서 방사선 빔의 원하는 균일성을 제공하도록 배치되는 패싯 필드 거울 디바이스(422) 및 패싯 퓨필 거울 디바이스(424)를 포함하는 조명 시스템(IL)을 가로지른다. 지지 구조체(MT)에 의하여 유지되는 패터닝 디바이스(MA)에서 방사선(421) 빔의 반사시, 패터닝된 빔(426)이 형성되며 패터닝된 빔(426)은 투영 시스템(PS)에 의하여 반사 요소들(428, 430)을 통해 기판 테이블(WT)에 의하여 유지되는 기판(W) 상으로 이미징된다.
조명 광학 유닛(IL) 및 투영 시스템(PS)에는 일반적으로 도시된 것보다 많은 요소들이 존재할 수 있다. 리소그래피 장치의 타입에 따라 격자 스펙트럼 필터(4240)가 선택적으로 제공될 수 있다. 도면들에 도시된 것들보다 많은 수의 거울들이 존재할 수 있으며, 예를 들어 투영 시스템(PS)에는 도 45에 도시된 것보다 1 내지 6 개의 추가 반사 요소들이 제공될 수 있다.
도 45에 예시된 컬렉터 광학기(CO)는 컬렉터(컬렉터 거울)의 예시처럼 그레이징 입사 반사기들(4253, 4254 및 4255)을 갖는 네스티드 컬렉터(nested collector)로서 도시되어 있다. 그레이징 입사 반사기들(4253, 4254 및 4255)은 광학 축(O) 주위에서 축방향 대칭으로 배치되며, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라 칭해지는 방전 생성 플라즈마 소스와 조합하여 이용되는 것이 바람직하다.
대안적으로, 소스 컬렉터 장치(SO)는 도 46에 도시된 바와 같이 LPP 방사선 시스템의 일부일 수 있다. 레이저(LA)는 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 가하여(deposit) 고도로 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(4210)를 생성하도록 배치된다. 이들 이온들의 탈여기(de-excitation) 및 재조합 동안 발생되는 강한 방사선(energetic radiation)은 부근 수직 입사 컬렉터 광학기(CO)에 의하여 수집되고 인클로징 구조체(4220)의 개구부(4221) 상으로 포커싱되는 플라즈마로부터 방출된다.
많은 리소그래피 장치, 예를 들어 침지 리소그래피 장치에서는 보다 작은 피처들의 이미징을 가능하게 하고 및/또는 상기 장치의 유효 NA를 증가시키기 위하여 액체 공급 시스템(IH)을 이용하여 투영 시스템의 최종 요소와 기판 사이에 유체, 특히 액체가 제공된다. 본 발명의 일 실시예는 이러한 침지 장치를 기준으로 보다 상세히 후술되지만, 비-침지 장치에도 동등하게 채용될 수 있다. 투영 시스템의 최종 요소와 기판 사이에 액체를 제공하기 위한 배치들은 적어도 2 개의 일반적인 범주로 분류될 수 있다. 이들은 배스 타입 장치(bath type arrangement) 및 소위 이온화된 침지 시스템이다. 배스 타입 장치에서는, 기판 전체 및 기판 테이블의 선택적 부분이 액체의 배스 내에 잠긴다(submerge). 국부화된 침지 시스템은 기판의 국부화된 영역에만 액체가 제공되는 액체 공급 시스템을 이용한다. 후자의 범주에서, 액체로 채워지는 공간은 기판의 최상부 표면보다 작은 평면으로 이루어지며, 액체로 채워진 영역은 기판이 상기 영역 아래를 이동하는 동안에는 투영 시스템에 대해 실질적으로 정지되어 유지된다. 본 발명의 일 실시예와 관련된 또 다른 구성은 액체가 한정되지 않는 완전 습윤 해법(all wet solution)이다. 이 구성에서는, 실질적으로 기판의 전체 최상부 표면 및 기판 테이블의 전체 또는 일부가 침지 액체로 덮인다. 적어도 기판을 덮는 액체의 깊이는 작다. 액체는, 기판 상의 액체의 막, 예컨대 박막일 수 있다.
도 2 내지 도 5에는 국부화된 액체 공급 시스템들의 4 가지 상이한 타입들이 예시되어 있다. 도 2 내지 도 5의 액체 공급 디바이스들 중 어떠한 디바이스도 비한정 시스템에서 이용될 수 있으나; 실링 피처들(sealing features)은 존재하지 않고, 활성화되지 않으며, 정상보다 효율적이지 못하거나 또는 국부화된 영역에만 액체를 실링하기에는 비효과적이다.
국부화된 침지 시스템에 대해 제안된 구성들 중 하나는 액체 공급 시스템이 액체 한정 시스템을 이용하여 기판의 국부화된 영역 및 투영 시스템의 최종 요소와 기판 사이에만 액체를 제공하는 것이다(기판은 일반적으로 투영 시스템의 최종 요소보다 큰 면적을 갖는다). 이를 구성하기 위해 제안된 한가지 방법이 PCT 특허 출원 공개공보 WO 99/49504에 개시되어 있다. 도 2 및 도 3에 예시된 바와 같이, 적어도 1 이상의 유입구에 의해 기판 상으로, 바람직하게는 최종 요소에 대한 기판의 이동 방향을 따라 액체가 공급되고, 투영 시스템 아래로 통과한 이후에 적어도 1 이상의 유출구에 의해 제거된다. 즉, 기판이 -X 방향으로 상기 요소 밑에서 스캐닝됨에 따라, 액체는 상기 요소의 +X 측에서 공급되고 -X 측에서 흡수(taken up)된다.
도 2는 액체가 유입구를 통해 공급되고, 저압 소스에 연결되어 있는 유출구에 의해 상기 요소의 다른 측에서 흡수되는 장치를 개략적으로 도시하고 있다. 기판(W) 위의 화살표들이 액체 유동의 방향을 예시하고, 기판(W) 아래의 화살표가 기판 테이블의 이동 방향을 예시한다. 도 2의 예시에서, 액체는 최종 요소에 대한 기판의 이동 방향을 따라 공급되지만, 반드시 이와 같을 필요는 없다. 최종 요소 주위에 다양한 방위 및 개수의 유입구 및 유출구가 위치될 수 있다; 양쪽에 유출구를 갖는 유입구의 4 개의 세트가 최종 요소 주위에 규칙적인 패턴으로 제공되는 일 예시가 도 3에 도시된다. 액체 공급 및 액체 회수 디바이스들에서의 화살표들은 액체 유동의 방향을 나타낸다.
국부화된 액체 공급 시스템을 이용하는 또 다른 침지 리소그래피 해결책이 도 4에 도시된다. 액체는, 투영 시스템(PS)의 양쪽에서 2 개의 홈형 유입구(groove inlet)에 의해 공급되고, 유입구들의 반경방향 바깥쪽으로(radially outwardly) 배치된 복수의 개별 유출구들에 의해 제거된다. 유입구 및 유출구는 그 중심에 홀(hole)을 갖고, 그것을 통해 투영 빔이 투영되는 플레이트(plate) 내에 배치될 수 있다. 액체가 투영 시스템(PS)의 한쪽에서 하나의 홈형 유입구에 의해 공급되고, 투영 시스템(PS)의 다른 쪽에서 복수의 개별 유출구에 의해 제거되어, 투영 시스템(PS)과 기판(W) 사이에 박막의 액체 흐름(flow of a thin film of liquid)을 야기한다. 사용할 유입구 및 유출구의 어떠한 조합을 선택하는가는, 기판(W)의 이동 방향에 따라 정해질 수 있다(유입구 및 유출구의 다른 조합은 활동하지 않음). 도 4의 단면도에서, 화살표들은 유입구로 들어가고 유출구로 나오는 액체의 유동 방향을 예시하고 있다.
제안되어 온 다른 구성은 투영 시스템의 최종 요소와 기판 테이블 사이의 공간 경계부의 적어도 일부를 따라 연장되는 액체 한정 부재를 액체 공급 시스템에 제공하는 것이다. 이러한 구성이 도 5에 예시되어 있다. 액체 한정 부재는 Z 방향(광학 축의 방향)으로 약간의 상대적인 이동이 존재할 수도 있으나 XY 평면에서는 투영 시스템에 대해 실질적으로 정지되어 있다. 액체 한정 구조체 및 기판의 표면 사이에는 시일이 형성된다. 일 실시예에서, 시일은 액체 한정 구조체와 기판의 표면 사이에 형성되며, 가스 시일과 같은 무접촉 시일일 수 있다. 이러한 시스템이 미국특허출원 공개공보 US 2004-0207824에 개시되어 있다.
도 5는 유체 핸들링 구조체(12)를 갖는 국부화된 액체 공급 시스템을 개략적으로 나타내고 있다. 유체 핸들링 구조체는 투영 시스템의 최종 요소와 기판 테이블(WT) 또는 기판(W) 사이 공간 경계의 전체 또는 일부분을 따라 연장된다[또한, 본 명세서에서 기판(W)의 표면에 대한 언급은 구체적인 다른 설명이 없는 한, 추가적으로 또는 대안적으로 기판 테이블의 표면을 칭한다는 데 유의해야 한다.] 유체 핸들링 구조체(12)는 Z 방향(광학 축선의 방향)으로 약간의 상대 이동이 있을 수 있지만, XY 평면에서는 투영 시스템(PS)에 대해 실질적으로 정지 상태이다. 일 실시예에서, 몸체(12)와 기판(W)의 표면 사이에 시일이 형성되고, 이는 유체 시일, 바람직하게는 가스 시일과 같은 무접촉 시일일 수 있다.
유체 핸들링 구조체(12)는, 전체 또는 부분적으로 투영 시스템(PS)의 최종 요소와 기판(W) 사이의 공간(11)에 액체를 포함한다. 기판(W)에 대한 무접촉 시일(16)은, 기판(W) 표면과 투영 시스템(PS)의 최종 요소 사이의 공간 내에 액체가 한정되도록 투영 시스템의 이미지 필드 주위에 형성될 수 있다. 상기 공간은 투영 시스템(PS)의 최종 요소 아래에서 그를 둘러싸 위치되는 유체 핸들링 구조체(12)에 의해 전체 또는 부분적으로 형성된다. 액체 유입구(13)에 의해 투영 시스템(PS) 밑의 공간 및 유체 핸들링 구조체(12) 내의 공간(11)으로 액체가 유입된다. 상기 액체는 액체 유출부(13)에 의해 제거될 수 있다. 유체 핸들링 구조체(12)는 투영 시스템(PS)의 최종 요소 위로 약간 연장될 수 있다. 액체 레벨이 상기 최종 요소 위로 솟아올라 액체의 버퍼(buffer)가 제공된다. 일 실시예에서, 유체 핸들링 구조체(12)는 상단부(upper end)에서 투영 시스템(PS) 또는 그 최종 요소의 형상과 일치하고(conform), 예를 들어 원형일 수 있는 내부 주변부(inner periphery)를 갖는다. 저부에서, 내부 주변부는 이미지 필드의 형상, 예를 들어 직사각형과 일치하지만, 반드시 그런 것은 아니다.
사용시 유체 핸들링 구조체(12)의 바닥부와 기판(W) 표면 사이에 형성되는 가스 시일(16)에 의해 액체가 공간(11) 내에 포함된다. 가스 시일(16)은 가스, 예를 들어 공기 또는 합성 공기(synthetic air)에 의해 형성되지만, 일 실시예에서는 N2 또는 또 다른 비활성 기체(inert gas)에 의해 형성된다. 가스 시일(16) 내의 가스는 압력을 받아 유입구(15)를 통해 유체 핸들링 구조체(12)와 기판(W) 사이의 갭(gap)에 제공된다. 상기 가스는 유출구(14)를 통해 추출된다. 가스 유입구(15) 상의 과압력(overpressure), 유출구(14) 상의 진공 레벨 및 갭의 지오메트리(geometry)는, 안쪽으로 액체를 한정시키는 고속 가스 흐름(high-velocity gas flow; 16)이 존재하도록 배치된다. 유체 핸들링 구조체(12)와 기판(W) 사이의 액체에 대한 가스의 힘이 공간(11) 내에 액체를 포함한다. 상기 유입구/유출구는 공간(11)을 둘러싸는 환형의 홈들일 수 있다. 환형의 홈들은 연속적이거나 불연속적일 수 있다. 가스의 흐름(16)은 공간(11) 내에 액체를 포함하는데 효과적이다. 이러한 시스템은, 예를 들어 미국특허출원 공개공보 US 2004-0207824에 개시되어 있다.
도 5의 예시는 소정의 시점에 기판(W) 최상면의 국부화된 영역에만 액체가 제공되는 소위 국부화된 영역 구성이다. 예를 들어, 미국특허출원 공개공보 US 2006-0038968호에 개시된 바와 같이, 단상 추출기(single phase extractor) 또는 2상 추출기를 사용하는 유체 핸들링 시스템들을 포함한 다른 구성들이 가능하다.
가능한 다른 구성에는 가스 드래그 원리(gas drag principal)로 작용하는 구성이 있다. 소위 가스 드래그 원리는, 예를 들어 미국특허출원 공개공보 US 2008-0212046, US 2009-0279060, 및 US 2009-0279062에 개시되어 있다. 상기 시스템에서는, 바람직하게는 코너를 갖는 형상으로 추출 홀들이 배치된다. 상기 코너는 스테핑 방향 또는 스캐닝 방향과 정렬될 수 있다. 이는, 2 개의 유출구들이 스캔 방향과 수직하게 정렬될 경우와 비교하여 스텝 방향 또는 스캔 방향으로의 주어진 속도에 대해 유체 핸들링 구조체 표면의 2 개의 개구부들 사이의 매니스커스 상의 힘을 저감시킨다.
또한, US 2008-0212046에는 메인 액체 회수 특징부들 외측에 반경방향으로 위치되는 가스 나이프(gas knife)가 개시되어 있다. 가스 나이프는 메인 액체 회수 특징부(main liquid retrieval feature)를 지나는 어떠한 액체도 트래핑(trap)한다. 이러한 가스 나이프는 소위 가스 드래그 구성(US 2008-0212046에 개시됨), 단상 또는 2상 추출기 구성(예컨대 미국특허출원 공개공보 US 2009-0262318에 개시됨), 또는 여타 구성으로 제공될 수 있다.
많은 다른 타입의 액체 공급 시스템이 가능하다. 본 발명은 특정 타입의 액체 공급 시스템이나 침지 리소그래피로 제한되지 않는다. 본 발명은 어떠한 리소그래피에도 동등하게 적용될 수 있다. EUV 리소그래피 장치에서, 빔 경로는 실질적으로 비워지고, 상술된 침지 구성들은 이용되지 않는다.
도 1에 도시된 제어 시스템(500)은 리소그래피 장치의 전체 작동들을 제어하며, 특히 후술되는 최적화 프로세스를 수행한다. 제어 시스템(500)은 중앙 처리 장치, 휘발성 및 비-휘발성 저장 수단, 1 이상의 입력 장치 및 출력 장치, 예컨대 키보드 및 스크린, 1 이상의 네트워크 커넥션들 및 리소그래피 장치의 다양한 부분들에 대한 1 이상의 인터페이스들을 포함하는 적절하게-프로그래밍된 일반적 범용 컴퓨터로서 채용될 수 있다. 제어 컴퓨터와 리소그래피 장치와의 1 대 1 관계는 필요하지 않다는 것을 이해하여야 한다. 본 발명의 일 실시예에서는 한 대의 컴퓨터가 다수의 리소그래피 장치들을 제어할 수 있다. 본 발명의 일 실시예에서는, 다수의 네트워크 컴퓨터들이 하나의 리소그래피 장치를 제어하는 데 이용될 수 있다. 또한, 제어 시스템(500)은 리소그래피 장치가 그 일부를 형성하는 리소셀(lithocell) 또는 클러스터(cluster)에서 1 이상의 연관된 프로세스 디바이스들 및 기판 핸들링 디바이스들을 제어하도록 구성될 수도 있다. 또한, 제어 시스템(500)은 팹의 전체 제어 시스템 및/또는 리소셀 또는 클러스터의 감독 제어 시스템(supervisory control system)에 종속되도록 구성될 수도 있다.
도 6은 본 발명의 일 실시예에 따른 기판 홀더(100)를 도시하고 있다. 이는 기판 테이블(WT)의 후퇴부 내에서 유지되고 기판(W)을 지지한다. 일 실시예에서, 기판 홀더(100a)의 본체는 실질적으로 편평하고, 기판(W), 예를 들어 편평한 플레이트, 가령 디스크의 형상 및 크기에 대응된다. 적어도 최상부 측, 일 실시예에서는 양 측에는, 기판 홀더가 통상적으로는 버얼들이라 칭해지는 돌출부들(106)을 갖는다. 일 실시예에서, 기판 홀더는 기판 테이블의 통합부이며, 하부면 상에 버얼들을 갖지 않는다. 도 6에서 버얼들은 제 스케일대로 도시되어 있지 않다. 실제실시예에서는, 예를 들어 200 mm, 300 mm 또는 450 mm 직경의 기판 홀더를 가로질러 많은 수의 버얼들이 존재할 수 있다. 기판 홀더(100)의 일 측 상의 버얼들 모두의 총 면적이 기판 홀더(100) 전체 표면적의 총 면적의 대략 10 %보다 작도록 버얼들의 선단들(tips)은 작은 면적, 예를 들어 1 mm2보다 작은 면적을 갖는다. 지지체 상의 버얼의 배치로 인하여, 기판이나, 기판 홀더 또는 기판 테이블의 표면에 놓일 수 있는 입자가 버얼들 사이에 떨어지며, 따라서 기판 또는 기판 홀더의 변형을 초래하지 않을 높은 가능성이 존재한다. 패턴을 형성할 수도 있는 버얼의 배치는 규칙적이거나 또는 기판 및 기판 홀더 상의 힘의 적절한 분포를 제공하는 것이 바람직할 때 변경될 수도 있다. 버얼들은 어떠한 형상의 평면도 가질 수 있으나, 통상적으로는 원형의 평면으로 되어 있다. 버얼들은 그들 높이 전체에 걸쳐 동일한 형상과 치수를 가질 수 있으나, 통상적으로는 테이퍼져(tapered) 있다. 버얼들은, 기판 홀더(100a) 본체의 나머지 표면으로부터 대략 1 ㎛ 내지 대략 5 mm, 바람직하게는 대략 5 ㎛ 내지 대략 250 ㎛의 거리만큼 돌출될 수 있다. 기판 홀더(100) 본체(100a)의 두께는 대략 1 mm 내지 대략 50 mm, 바람직하게는 대략 5 mm 내지 20 mm의 범위 내, 통상적으로 10 mm일 수 있다.
본 발명의 일 실시예에서, 기판 홀더(100)는 강성(rigid) 재료로 만들어진다. 상기 재료는 높은 열 전도성 또는 낮은 열 팽창 계수를 갖는 것이 바람직하다. 적합한 재료에는 SiC(실리콘 카바이드), SiSiC[실리콘나이즈드 실리콘 카바이드(siliconized silicon carbide)], Si3N4(실리콘 나이트라이드), 쿼츠(quartz), 및/또는 다양한 다른 세라믹 및 유리 세라믹들, 예컨대 ZerodurTM 유리 세라믹이 포함된다. 기판 홀더(100)는 관련 재료의 고체 디스크로부터 재료를 선택적으로 제거해 돌출 버얼들을 남김으로써 제조될 수 있다. 재료를 제거하기에 적합한 기술에는 EDM(electrical discharge machining), 에칭, 기계가공 및/또는 레이저 제거(laser ablation)가 포함된다. 이들 기술들 중 몇몇은 거친 표면, 예를 들어 수 미크론 정도의 거칠기 값(Ra)을 갖는 거친 표면을 남긴다. 이들 제거 기술들을 이용하여 얻을 수 있는 최소 거칠기는 재료 특성들 및 버얼 제조 프로세스로부터 유도될 수 있다. 예를 들어, SiSiC와 같은 2-상 재료의 경우에, 달성될 수 있는 최소 거칠기는 2-상 재료의 입자 크기에 의하여 결정된다. 또한, 기판 홀더는 마스크를 통해 버얼들을 성장시킴으로써 제조될 수도 있다. 버얼들을 베이스와 같은 재료로 이루어지고, 물리적 증기 증착 프로세스(physical vapor deposition process) 또는 스퍼터링(sputtering)에 의하여 성장될 수 있다.
이러한 잔류 거칠기는 기판 표면에서의 1 이상의 박막 구성요소들과 같은 1 이상의 전기적 구성요소들의 형성을 어렵게 하며, 이러한 구성요소들을 신뢰할 수 없게 한다. 이러한 문제들은 전자 구성요소들을 형성하기 위해 기판 홀더 상에 코팅되거나 또는 성장되는 얇은 층에 갭 또는 크랙들을 야기하기 때문에 발생할 수 있다. 박막 구성요소들은 대략 2 nm 내지 100 ㎛ 범위의 층 두께를 가질 수 있으며, 화학적 증기 증착, 물리적 증기 증착(예를 들어, 스퍼터링), 딥 코팅(dip coating), 스핀 코팅, 및/또는 스프레이 코팅을 포함하는 프로세스에 의해 형성될 수 있다. 일 실시예에서, 기판 홀더 상에 형성되는 구성요소는 박막 스택, 즉 복수의 박막 층들을 포함한다. 이러한 구성요소들에 대해서는 후술된다.
기판 테이블 상에 형성될 전자 구성요소에는, 예를 들어 전극, 저항성 히터(resistive heater), 및/또는 센서, 예컨대 (비-제한적인 리스트로서) 스트레인 센서(strain sensor), 자기 센서, 압력 센서, 용량성 센서 또는 온도 센서가 포함된다. 히터 및 센서는, 예를 들어 전기 시스템 또는 회로 내에 포함될 경우 기판 홀더 또는 기판에서의 원하거나 또는 유도된 원하는 온도 변화 및 응력을 저감시키기 위하여 기판 홀더 및/또는 기판의 온도를 국부적으로 제어하거나 및/또는 모니터링하는 데 이용될 수 있다. 바람직하게는, 히터 및 센서가 서로 같은 영역이나, 그 주위 및/또는 그 위에 배치된다. 기판의 국부적 팽창이나 수축으로 인한 오버레이 오차(overlay error)와 같은 이미징 오차들을 저감시키거나 또는 제거하기 위하여 기판의 온도 및/또는 응력을 제어하는 것이 바람직하다. 예를 들어, 침지 리소그래피 장치에서, 기판 상에서의 잔류 침지 액체(예를 들어, 물)의 증발은 국부화된 냉각을 야기하고, 액체가 배치되는 표면에 열 부하를 가하며, 따라서 기판을 수축시킬 수 있다. 이와는 달리, 노광 동안 투영 빔에 의하여 기판으로 전달되는 에너지는 상당한 가열을 야기하고, 열 부하를 기판에 가하며, 따라서 기판을 팽창시킬 수 있다.
일 실시예에서, 형성될 구성요소는 정전기 클램프를 위한 전극이다. 정전기 클램핑에서, 기판 또는 기판의 하부면에 도금되는 전극과, 기판 테이블 및/또는 기판 홀더 상에 제공되는 전극 사이에 전위차가 조성된다. 2 개의 전극들은 큰 캐패시터로서 거동하고, 실질적인 클램핑력은 적정한 전위차에 의해 발생될 수 있다. 정전기적 구성은, 단일 쌍의 전극들, 즉 기판 테이블 상의 전극과 기판 상의 전극이 기판 테이블, 기판 홀더 및 기판의 완전한 스택을 함께 클램핑하도록 이루어질 수 있다. 일 구성에서는, 기판 홀더가 기판 테이블에 클램핑되고 기판이 별도로 기판 홀더에 클램핑되도록 1 이상의 전극이 기판 홀더 상에 제공될 수 있다.
일 실시예에서, 1 이상의 국부화된 히터들(101)은 기판(W)의 온도를 제어하기 위하여 기판 홀더(100) 및 기판(W)에 원하는 양의 열을 제공하도록 제어기(103)에 의해 제어된다. 1 이상의 온도 센서들(102)은 기판 홀더(100) 및/또는 기판(W)의 온도를 모니터링하는 제어기(104)에 연결된다. 정전기 력이 기판(W), 기판 홀더(100) 및 기판 테이블(WT)을 함께 클램핑하도록, 전압 소스(105)는 기판(W)과 기판 홀더(100) 사이 및 기판 홀더(100)와 기판 테이블(WT) 사이에, 예를 들어 10 내지 5000 볼트의 전위차를 발생시킨다. 일 실시예에서, 전위차는 기판(W) 하부면 상의 전극과 기판 테이블(WT) 후퇴부의 저부 상의 전극 사이에 제공된다. 기판의 온도를 국부적으로 제어하기 위한 1 이상의 히터 및 온도 센서들을 이용하는 구성들은, 본 명세서에서 인용 참조되는 2010년 9월 20일에 출원된 US 61/384,666에 기술되어 있다. 본 명세서에 기술된 구성들은 본 명세서에 기술된 바와 같이 저항성 히터 및 온도 센서를 이용하기 위해 개장될 수 있다.
도 6에 도시된 바와 같이, 기판 홀더 상의 전극 또는 전기 디바이스를 전압 소스(105)에 연결하기 위해 전기 커넥션들이 존재한다. 전기 디바이스가 정전기 클램프인 경우, 기판 상의 전극은 전압 소스(105)에 대한 전기 커넥션을 갖는다. 전기 디바이스들은 기판 지지체의 최상부 표면에 있을 수도 있다. 전기 커넥션의 적어도 일부는 본 명세서에서 인용 참조되는, 2011년 11월 3일에 출원된 미국특허 일련번호 61/555,359에 기술된 바와 같은 기판 지지체의 몸체를 통과할 수 있다.
도 7은 상부 표면(107) 및 몇몇 버얼들(106)을 단면으로 나타낸 도 6의 기판 홀더(100) 일부의 확대도이다. 본 발명의 일 실시예에서, 버얼들(106) 사이의 적어도 몇몇 영역의 상부 표면(107)에는 평탄화 층(planarization layer; 108)이 제공된다. 일 실시예에서, 평탄화 층은 전자 구성요소가 형성될 곳에만 제공되거나 또는 기판 홀더(100)의 실질적인 전체 상부 표면을 가로질러 제공될 수 있다. 도 8은 평탄화 층(108)의 추가 확대도이다. 알 수 있듯이, 평탄화 층은 상부 표면(107)의 거친부분 내에 채워지고 표면(107)보다 실질적으로 더 매끈한 상부 표면(108a)을 제공한다. 본 발명의 일 실시예에서, 표면(108a)의 거칠기(Ra)는 대략 1.5 ㎛ 작으며, 바람직하게는 대략 1 ㎛보다 작거나, 또는 대략 0.5 ㎛보다 작다. 일 실시예에서, 0.2 ㎛ 보다 작은 표면(108a)의 거칠기(Ra)는 경화 후 버얼들 사이에 평탄화 층(108)을 폴리싱함으로써 얻어진다.
일 실시예에서, 평탄화 층(108)은 코팅 재료 또는 전구체 재료의 복수의 층, 예를 들어 2 개의 층을 적용함으로써 형성된다. 일 실시예에서, 평탄화 층(108)은 단일 층의 코팅 재료 또는 전구체 재료를 적용함으로써 형성될 수 있다. 평탄화 층의 재료에 따라, 형성된 코팅의 검사로부터 그것이 다수의 서브-층을 형성함으로써 적용되었는지를 결정할 수 있다. 일 실시예에서, 평탄화 층(108)의 다수의 서브-층들은 동일한 재료로 형성된다. 일 실시예에서, 평탄화 층(108)의 다수의 서브-층들은 상이한 재료들로 형성된다. 적합한 재료들에 대해서는 후술된다.
일 실시예에서, 평탄화 층(108)은 각각의 Si 원자에 부착되는 기능 그룹을 갖는 실리콘 옥사이드 또는 실리콘 나이트라이드-계 화합물로 형성된다. 상기 기능 그룹은, 하이드로젠, 메틸, 플루오로, 비닐 등으로 이루어진 그룹으로부터 선택될 수 있다. 일 실시예에서, 평탄화 층(108)은 Si(CH3)2Ox로 형성된다. 일 실시예에서, 평탄화 층은 SiOx, 예를 들어 SiO2로 형성된다. 일 실시예에서, 평탄화 층은 벤조시클로부텐(benzocyclobutene)(BCB)으로 형성된다. 일 실시예에서, 평탄화 층은 폴리이미드 코팅 재료로 형성된다. 이러한 재료의 적용 방법은 본 명세서에서 인용 참조되는 미국특허 US 7,524,735에 기술되어 있다. 일 실시예에서, 평탄화 층은 Si(CH3)2N 및 Si(CH3)2O 백본들로 이루어진 폴리머 체인들로 형성된다.
평탄화 층은 대략 0.2 ㎛ 내지 대략 200 ㎛, 바람직하게는 대략 2 ㎛ 내지 대략 30 ㎛ 또는 바람직하게는 대략 10 ㎛ 내지 대략 20 ㎛ 범위 내의 두께를 가질 수 있다. 평탄화 층은 기판 홀더 표면의 거친 곳 대부분 또는 모두를 채우기 위해 충분히 두꺼운 것이 바람직하다. 평탄화 층이 너무 두꺼울 경우에는, 경화 동안 크랙이 더 쉽게 발생된다. 복수의 별도의 코팅 내에 평탄화 층을 적용하면 이러한 크랙발생의 가능성을 줄이고 최종 층의 표면 거칠기를 저감시킬 수 있다.
일 실시예에서, 평탄화 층(108)은 실리콘-계 평탄화 층을 형성하기 위해 폴리시라잔(polysilazane) 용액 - 이후 경화되어 실리콘-계 평탄화 층을 형성함 - 으로 기판 홀더(100)를 코팅함으로써 적용된다. 관련된 반응이 도 16에 도시되어 있다. 일 실시예에서, 폴리시라잔 용액은 스프레이 기술에 의해 적용된다. 추가적으로 또는 대안적으로, 증착 및 스핀 코팅과 같은 다른 기술이 이용될 수 있다. 도 17 내지 도 19는 본 발명의 일 실시예에서 평탄화 층을 형성하는 데 이용될 수 있는 다른 반응을 도시하고 있다. 도 17은 단지 수성 매체만을 거쳐 처리되는 반응을 나타내고 있다. 도 18은 열의 존재시의 수성 매체에서 처리되는 반응을 나타내고 있다. 도 19는 열의 존재시의 수성 매체에서 처리되는 다른 반응을 나타내고 있다. 도 17 내지 도 19 각각에서, R은 하이드로젠, 메틸, 플루오로로 이루어진 그룹으로부터 선택된 기능 그룹을 나타낸다. 일 실시예에서, 폴리머 층은 반응성 폴리실라잔(reactant polysilazane)로부터의 -Si-N- 백본들 및 반응 생성물들로부터의 -Si-O- 백본들의 혼합물을 포함한다.
평탄화 층은 박막 구성요소의 형성을 위해 금속 층이나 다른 층의 신뢰성 있는 형성을 위해 충분히 매끈한 표면을 제공한다. 특히, 기판 홀더를 형성하는 데 이용되는 몇몇 재료들을 필요로 할 수 있는 유리 접합 단계들은 불필요할 수도 있다.
도 9 및 도 10은 평탄화 층(108)을 적용하는 방법의 일 실시예의 단계들을 예시하고 있다. 도 9에 도시된 바와 같이, 폴리실라잔 용액은 기판 홀더(100)의 상부 표면(107)을 가로질러 스프레이되고 경화되어 연속적인 층을 형성한다. 초기, 이 층은 버얼들(106)과 그들 사이의 공간들까지 덮는다. 제 2 단계 - 그 결과가 도 10에 도시됨 - 에서는, 버얼들(106)의 최상부로부터 평탄화 재료가 제거된다. 이 제거 단계는 알려진 기술, 예컨대, 기계가공[랩핑(lapping) 또는 폴리싱], 레이저를 이용한 화학 프로세스(예컨대 에칭), 및/또는 화학적 기계적 폴리싱(CMP)을 이용하여 수행될 수 있다. 이 방법은 단지 2 개의 단계만이 관련되어 신속하다는 장점을 갖는다.
도 11 내지 도 14는 평탄화 층(108)을 적용하는 방법의 추가 실시예의 단계들을 예시하고 있다. 이 방법에서는, 기판 홀더(100)의 상부 표면(107) 전체에 포토레지스트(110)가 적용된다. 그 다음, 포토레지스트가 선택적으로 노광되고, 포토레지스트가 포지트브인지 또는 네거티브인지에 따라 노광되거나 또는 노광되지 않은 포토레지스트가 제거되어, 도 12에 도시된 바와 같이 포토레지스트(110)가 버얼들(106)만을 덮은 상태로 유지되게 한다. 그 다음, 도 13에 도시된 바와 같이, 평탄화 재료(108)가 적용된다. 마지막으로, 버얼들(106) 사이의 공간에만 평탄화 재료(108)가 남도록 나머지 포토레지스트가 제거된다.
상술된 방법들 모두에서, 평탄화 층(108)은 표면 거칠기를 줄이기 위해 여러 코팅 단계들로 적용될 수 있다. 도 15는 본 발명의 일 실시예에 따른 기판 홀더들의 4 가지 샘플의 평탄화 층들(참조부호 1 내지 4로 나타냄)에 대한 거칠기 값들(Ra)을 ㎛ 단위로 나타낸 그래프이다. 기판 홀더는 SiSiC 재료로 이루어졌고, 도 15의 A에 도시된 바와 같이 코팅이나 다른 처리 이전에 2.45 ㎛의 표면 거칠기(Ra)를 갖는다. 그 다음, 샘플들은 폴리실라잔 용액(Clariant Advanced Materials GmbH로부터 얻은 CAG37)으로 스프레이 코팅되고 건조된다. 샘플 1과 3의 경우에, 적용되는 용액의 양은 2.4 ㎛의 층 두께를 얻기에 충분하다. 샘플 2와 4의 경우에는, 4 ㎛의 층 두께를 얻기 위해 더 많은 양이 적용된다. 경화 후에, 도 15의 B에 도시된 바와 같이, 샘플 1 및 3의 표면 거칠기(Ra)는 1.04 ㎛로 측정되었고, 샘플 2 및 4의 표면 거칠기는 1.05 ㎛인 것으로 측정되었다.
제 2 층이 적용되기 전에, 제 1 층들은 그들을 대략 1 분 동안 공기 플라즈마에 노출시킴으로써 친수화되었다. 이 단계는, 단일 층만 적용될 경우 또는 적용되는 재료가 소수성이 아닌 경우에는 생략될 수 있다. 제 2 층을 형성하기 위해 적용되는 재료의 양들이 변한다. 샘플 1 및 2는 2.4 ㎛의 코팅을 형성하도록 적용되는 용액의 양을 갖는 한편, 샘플 3 및 4는 4 ㎛ 두께의 코팅을 형성하도록 적용되는 용액의 양을 갖는다. 제 2 코팅의 경화 후에, 샘플 1 내지 4의 거칠기(Ra) 값들은 도 15에서 C에 도시된 바와 같이 각각, 0.37 ㎛, 0.46 ㎛, 0.63 ㎛ 및 0.44 ㎛로 측정되었다. 이러한 결과들로부터, 2-단계의 코팅 기술에 의해 개선된 표면 거칠기가 얻어질 수 있으며, 제 2 코팅 층의 두께가 적용된 제 1 코팅 층의 두께보다 두껍지 않은 것이 바람직할 수 있다.
층 두께 및 측정된 거칠기가 표 1에 나타나 있다:
샘플: 1 2 3 4
제 1 코팅 두께(㎛) 2.4 4 2.4 4
제 2 코팅 두께(㎛) 2.4 2.4 4 4
코팅되지 않은 거칠기(Ra)(㎛) 2.45 2.45 2.45 2.45
첫 번째 코팅 후의 거칠기(Ra)(㎛) 1.04 1.05 1.04 1.05
두 번째 코팅 후의 거칠기(Ra)(㎛) 0.37 0.46 0.63 0.44
층의 프로파일 및 Ra를 측정하기 위하여 층에 걸쳐 스캐닝되는 반경 2 ㎛의 다이아몬드 선단을 갖는 Taylor Hobson stylus profiler를 이용하여 얻어진, 위에 주어진 거칠기 값들은 윤곽 맵(contour map)으로부터 평가된다. 이를 대신하여, 다른 등가의 기구들 및 방법들이 이용될 수도 있다.
도 20에 도시된 바와 같이, 본 발명의 일 실시예는 다음의 일반적인 순서, 즉 1) 평탄화 층(108), 2) 절연 층(201)(필요시), 3) 금속 라인들(202) 및 4) 최상부 절연 층(203)의 순서로, 버얼들(106) 사이의 기판 홀더(100)의 (SiSiC) 표면에 박막 스택(200)을 형성하는 상이한 층들의 증착을 포함하여 이루어진다. 본 발명의 일 실시예에서, (상술된 것과는) 다른 재료들로 형성되는 기판 홀더 또는 유사한 재료들로 형성되는 기판 테이블 상에 얇은 층의 스택이 형성될 수 있다.
평탄화 층(108)이 일반적으로 상술되었으나, 다른 형태의 층과 상기 층을 형성하는 방법이 이용될 수 있다. 일 실시예의 평탄화 층은 10 ㎛보다 두꺼운 두께를 갖는다. SiSiC 기판 테이블은 버얼들 사이에 거친 표면[대략 4 ㎛의 큰 Ra 및대략 43 ㎛의 피크 대 벨리(peak to valley)를 가짐]을 갖는다. 이러한 거칠기는 얇은 금속 전극 라인들(예를 들어, 20 내지 200 nm의 두께)의 패터닝을 불가능하게 한다. 거칠기를 저감시키기 위하여, 적합한 용제 내에서 용해된 폴리머가 거친 SiSiC 표면에 스프레잉된다. 버얼들 사이의 EDM 마무리된 거친 SiSiC 상에 존재하는 밸리들에는 액체 층이 충전된다. 상기 액체는 용제를 증발시키고 매끈한 폴리머 층 또는 평탄화 층을 형성하기 위해 경화된다. 금속 전극 라인들은 이러한 평탄호된 표면에서 패터닝될 수 있다. 평탄화 층이 충분히 두껍고 모든 날카로운 SiSiC 피크들을 덮을 경우, 이는 SiSiC와 패터닝된 금속 전극 라인들 간에 전기적 절연을 제공할 수도 있다. 평탄화 층은 한번에 모두 스프레잉되거나, 또는 원하는 층 두께가 얻어질 때까지 얇은 층을 스프레잉하고, 경화시키고 다음 층을 스프레잉하는 등의 사이클을 반복함으로써 스택으로 조성될 수 있다. 평탄화 층은 BCB(1,3,5-트라이메틸 벤젠 내에 용해된 40 % 비스-벤조시클로부텐)만 스프레잉된 층 또는 NN 120[디-부틸 에테르 내의 20 % 퍼하이드로폴리실라잔(perhydropolysilazane)]의 스프레잉된 층들과의 조합으로 이루어질 수 있다. 일 실시예에서, SiSiC 표면은 대략 0.8 미크론의 평균 Ra 및 대략 4.1 미크론의 평균 피크-대-밸리를 제공하는, 적용된 대략 10 미크론의 BCB 층을 갖는다. 일 실시예에서, SiSiC 표면은 대략 1.5 미크론의 평균 Ra 및 대략 8.5 미크론의 평균 피크-대-밸리를 제공하는, 적용된 대략 20 미크론의 BCB 층을 갖는다.
평탄화 층은 금속 전극 패터닝을 촉진하는 데 적합하지만, 모든 SiSiC vlm들을 덮을 수는 없다. 필요할 경우 SiSiC 피크들과 금속 전극 라인들 간에 전기적 절연을 제공하기 위하여 평탄화 층의 최상부에 PE CVD(Plasma Enhanced Chemical Vapor Deposition) SiOx의 얇은 층(절연 층)이 증착될 수 있다. 절연 층에 의하여 제공되는 전기적 절연이 충분하지 않을 경우, 2 개의 절연 층 사이에 평탄화 층이 샌드위치될 수 있으며, 제 1 절연 층(PE CVD SiOx) 뒤에 스택이 자리하고, 그 다음 평탄화 층과 제 2 절연 층(PE CVD SiOx)이 위치한다. 절연 층(201)은 0.1 ㎛보다 두꺼운 두께를 갖는 것이 바람직하다. 절연층은 바람직하게는 10 ㎛보다 얇은 두께를 갖는다. 일 실시예에서, 절연 층은 5 ㎛의 두께를 갖는다.
절연 층의 최상부에는, 경질 마스크에 걸친 포토리소그래피 또는 금속 증착 및 에칭에 의하여 금속 전도 경로, 예를 들어 라인들(202)이 증착된다. 금속 라인들(202)은 20 ㎛보다 넓은 폭을 갖는 것이 바람직하다. 금속 라인들의 최대 폭은 그들의 기능 및 가용 공간에 의하여 결정되며, 수십 mm일 수 있다. 금속 라인들을 형성하는 다른 방법들도 이용가능하다. 히터 및/또는 센서의 경우에, 넓은 금속 라인들(예를 들어, 대략 1500 ㎛)이 가열 요소들로서 이용될 수 있으며, 좁은 금속 라인들(예를 들어, 대략 100 ㎛)이 센서 요소들로서 이용될 수 있다. 정전기 클램프에 대해, 정전기 클램프의 포지티브 및 네거티브 요소들을 형성하기 위해 서로 대략 500 ㎛만큼 분리되는 연속적 금속 막(그러나 버얼의 최상부들로부터는 분리됨)의 2 개의 이분체들이 증착될 수 있다. 금속 라인들(202)은 바람직하게는 20 nm보다 두꺼운 층 두께를 가지며, 대략 40 nm보다 두꺼운 것이 보다 바람직하다. 금속 라인들(202)은 대략 1 ㎛보다 얇거나 또는 같은 층 두께를 갖는 것이 바람직하며, 대략 500 nm보다 얇은 두께를 갖는 것이 더 바람직하며, 대략 200 nm보다 얇은 두께를 갖는 것이 더욱 바람직하다.
히터 및/또는 센서의 발전을 위해, 패터닝 금속 라인들은, 예를 들어 티타늄(Ti) 및 플라티늄(Pt), 즉 Ti-Pt로 이루어진 다수의 금속 층들로 이루어질 수 있다. 일 실시예에서, 라인은 1 이상의 박막 층들에 존재하는 대략 250 nm 두께의 개선된 접착제를 위한 10 nm의 누적 두께를 갖는 1 이상의 티타늄 층들을 갖는다. 각각의 금속 라인은 가변적인 폭을 가질 수 있다. 금속들, 예를 들어 Ti/Pt의 패터닝은 1 이상의 포토레지스트 증착 단계들, 금속 막 증착을 위한 PVD 및 리프트 오프 프로세스(lift off process)의 조합을 이용하여 달성될 수 있다. 히터 하나에 대해서는, 넓은 크롬(Cr) 라인들(~ 1500 ㎛)이 Cr 막 증착(PVD)에 의하여 증착될 수 있다. 히터의 패턴은 하드 마스크를 이용하여 버얼의 최상부들로부터 선택적인 Cr 에칭에 의해 형성될 수 있다. 정전기 클램프의 금속 전극은 알루미늄, 또는 크롬이나 여타 전도성 재료로 이루어질 수 있다. 금속 전극은 PVD 또는 스퍼터링에 의하여 형성될 수 있다. 적합한 조합의 이들 금속들의 합금들이 이용될 수도 있다.
위로부터 증착된 금속 라인들을 전기적으로 절연시키고 그들을 입자 퇴적, 스크랫치 및 산화로부터 보호하는 것이 바람직하다. 따라서, 상술된 바와 같이, 최상부 또는 최외측(금속 라인들이 형성되어 있는 층이 상방향을 향하지 않는 곳) 절연 층이 패터닝된 전극들 상에 형성, 예를 들어 증착될 수 있다. 히터 또는 센서에 대하여 절연 층은 상술된 바와 같은 BCB 및/또는 NN 120 또는 SiOx의 스프레이 코팅 또는 스프레잉된 층들과 SiOx의 조합에 의하여 증착될 수 있다. 정전기 클램프의 경우에, 최상부 절연 층은 또한 층 스택과 기판 사이의 클램핑 압력과 갭이 원하는 값으로 조율될 수 있도록 전기적 강도를 제공한다. 일 실시예에서, 정전기 클램프를 위한 최상부 절연 층은 BCB, NN 120의 스프레이 코팅된 폴리머 층들(또는 이들 두 스프레잉된 재료들의 조합) 또는 SiOx 단독 층 또는 스프레잉된 폴리머 층들 및 SiOx, 또는 파릴렌 (CVD) 단독 층으로 이루어진다. 최상부 절연 층(203)은 바람직하게는 대략 0.1 ㎛보다 두꺼운 두께를 가지며, 더 바람직하게는 대략 1 ㎛보다 두꺼운 두께를 갖는다. 최상부 절연 층(203)은 히터 또는 센서에 대해 바람직하게는 대략 10 ㎛보다 두꺼운 층 두께를 가지고, 더 바람직하게는 대략 3 ㎛보다 두꺼운 층 두께를 갖는다. 정전기 클램프에 대하여, 최상부 절연 층은 바람직하게는 대략 100 ㎛보다 얇은 층 두께를 가지고, 더 바람직하게는 대략 20 ㎛보다 얇은 층 두께를 갖는다. 일 실시예에서, 두께는 대략 10 내지 60 ㎛ 범위 내에 있다.
표 2는 박막 스택의 각각의 구성 층에 적합한 재료들의 예시들을 나타내고 있다. 각각의 층은 목록에 나열된 재료들 중 하나 또는 목록에 나열된 재료들 중 2 이상의 조합으로 형성될 수 있다.
적용 층 1. 히터에만 2. 센서 및 히터 3. 클램프
평탄화 BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
바닥 절연 BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
SiOx
PVD/CVD/PECVD/
스퍼터링
폴리이미드 스프레이
BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
SiOx
PVD/CVD/PECVD/
스퍼터링
폴리이미드 스프레이
BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
SiOx
PVD/CVD/PECVD/
스퍼터링
파릴렌 CVD
폴리이미드 스프레이
금속 층 크롬
PVD/CVD/스퍼터링
플라티늄
PVD/CVD/스퍼터링
리프트-오프
크롬,
알루미늄
PVD/CVD/스퍼터링
최상부 절연 BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
SiOx
PVD/CVD/PECVD/
스퍼터링
폴리이미드 스프레이
BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
SiOx
PVD/CVD/PECVD/
스퍼터링
폴리이미드 스프레이
BCB 스프레이
CAG 37 스프레이
NN 120 스프레이
SiOx
PVD/CVD/PECVD/
스퍼터링
파릴렌 CVD
폴리이미드 스프레이
표 3은 적용들을 위한 층 당 특정 기능 및 요건들의 예시들을 나타내고 있다.
적용 층 1. 히터에만 2. 센서 및 히터 3. 클램프
평탄화 - 거칠기 저감 - 거칠기 저감 - 거칠기 저감
- 평탄도 향상
(압력 균일성)
바닥 절연 - 중간 전기 절연
- 층에 걸친 작은 온도차
- 짧은 응답 시간
- (센서의 분해능을 위한) 높은 전기 절연
- 층에 걸친 작은 온도차
- 짧은 응답 시간
- 높은 절연 내력
- 높은 체적 저항률
- 층에 걸친 낮은 온도차
금속 층 - 히터 파워 - 센서 감응도
- 센서 안정성
- 히터 파워
- 높은 전압 요건들
(전극 레이아웃)
최상부 절연 - 캡슐화
(encapsulation)
- 캡슐화 - 높은 절연 내력
- 높은 체적 저항률
- 층에 걸친 낮은 온도차
박막 기술은 히터 및/또는 센서 개발을 위한 오버레이 향상 및 비용 효과적인 해법을 제공한다. 금속 패턴 디자인들은 (마스크 디자인들을 수정함으로써) 쉽게 변경될 수 있다. 정전기 클램프에서, 층 스택은 현재의 기판 클램프 제조 프로세스에 이용되는 유리 접합 단계들을 피할 수 있다. 클램프는 버얼들 사이에 구성되기 때문에, SiSiC 버얼들을 갖는 것이 가능하다. 이는 마모에 대해 장점이 있다. 플라티늄(Pt) 금속 층이 이용되는 경우, Pt 층의 접착성을 개선시키기 위해 티타늄 접착 층이 먼저 적용될 수 있다. 정전기 클램프에서는, 낮은 저항성을 갖는 어떠한 적합한 금속도 이용될 수 있다.
일 실시예에서, 평탄화 층 및 박막 스택은 편평한 베이스 상에 증착된다. 버얼들에 대한 홀들은 마스크 또는 포토레지스트에 걸쳐 평탄화 층 및 박막 스택을 에칭함으로써 남겨지거나 형성된다. 그 다음, 상기 홀들 내에서 버얼들이 성장된다.
도 21은 도 20의 기판 홀더(100)의 평면도이다. 버얼들(106) 및 패터닝된 금속, 예를 들어 Cr 라인들을 볼 수 있다. 도 22은 히터 라인들(202a) 및 센서 라인들(202b)을 나타낸 확대도이다.
유전 층은 스프레이 코팅, 스핀 코팅 및 PE CVD 기술들에 의하여 증착된다. 스프레이 코팅은 BCB 및/또는 NN 120 층과 같은 (유기 용매 내에 용해된) 폴리머 계 층을 증착시키기에 특히 적합하다. 이러한 폴리머 층은 밸리를 충전시킴으로써 버얼들 사이의 SiSiC 표면을 평탄화시키는 데 이용될 수 있다. 그러나, 스프레잉된 제 1 층은 너무 두꺼운 층들이 증착될 경우 (국부적 결함들로 인한) 핀-홀들 및 크랙들(대개 층들에서 유발되는 응력으로 인해 발생되기 쉬움) 같은 표면 결함들을 겪을 수 있다. 상이한 증착 프로세스들을 조합함으로서 이들 표면 결함들의 영향을 줄이는 것이 가능하다. 본 발명의 일 실시예에서, 층들은 잉크젯 또는 버블-젯 프린팅 기술을 이용하여 적용될 수 있다. 이는, 박막 디바이스가 형성될 표면, 예를 들어 기판 홀더의 표면 거칠기 또는 표면 윤곽의 국부적인 변화를 보정하는 데 유용한 층 두께의 국부적인 제어를 가능하게 한다. 이들 기술들 중 1 이상은 전도성 잉크를 이용하는 전도성 층의 패터닝을 가능하게 한다. 상이한 재료들 및/또는 층 형성 기술들의 조합은 일 층에서의 결함이 다른 층에 의하여 해결될 수 있기 때문에 바람직할 수 있다.
본 발명의 일 실시예는 기판 홀더(100) 일부의 단면도인 도 23에 도시되어 있다. 기판 홀더(100)의 거친 표면 최상부에는, 후속하는 층들에 의해 평탄화 층(108)이 형성된다. 제 1 층(600)은, 예를 들어 상술된 바와 같은 스프레이 코팅법에 의하여 형성된다. 스프레이 코팅 프로세스 후에, 제 2 층(601)은 PE CVD SiOx 층으로서 증착될 수 있다. 제 2 층은 제 1 층에 존재할 가능성이 있는 핀홀들 및 입자들을 덮는다. PE CVD SiOx 층 다음에, BCB의 제 3 층(602)이 스프레잉되어 SiOx내에 있을 가능성이 있는 핀홀들을 채운다. 2 가지 상이한 특징의 프로세스들을 가짐으로써, 핀홀들 및 입자들의 영향이 저감되거나 최소화된다. 스프레이 코팅은 갭 내에 충전되며, CVD 프로세스가 입자들을 덮는다. 얇은 층들의 이러한 스택킹(stacking)(스프레잉 및 PE CVD)은 핀홀들 및 입자들에 대한 강도 및 강건성 증대를 위해 반복될 수 있다. 본 발명의 일 실시예에서, 제 1 층(600)은, 예를 들어 PE CVD에 의하여 증착되며, 제 2 층(601)은 스프레이 또는 스핀 코팅에 의하여 형성된다. 본 발명의 일 실시예에서, 적용의 3 이상의 상이한 타입, 예를 들어 상이한 구성 또는 상이한 방법들이 원하는 순서로 이용될 수 있다. 평탄화 층(108)의 최상부에는 전기 구성요소를 형성하는 박막 스택(도시 안됨)이 제공된다.
본 발명의 일 실시예가 기판 홀더(100) 일부의 단면도인 도 24에 도시되어 있다. 이 실시예는 핀홀들 및 입자들에 대한 강건성을 향상시키는 중간 경화 단계를 갖는, 2 개의 스프레이 코팅된 층들(603, 604)로 형성된 평탄화 층(108)을 구비한다. 이 스택은 (이전 실시예와 유사하게) 기판의 거칠기 및 표면 결함들을 채우기 위해 제 1 스프레이 코팅된 층(603)으로 이루어진다. 버얼들 사이의 층은 원하는 유전적 특성들을 얻기 위해 경화된다. 제 1 층에 있을 수 있는 핀홀들은 제 2 BCB 층(604)이 스프레잉되고 경화될 대 채워진다. 핀홀들의 가능성을 저감시키기 위하여 이 프로세스를 반복할 수 있다. 평탄화 층(108)의 최상부에는 전기 구성요소를 형성하는 박막 스택(도시 안됨)이 제공된다.
프로세스의 특성화를 위해, 중간 베이킹 단계를 이용하여 2 개의 20 ㎛ 두께의 BCB 층들로부터 만들어지는 Si 기판 상의 BCB의 40 미크론 층에 대한 전압 파괴 시험들(voltage breakdown tests)이 수행되었다. 측정치는 이러한 스택킹된 40 ㎛ BCB 층에 대한 7 kV를 상회하는 높은 전압 파괴 강도를 나타낸다.
본 발명의 일 실시예가 기판 홀더(100)의 일부의 단면도인 도 25에 도시되어 있다. 이 실시예는 상술된 방법들 중 여하한의 방법에 의하여 형성될 수 잇는 평탄화 층(108)을 갖는다. 평탄화 층(108) 위에는 박막 스택(200)이 형성되며, 상기 박막 스택은 평탄화 층(108) 위에서 순서대로 제 1 절연 층(201), 제 1 금속 층(예를 들어, 금속 라인들)(202), 제 2 절연 층(203), 제 2 금속 층(예를 들어, 금속 라인들)(204) 및 제 3 절연 층(205)을 포함한다. 이들 층 각각은 상술된 바와 같이 적합한 방법에 의해 형성될 수 있다. 추가 금속 층들 및 추가 절연 층들 또한 제공될 수 있다. 이 실시예에서, 1 이상의 스택킹된 금속 층들의 이용은 2 이상의 스택킹된 구성요소들, 예를 들어 센서들의 형성을 가능하게 한다. 스택킹된 센서들은 소음에 대한 증가된 절연성을 제공할 수 있다. 일 실시예에서, 1 이상의 금속 층들은 다른 층들 내의 1 이상의 신호 라인들에 대한 차폐물(shielding)로서 작용할 수 있다.
본 발명의 일 실시예가 기판 홀더(100) 일부의 단면도인 도 26에 도시되어 있다. 이 실시예는 상술된 방법들 중 여하한의 방법에 의하여 형성될 수 있는 평탄화 층(108)을 갖는다. 박막의 스택(200)은 제 1 절연 층(201) 및 제 2 절연 층(203)을 포함한다. 그들 사이에는, 예를 들어 제 1 절연 층(201)과 제 2 절연 층(203) 사이에는 전자 구성요소들(206, 207)이 샌드위치된다. 기판 상의 단일 층에는 다수의 구성요소들이 형성될 수 있다. 일 실시예에서, 구성요소들(206, 207) 각각은 복수의 층들, 예를 들어 각각의 층에 이용되는 재료를 기준으로: 금속, 무정형 실리콘, 금속에 의하여 형성된다. 일 실시예에서, 구성요소들(206, 207) 중 1 이상은 트랜지스터 또는 다른 논리 디바이스를 형성한다. 이러한 논리 디바이스들은 각각의 히터에 대한 개별적인 커넥션들을 필요로 하지 않고 기판 홀더의 표면을 가로질러 배치되는 히터들의 어레이를 제어하는 데 이용될 수 있다. 워드 및 비트 라인(word and bit line)의 교차부에는 트랜지스터들이 배치될 수 있으며, 그 각각은 액티브 매트릭스(active matrix)를 형성하기 위하여 연관된 히터에 연결될 수 있다.
도 27a 내지 도 27e는 본 발명의 일 실시예에 따른 센서들을 개략적으로 예시하고 있다. 그 가장 단순한 형태에서, 온도 센서(300)는 기판 홀더 상에 배치되는 전도성 루프를 포함한다. 이는 전도성 루프(302)가 커넥터(301)에 연결된 것으로 도시된 도 27a에 나타나 있다. 전도성 루프(302)는 양의 온도 저항 계수(positive temperature co-efficient of resistivity)를 갖는 재료, 예를 들어 플라티넘, 또는 음의 온도 저항 계수를 갖는 재료로 형성될 수 있다. 기판 홀더의 온도는 변하므로, 컨덕터(302)의 저항 또한 그러하다. 이는, 예를 들어 휘트스톤 브릿지(Wheatstone bridge)를 이용하여 측정될 수 있으며, 이에 의해 센서(302) 소재(locality)의 기판 홀더의 온도가 판정될 수 있다.
도 27a에 나타낸 구성에서, 전도성 루프(302)는 음영으로 나타낸 상당한 영역을 포괄한다. 그러므로, 전도성 루프(302)는 측정 신호에서의 노이즈를 발생시키고 온도 측정을 방해할 수 있는 간섭을 잡아낸다. 실제 실시예에서, 기판 홀더의 환경은 기판 테이블을 위치시키는 데 이용되는 강력한 전자기 모터의 존재로 인해 전자기적으로 노이즈가 심할(noisy) 수 있다. 전자기장은 주파수 < 10 kHz일 수 있으며, 이는 차폐하기에 어렵다. 작은 신호들의 검출과 관련된, 매우 작은 온도 변화, 예를 들어 대략 50 μK의 온도 변화를 검출하는 것이 바람직하다. 그러므로, 노이즈 픽업(noise pickup)을 제한하거나 및/또는 그를 필터링해내기 위한 1 이상의 수단들이 바람직하다.
도 27b에 도시된 일 실시예에서, 컨덕터는 외측 컨덕터(303)와 내측 컨덕터(304)가 짧은 간격만큼 분리된 이중 U자 형상으로 배치된다. 외측 컨덕터(304)와 내측 컨덕터(304) 간의 거리는 500 ㎛ 이상이 아닌 지점에 있는 것이 바람직하며, 더 바람직하게는 200 ㎛ 이하, 또는 보다 바람직하게는 100 ㎛ 이하인 지점에 있다. 내측 컨덕터 및 외측 컨덕터(304, 303) 둘 모두는 실질적으로 같은 평면 내에 놓이고 연속적인 전도성 경로를 형성하기 위해 일 단부에 연결된다. 도 27a의 실시예와 비교하여, 도 27b의 컨덕터는 도 27b에서 음영으로 나타낸 작은 영역을 둘러싸며, 따라서 작은 전자기 노이즈를 잡아낸다.
도 27c에 도시된 바와 같이 도 27b의 센서에 "트위스트" 또는 크로스-오버(cross-over; 306)가 제공될 수 있다. 크로스-오버(306)에서, 센서(300)의 외향 및 복원 컨덕터들(outward and return conductors)은 서로의 위를 지나지만 전기적으로 접촉하지 않는다. 그러므로, 센서는 2 개의 세그먼트들(305, 307)로 나누어지고, 이들은 실제로 반대 방향으로 감긴다. 그러므로, 주어진 변경 필드는 2 개의 세그먼트들로 반대되는 전류들을 유도한다. 도면에서 음영으로 나타나 있고, 2 개의 세그먼트들로 둘러싸인 영역들(p, q)의 필드들이 동일한 변화들을 겪을 경우, 유발된 노이즈는 상쇄될 것이다. 간섭 필드가 상당히 불균일할 경우, 노이즈의 상쇄도를 높이기 위해 다수의 크로스-오버들(306)이 제공될 수 있다. 각각의 방향으로 절반이 감긴 짝수의 세그먼트들이 존재하도록 크로스-오버들의 수는 홀수인 것이 바람직하다. 일 방향의 전도성 세그먼트들로 둘러싸인 총 면적은 다른 방향의 전도성 세그먼트들로 둘러싸인 면적과 실질적으로 같은 것이 바람직하다. 인접한 전도성 세그먼트들은 실질적으로 같은 면적들을 둘러싼다.
기판 홀더 상에 2 개의 실질적으로 평행한 층들로 배치되는 컨덕터들을 포함하는 센서를 이용하여 노이즈를 저감시킬 수 있다. 도 27d 및 도 27e에 예들이 나타나 있다. 도 27d의 실시예에서, 센서(300)는 커넥터(301)로부터 먼 쪽으로 나아가며 기판 홀더의 표면과 실질적으로 평행한 제 1 층에 배치되는 제 1 컨덕터(308)를 포함한다. 이는 U자 형상을 형성하는 제 2 컨덕터(310)에 연결되는 비아(308) 또는 수직방향 컨덕터에 연결되며 기판 홀더의 평면과 실질적으로 평행한 제 2 층에 배치된다. U자 형상의 제 2 컨덕터(310)의 제 1 업라이트(upright)는 제 1 컨덕터(308)과 실질적으로 평행하고 그를 오버래핑하도록 배치된다. 제 2 수직방향 컨덕터(312) 또는 비아(311)는 제 1 컨덕터(308)와 실질적으로 같은 층에 배치되는 제 3 컨덕터(312)에 U자 형상의 컨덕터(310)의 다른 단부를 연결한다. 제 3 컨덕터(312)는 거꾸로 커넥터(301)까지 전도성 경로를 완성한다. 도 27d의 배치는 기판 홀더의 평면에 실질적으로 수직한 필드로부터의 간섭을 상쇄시키기에 효과적이다. 도 27d에서 U자 형상의 제 2 컨덕터(310) 내에 음영으로 나타낸 영역(q)은, 도 27d에서 제 1 커넥터와 제 3 커넥터(308, 312) 사이에 음영으로 나타낸 영역(p)과 실질적으로 같은 것이 바람직하다.
간섭 필드가 기판 홀더 평면과 실질적으로 평행한 곳에서 효과적인 추가 구성이 도 27e에 도시되어 있다. 이 실시예에서, 센서(300)는 기판 홀더 상에 수직방향으로 이격된 층들로 배치되는 2 개의 실질적으로 오버래핑되는 U자 형상 컨덕터들(313, 315)을 포함한다. 각 컨덕터의 일 단부는 커넥터(301)에 연결되고, 다른 단부들은 수직방향 컨덕터 또는 비아(314)에 의하여 결합된다. 영역 p에서의 필드 변화들에 의하여 야기되는 노이즈 전류들은 영역 q에서의 필드 변화들에 의하여 야기되는 노이즈 전류들에 의하여 적어도 부분적으로 상쇄된다.
기판 홀더의 평면에 수직한 평면에서의 상술된 센서들의 단면들이 도 28a 내지 도 28d에 도시되어 있다. 단면들의 위치들은 라인 A-A에 의하여 나타나 있다. 도 28a는 도 27a 센서의 컨덕터(302)의 하나의 아암을 통한 단면을 도시하고 있다. 컨덕터(302)는 기판 홀더 본체(100) 위의 평탄화 층(108) 상에 배치되며 절연 층(203)으로 덮여 있음을 알 수 있다. 도 28b는 이들 컨덕터들이 기판 홀더 상의 단일 층에서 나란히 배치되는 것을 도시한 도 27b의 센서의 컨덕터들(303, 304)을 통한 단면도이다. 도 27c의 센서의 일 아암을 통한 단면은 유사하다.
도 27d의 2-층 센서 구조체를 통한 단면이 도 28c에 도시되어 있다. 두 아암 모두의 도 27e의 2-층 센서 구조체를 통한 단면이 도 28d에 도시되어 있다. 2 개 층의 컨덕터들은 절연 층(203) 내에 캡슐화되고 그에 의해 분리되는 오버래핑 구성으로 배치됨을 알 수 있다.
도 28e 내지 도 28h는 전자기적으로 유발된 노이즈 전류들로부터의 추가적인 절연을 제공하기 위한 차폐 층(316)을 포함하는 센서들을 도시하고 있다. 차폐부(316)는 도 28e 내지 28h에 예시된 바와 같이 상술된 센서 형태들 중 어떠한 형태에도 적용될 수 있다. 차폐부(316)는 패러데이 케이지(Faraday cage)의 방식으로 작용하여 그 내부의 전자기장들을 저감시키거나 또는 제거할 수 있다. 그 효과는 동축 케이블에서의 차폐의 효과와 동등하다. 차폐부(316)는 센서(300)의 실질적 모두를 완전하게 둘러싼다. 일 실시예에서, 실드(316)는, 예를 들어 커넥터(301)를 통해 접지부(ground)에 연결된다. 일 실시예에서, 차폐부(316)는 전기 히터로서 채용되어, 전류가 통과하게 함으로써 기판 홀더의 온도를 국부적으로 제어한다.
도 29에는 본 발명의 일 실시예에서 이용가능한 추가 센서가 도시되어 있다. 이는 2 개의 센서 세그먼트들(317, 318)을 포함하며, 그들 각각은 커넥터(301)로부터 벗어나서, 다수의 턴(turn)과 스위치백(switchback)들을 포함하는 둘둘 감긴 경로(convoluted path)를 따라 이어진 다음, 실질적으로 평행하지만 약간 오프셋된 경로를 통해 커넥터(301)로 돌아오는 단일 컨덕터를 포함한다. 2 개의 컨덕터들은 기판 홀더 상에 단일 층으로 함께 근접해 있으나 교차하지는 않는다. 컨덕터(317)는, 다수의 턴들 및 반전들(reversal)을 지닌 복잡한 권선 형상을 갖는, 도 29에서 우측으로 기운(right-leaning) 사선으로 나타낸 영역(317a)을 둘러싸고 있음을 알 수 있다. 이와 유사하게, 제 2 컨덕터(318)는 거의 제 1 컨덕터(317)의 턴들 내에 배치되는 유사하게 복잡하거나 둘둘 감긴 형태에 맞는, 좌측으로 기운 사선으로 도 29에 나타낸 영역(318a)을 둘러싼다.
커넥터(301) 내에서 컨덕터들(317, 318) 각각의 일 단부는 각각의 접촉 패드(319, 321)에 연결된다. 추가 컨덕터(320)는 컨덕터들(319, 318)의 다른 단부들을 함께 연결하기 위하여 접촉 패드(319) 주위에서 루핑된다(loop). 추가 루프 컨덕터(322)는 접촉 패드(321)와 컨덕터(318) 간의 연결을 수행하는 데 이용된다. 루프 컨덕터들(320 및 322)의 배치는, 커넥터 내에서 유발된 노이즈를 저감시키거나 또는 최소화시키도록 되어 있고, 커넥터들(317, 318)이 반대 방향으로 연결될 수 있게 돕는다. 이는, 주어진 필드 변화가 컨덕터들(317 및 318) 내에서의 반대방향의 전류를 유발한다는 것을 의미한다. 영역들(317a, 318a)은 실질적으로 같고, 함께 근접해 있으며, 꼬여서 합쳐져(intertwined) 있기 때문에, 컨덕터들(317, 318) 내에서 유발되는 노이즈 전류들이 거의 정확하게 상쇄될 것이다.
도 30은 본 발명의 일 실시예에서 이용가능한 센서 시스템을 도시하고 있다. 둘둘 감긴 전도성 트랙에 의하여 형성되는 센서(300a)가 기판 홀더 상에 형성된다. 이는 편평하고 유연한 인터커넥터(flat flexible interconnect; 323)에 의하여 센서 회로에 연결된다. 도 31에 도시된 바와 같이, 센서(300a)는 2 개의 전극들(330, 329)을 가지며, 이들 전극들은 둘둘 감긴 형태를 가지고 상호배치된다(interleave). 전극들(329) 중 하나는 그것의 저항을 측정하도록 배치되는 휘트스톤 브릿지(325)에 연결된다. 출력 신호는 증폭기(326)에 의하여 증폭되고, 가산기(adder; 328)의 포지티브 입력부로 공급된다. 다른 전극(330)은 증폭기(326) 출력부의 신호와 매칭되는 크기를 갖는 신호를 출력하는 가변 증폭기(variable amplifier; 324)에 연결된다. 증폭기(324)의 출력은 가산기(328)의 네거티브 입력부에 연결된다. 실제에 있어, 전극(330)은 전극으로부터 발생된 신호로부터 차감되는 노이즈 신호만을 잡아내어 온도 변화만을 나타내는 신호를 남긴다.
유연한 커넥터(323)는 센서(300a)의 각 단자에 대해 직선 컨덕터를 갖는 편평한 케이블로서 예시되어 있다. 하지만, 전자기 노이즈의 픽업을 저감시키거나 또는 최소화시키기 위하여 유연한 커넥터(323)에 다수의 트랙들, 차폐부 및/또는 트위스트들이 적용될 수 있다. 적용에 따라, 유연한 커넥터(323)의 길이는 50 내지 1500 mm 사이에 있을 수 있다. 유연한 커넥터(323)는, 일 실시예에서 유연한 기판 상에 전도성 트랙들을 프린트함으로써 형성된다.
도 32는 본 발명의 일 실시예에서 이용되는 측정 회로(400)를 예시하고 있다. DC 또는 AC 전압 소스(401)는 센서 레지스터(300) 및 기준 레지스터(402, 403, 405)로 형성되는 휘트스톤 브릿지를 가로지르는 전압을 인가한다. 브릿지의 중간을 가로지르는 신호는 증폭기(406)에 의하여, 예를 들어 대략 100의 이득으로 증폭되며, 아날로그 대 디지털 컨버터(407)에 의하여 디지털화된다. ADC(407)의 출력은 장치(500)의 전체 제어 시스템과 연통하는 인터페이스(408)로 공급된다.
본 발명의 일 실시예의 보다 상세한 구성이 도 33에 예시되어 있다. 기판(100)의 일 표면에서, 버얼들(106) 사이에는 저항성 가열 요소(250)가 제공된다. 가열 요소(250)는 기판 홀더 및 그 위에서 유지되는 기판의 온도를 국부적으로 제어하기 위하여 개별적으로 제어가능한 세그먼트들로 나누어진다. 가열 요소(250)는 곡절 경로(meandering path)를 가지며, 도 33에는 그 일부만이 도시되어 있다. 상술된 바와 같이 2 개의 상호배치되는 전도성 루프들을 포함하는 온도 센서(300)가 가열 요소(250)의 턴들 내에 배치된다.
센서 구조체(300)는 전자기 노이즈의 픽업을 저감시키거나 또는 최소화시키기 위하여 미제 조율될 수 있다. 이것이 자기장의 구배(gradient)가 화살표(ΔH)로 나타낸 방향에 놓이는 상황에서 이용하도록 되어 있는 센서(300b)를 나타내는 도 34에 예시되어 있다. 센서(300b)에서, 컨덕터들(329, 330)의 최내측 턴들(329a 및 330a)의 위치들은 각각의 컨덕터로 둘러싸인 영역들의 도심(center of area)이 원하는 위치, 예를 들어 라인 B-B' 상에 놓일 수 있게 돕도록 조정된다.
본 발명의 일 실시예에서 이용가능한 측정 회로가 도 35에 도시되어 있다. DC 기준 전압 소스(401)는 기준 전압을 제어기(410)에 의해 양자택일의 극성(alternative polarity)으로 번갈아 적용하는 스위치들(409)를 통해 동일한 공칭 저항의 기준 레지스터(332) 및 하나의 센서 컨덕터(329)의 일련의 조합(series combination)을 가로질러 적용된다. 기준 전압의 절반은 다른 센서 컨덕터(330) 및 회로를 조정하는 데 이용되는 조정가능한 레지스터(331)의 평행한 조합을 가로질러 적용된다. 작업 또는 기구 증폭기(operational or instrument amplifier; 406)의 고 임피던스 입력부들(406a, 406b)은 각각의 컨덕터들(329, 330)의 일 단부에 연결된다. 그 다음, 작업 증폭기(406)의 출력은 노이즈를 저감시키거나 또는 제거하는 알려진 형태의 싱크로닉 검출기(synchronic conductor)에 제공된다. 싱크로닉 검출기는 하드웨어 또는 소프트웨어로 구현될 수 있다. 또한, 제어기(500)의 디지털 신호를 발생시키기 위하여 아날로그 대 디지털 컨버터가 제공될 수 있다.
도 36은 기판 홀더 상에 제공되는 센서 컨덕터들(329, 330)에 원격 회로를 연결하기 위하여 유연한 커넥터(323)를 이용한 도 35의 회로의 구현례를 보다 상세히 도시하고 있다. 유연한 커넥터(323)의 도입을 제외하고, 도 36의 회로는 도 35의 것과 위상 동형(topologically equivalent)이다. Vref/2는 레지스터들(411, 412)에 의하여 형성되는 전압 디바이더(voltage divider)에 의하여 제공된다.
X 축이 임의의 유닛들에서의 시간을 나타내고 Y가 임의의 유닛들에서의 신호 출력을 나타내는 도 37에는 테스트 구조체의 출력 신호들이 도시되어 있다. 상대적으로 높은 노이즈의 레벨들은 존재하는 것을 쉽게 알 수 있다. 그래프의 중간부에서는, 센서의 1 밀리-켈빈의 온도 변화의 효과를 시뮬레이트하기 위해 작은 전압 변화가 적용되었다. 이 변화는 일정한 DC 전압을 이용하되 싱크로닉 검출은 이용하지 않은 상부의 (얇은) 라인과 비교하여, 교류 전압 및 싱크로닉 검출을 이용하는 구성으로부터의 결과들을 나타내는 하부의 (두꺼운) 라인에서 보다 쉽게 검출가능하다. 노이즈 레벨들을 더욱 저감시키기 위하여 아날로그 로우-패스 필터(analog low-pass filter)가 부가될 수 있다.
도 38a 및 도 38b는 본 발명의 일 실시예에서 이용가능한 유연한 커넥터의 2 가지 형태들을 예시하고 있다. 도 38a에 도시된 유연한 커넥터(323)에서, 각각의 전도성 경로는 유연한 기판(323c) 상에 배치되는 전도성 재료(323a, 323b)의 단일의 연속적 영역으로서 형성된다. 도 38b에서, 각각의 전도성 경로는 단부들에서 상호배치되고 함게 연결되는 다수의 개별 스트립들(323d)로 나누어진다. 도 39a 및 도 39b는 도 38a 및 도 38b에 각각 도시된 컨덕터들의 전자기 방사선의 픽업을 위한 유효 면적들을 예시하고 있다. 도 38b의 다수의 트랙, 상호배치된 커넥터는 효과적으로 보다 작은 면적을 나타내며, 따라서 더 적은 전자기 노이즈를 잡아냄을 알 수 있을 것이다.
도 40 및 도 41은 본 발명의 일 실시예에서 이용가능한 2 가지 상이한 센서 구성들에서 유발되는 노이즈 전류들에 대한 주파수 스펙트럼들을 예시하고 있다. 도 40에서는, 2 개의 센서 구조체들이 도 43에서와 같은 위상으로 연결되는 반면, 도 41에서는 2 개의 센서 구조체들이 도 42에서와 같은 반대 위상으로 연결된다. 도 40의 노이즈 스펙트럼은 기본(fundamental) 주파수 및 그것의 고조파에서 국부적 전기 공급부로부터 유도된 피크들뿐만 아니라 코일을 이용하여 실험 목적으로 고의로(deliberately) 적용된 특정 주파수에서의 피크를 갖는다는 것을 알 수 있다. 도 41에서는, 국부적 전기 공급부-유도 노이즈 전류(local electricity supply-induced noise current) 및 고의로 유도된 노이즈 둘 모두가 효과적으로 억제됨을 알 수 있다.
본 발명의 일 실시예에서, 센서 회로는 전자기 간섭의 억제력을 증가시키거나 최대로 억제하거나 또는 이득의 최적화를 위하여 배치될 수 있다. 도 42 및 도 43에는 적합한 회로들이 각각 도시되어 있다. 이들 도면들 모두에서는, 전압 소스(401)가 시백 효과(Seebeck effect), 1/f 노이즈 및 드리프트를 보상하기 위하여 교류 전압을 제공한다. 도 42 및 도 43 둘 모두에서는, 증폭기, 아날로그 대 디지털 컨버터 및 다른 인터페이스 회로가 도시된 열린 단자들에 연결된다.
도 42에서, 등가의 저항기들(453, 454) 및 작업 증폭기(452)에 의하여 형성되는 전압 디바이더는 전압 소스(401) 출력 절반의 기준 전압을 제공한다. 가변 레지스터(455)는 센서 컨덕터(330) - 이에 의하여 노이즈 기준 신호를 제공함 - 에 의하여 제공되는 노이즈 신호의 레벨을 조정하는 데 이용된다. 제 1 센서 컨덕터(329) 및 기준 레지스터(451)는 전압 소스(401)를 가로질러 직렬로(in series) 연결된다. 이에 의하여, 제 1 센서(329) 및 기준 레지스터(451)의 접합부에서의 전압과 비접속(floating) 기준 전압 간에 취해지는 출력 신호가 노이즈 저감 신호를 제공한다. 전자기 노이즈는 두 출력 단자 모두에서 같은 변화를 유도하며, 따라서 상쇄된다.
도 43에서, 제 1 및 제 2 센서 컨덕터들(329, 330)은 기준 레지스터들(456, 457)을 갖는 휘트스톤 브릿지 구성에서 서로 대각선 방향으로 대향하여 위치된다. 이에 의해 브릿지의 중간을 가로질러 제공되는 출력 신호는 이중의 이득을 갖지만, 이와 유사하게 노이즈 유도 전압이 배가된다.
상술된 실시예들에서, 센서 컨덕터들은 실질적으로 직각으로 된 코너들을 갖는 레이아웃으로 되어 있다. 일 실시예에서, 예각 및 둔각의 코너들이 이용될 수 있다. 컨덕터들은 만곡된 배치로 레이아웃될 수 있다. 상술된 실시예들에서, 2 개의 센서들로부터의 신호들은 전자기 간섭을 저감시키거나 또는 최소화시키기 위하여 아날로그 도메인에서 조합된다. 2 개의 센서 전극들로부터의 신호들을 개별적으로 디지털화하고 소프트웨어에서 전자기 간섭을 제거하는 것이 가능하다.
이해할 수 있듯이, 상술된 특징들 중 어떠한 특징도 다른 특징과 함께 이용될 수 있으며, 본 출원에 포함되는, 구체적으로 기술된 조합들로만 제한되는 것은 아니다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 기술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 마이크로스케일 또는 심지어 나노스케일의 피처들을 갖는 구성요소들을 제조하는 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157, 126, 13.5 또는 6.5 nm, 또는 그 정도의 파장을 갖는) 자외(UV)방사선 및 극자외(EUV)방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절 및 반사 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
이상, 본 발명의 특정 실시예가 설명되었지만 본 발명은 적어도 장치의 작동 방법의 형태에서 상술된 바와는 달리 실행될 수도 있음을 이해하여야 한다. 예를 들어, 본 발명의 실시예들은, 적어도 장치의 작동 방법의 형태에서 상술된 바와 같이 장치를 작동시키는 방법을 구현하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있음을 이해하여야 한다. 또한, 기계-판독가능한 명령어는 2 이상의 컴퓨터 프로그램에서 구현될 수 있다. 2 이상의 컴퓨터 프로그램은 1 이상의 상이한 메모리 및/또는 데이터 저장 매체 상에 저장될 수 있다.
리소그래피 장치의 적어도 1 이상의 구성요소 내에 위치된 1 이상의 컴퓨터 프로세서들에 의해 1 이상의 컴퓨터 프로그램들이 판독되는 경우에, 본 명세서에 설명된 제어기들은 각각 또는 조합하여 작동가능할 수 있다. 제어기들은 각각 또는 조합하여 신호들을 수신, 처리 및 송신하는 여하한의 적절한 구성을 가질 수 있다. 1 이상의 프로세서들은 제어기들 중 적어도 1 이상과 통신하기에 적합한 구조를 갖는다. 예를 들어, 각각의 제어기는 상술된 장치를 작동시키는 방법들에 대한 기계-판독가능한 명령어들을 포함하는 컴퓨터 프로그램들을 실행하는 1 이상의 프로세서들을 포함할 수 있다. 제어기들은 이러한 컴퓨터 프로그램들을 저장하는 데이터 저장 매체, 및/또는 이러한 매체를 수용하는 하드웨어를 포함할 수 있다. 따라서, 제어기(들)가 1 이상의 컴퓨터 프로그램들의 기계 판독가능한 명령어들에 따라 작동할 수 있다.
본 발명은 300 mm, 450 mm, 또는 다른 크기의 직경을 갖는 기판들에 적용될 수 있다.
본 발명의 1 이상의 실시예는 여하한의 침지 리소그래피 장치, 특히 앞서 언급된 형태들에 적용될 수 있지만 이에 국한되지는 않으며, 침지 액체가 배스의 형태로 제공되는지, 기판의 국부화된 표면적에만 제공되는지, 또는 기판 및/또는 기판 테이블 상에 한정되지 않는지의 여부에 따라 적용될 수 있다. 한정되지 않는 구성에서, 침지 액체는 기판 및/또는 기판 테이블의 표면에 걸쳐 흐를 수 있으므로, 실질적으로 기판 테이블 및/또는 기판의 덮여있지 않은 전체 표면이 젖게 된다. 이러한 한정되지 않는 침지 시스템에서, 액체 공급 시스템은 침지 액체를 한정하지 않을 수 있으며, 또는 실질적으로 침지 액체의 완전한 한정은 아니지만 침지 액체 한정의 부분을 제공할 수 있다.
본 명세서에서 고려되는 액체 공급 시스템은 폭넓게 해석되어야 한다. 소정 실시예들에서, 그것은 투영 시스템과 기판 및/또는 기판 테이블 사이의 공간에 액체를 제공하는 구조체들의 메카니즘 또는 조합일 수 있다. 이는 1 이상의 구조체들, 1 이상의 액체 유입부들, 1 이상의 가스 유입부들, 1 이상의 가스 유출부들, 및/또는 공간에 액체를 제공하는 1 이상의 액체 유출부들의 조합을 포함할 수 있다. 일 실시예에서, 공간의 표면은 기판 및/또는 기판 테이블의 일부분일 수 있고, 또는 공간의 표면이 기판 및/또는 기판 테이블의 표면을 완전히 덮을 수 있으며, 또는 공간이 기판 및/또는 기판 테이블을 감쌀 수 있다. 액체 공급 시스템은, 선택적으로 위치, 양(quantity), 질, 형상, 유량 또는 액체의 여하한의 다른 특징들을 제어하는 1 이상의 요소들을 더 포함할 수 있다.
상술된 내용은 예시에 불과하며 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않는, 서술된 본 발명에 대한 변경들이 가해질 수도 있음을 이해할 것이다.

Claims (20)

  1. 리소그래피 장치에 이용하기 위한 기판 홀더에 있어서,
    상기 기판 홀더는:
    표면을 갖는 본체;
    상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면들을 갖는 복수의 버얼들(burls);
    상기 본체 표면의 적어도 일부에 제공되는 평탄화 층(planarization layer); 및
    상기 평탄화 층 상에 제공되고 전기 구성요소를 형성하는 박막 스택(thin film stack)을 포함하는 기판 홀더.
  2. 제 1 항에 있어서,
    상기 박막 스택은 전도성 층을 포함하며, 및/또는
    상기 전도성 층은 금속, 예컨대 Cr, Al, Pt 및 그들의 합금으로 구성된 그룹으로부터 선택된 금속으로 형성되는 기판 홀더.
  3. 제 2 항에 있어서,
    상기 박막 스택은 상기 평탄화 층에서 가장 먼 전도성 층의 표면에 제공되는 절연 층을 포함하며, 및/또는
    상기 박막 스택은 상기 전도성 층과 상기 평탄화 층 사이에 절연 층을 포함하는 기판 홀더.
  4. 제 3 항에 있어서,
    상기 또는 임의의 절연 층은 벤조시클로부텐(benzocyclobutene); 퍼하이드로폴리실라잔(perhydropolysilazane), SiOx, 파릴렌(parylene) 및 폴리이미드로 이루어진 그룹으로부터 선택된 재료 또는 재료들의 조합으로 형성되는 기판 홀더.
  5. 제 3 항 또는 제 4 항에 있어서,
    상기 또는 임의의 절연 층은, 대략 0.1 ㎛ 내지 대략 100 ㎛ 범위 내, 또는 대략 0.1 ㎛ 내지 대략 10 ㎛ 범위 내, 바람직하게는 대략 1 ㎛ 내지 대략 3 ㎛, 또는 대략 20 ㎛ 내지 대략 100 ㎛, 바람직하게는 대략 40 ㎛ 내지 대략 60 ㎛ 내의 두께를 갖는 기판 홀더.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 박막 스택은 복수의 전기 구성요소들을 형성하는 기판 홀더.
  7. 제 6 항에 있어서,
    복수의 전기 구성요소들 중 제 1 전기 구성요소 및 제 2 전기 구성요소는 상기 박막 스택의 단일 층에 배치되거나 또는 상기 박막 스택의 2 개의 개별 층들에 배치되는 기판 홀더.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 전기 구성요소는 전극, 히터, 센서, 트랜지스터, 및 논리 디바이스로 이루어진 그룹으로부터 선택된 구성요소인 기판 홀더.
  9. 제 8 항에 있어서,
    상기 전극은 사용시 정전기 클램프의 전극이거나, 또는
    상기 센서는 전자기 간섭의 픽업(pickup)을 저감시키거나 또는 최소화시키도록 배치되는 컨덕터를 포함하는 기판 홀더.
  10. 제 9 항에 있어서,
    상기 컨덕터는 2 개의 실질적으로 평행한 브랜치들에 의하여 형성되는 전도성 루프를 포함하고,
    상기 2 개의 브랜치들은 대략 500 ㎛ 이하, 대략 200 ㎛ 이하, 또는 대략 100 ㎛ 이하의 최대 간격을 가지며, 및/또는
    상기 컨덕터는 크로스오버(cross-over)를 포함하는 기판 홀더.
  11. 제 9 항 또는 제 10 항에 있어서,
    상기 센서는 상기 기판 홀더 상에 2 개의 실질적으로 평행한 층들로 제공되는 컨덕터들을 포함하고,
    상기 컨덕터들은 적어도 2 개의 실질적으로 오버래핑된 영역들에 걸쳐 반대 방향으로 감기며, 및/또는
    상기 센서는 위상을 벗어나 연결되는 2 개의 전극들을 포함하는 기판 홀더.
  12. 제 11 항에 있어서,
    상기 2 개의 전극들은 상기 기판 홀더 상에 둘둘 감키고 상호배치된 경로들(convoluted and interleaved paths)에 배치되는 기판 홀더.
  13. 리소그래피 장치에 있어서,
    패터닝 디바이스를 지지하도록 구성된 지지 구조체;
    상기 패터닝 디바이스에 의하여 패터닝된 빔을 기판 상에 투영하도록 배치되는 투영 시스템; 및
    상기 기판을 유지하도록 배치되는 기판 홀더 - 상기 기판 홀더는 제 1 항 내지 제 12 항 중 어느 한 항에 따른 기판 홀더 - 를 포함하는 리소그래피 장치.
  14. 제 13 항에 있어서,
    기판 테이블을 더 포함하며,
    상기 기판 홀더는 상기 기판 테이블에 통합되는 리소그래피 장치.
  15. 리소그래피 장치를 이용하는 디바이스 제조방법에 있어서,
    상기 방법은:
    상기 기판 홀더에 상기 기판을 유지시키는 동안 패터닝 디바이스에 의하여 패터닝된 빔을 기판 상에 투영하는 단계를 포함하며,
    상기 기판 홀더는 표면을 갖는 본체; 상기 표면으로부터 돌출되고 상기 기판을 지지하기 위한 단부면들을 갖는 복수의 버얼들; 상기 본체 표면의 적어도 일부에 제공되는 평탄화 층; 및 상기 평탄화 층 상에 제공되고 전기 구성요소를 형성하는 박막 스택을 포함하는 디바이스 제조방법.
  16. 리소그래피 장치에 이용하기 위한 기판 홀더에 있어서,
    상기 기판 홀더는:
    표면을 갖는 본체;
    상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면들을 갖는 복수의 버얼들; 및
    상기 본체 표면의 적어도 일부에 제공되는 평탄화 층을 포함하며,
    상기 평탄화 층은 제 1 서브-층 및 제 2 서브-층을 포함하고,
    상기 제 2 서브-층은 상기 제 1 서브-층과는 상이한 성분(composition)을 갖는 기판 홀더.
  17. 리소그래피 장치에 이용하기 위한 기판 홀더 제조 방법에 있어서,
    상기 방법은:
    표면을 갖는 본체, 및 상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면들을 갖는 복수의 버얼들을 제공하는 단계; 및
    상기 본체 표면의 적어도 일부에 평탄화 층을 형성하는 단계를 포함하며,
    상기 평탄화 층을 형성하는 단계는 제 1 서브-층 및 상기 제 1 서브-층 상의 제 2 서브-층을 형성하는 단계를 포함하여 이루어지며,
    상기 제 2 서브-층은 상기 제 1 서브-층과는 상이한 성분을 갖는 기판 홀더 제조 방법.
  18. 제 17 항에 있어서,
    상기 평탄화 층을 형성하는 단계는 상기 제 2 서브-층 상에 제 3 서브-층을 형성하는 단계를 더 포함하는 기판 홀더 제조 방법.
  19. 제 17 항 또는 제 18 항에 있어서,
    상기 제 1 서브-층을 형성하는 단계, 또는 상기 제 2 서브-층을 형성하는 단계, 또는 상기 제 1 서브-층과 상기 제 2 서브-층을 형성하는 단계는 폴리머 또는 폴리머-전구체의 용액을 스프레잉하는 단계를 포함하고, 및/또는
    상기 제 1 서브-층을 형성하는 단계, 또는 상기 제 2 서브-층을 형성하는 단계, 또는 상기 제 1 서브-층과 상기 제 2 서브-층을 형성하는 단계는 화학적 기상 증착(CVD) 프로세스, 바람직하게는 플라즈마 기상증착성장(plasma-enhanced chemical vapor depostion)(PE CVD) 프로세스를 포함하는 기판 홀더 제조 방법.
  20. 리소그래피 장치에 이용하기 위한 기판 홀더 제조 방법에 있어서,
    상기 방법은:
    표면을 갖는 본체, 및 상기 표면으로부터 돌출되고 기판을 지지하기 위한 단부면들을 갖는 복수의 버얼들을 제공하는 단계; 및
    상기 본체 표면의 적어도 일부에 평탄화 층을 형성하는 단계를 포함하며,
    상기 평탄화 층을 형성하는 단계는 제 1 서브-층을 형성하는 단계, 상기 제 1 서브-층을 베이크(bake)하여 경화시키는 단계, 및 상기 제 1 서브-층 상에 제 2 서브-층을 형성시키는 단계를 포함하는 기판 홀더 제조 방법.
KR1020120016588A 2011-02-18 2012-02-17 기판 홀더, 리소그래피 장치, 디바이스 제조방법, 및 기판 홀더 제조방법 KR101460597B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201161444483P 2011-02-18 2011-02-18
US61/444,483 2011-02-18
US201161477056P 2011-04-19 2011-04-19
US61/477,056 2011-04-19
US201161576627P 2011-12-16 2011-12-16
US61/576,627 2011-12-16

Publications (2)

Publication Number Publication Date
KR20120095327A true KR20120095327A (ko) 2012-08-28
KR101460597B1 KR101460597B1 (ko) 2014-11-13

Family

ID=45507515

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120016588A KR101460597B1 (ko) 2011-02-18 2012-02-17 기판 홀더, 리소그래피 장치, 디바이스 제조방법, 및 기판 홀더 제조방법

Country Status (7)

Country Link
US (2) US9256139B2 (ko)
EP (1) EP2490073B1 (ko)
JP (2) JP5795741B2 (ko)
KR (1) KR101460597B1 (ko)
CN (2) CN102645848B (ko)
SG (1) SG183603A1 (ko)
TW (1) TWI486721B (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2008630A (en) * 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2009858A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Substrate holder, lithographic apparatus, and device manufacturing method.
JP5957540B2 (ja) 2012-02-03 2016-07-27 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ製造方法
EP2839342A1 (en) * 2012-04-19 2015-02-25 ASML Netherlands B.V. Substrate holder, lithographic apparatus, and device manufacturing method
NL2010527A (en) 2013-03-27 2014-09-30 Asml Netherlands Bv Object holder, lithographic apparatus, device manufacturing method, and method of manufacturing an object holder.
JP6225582B2 (ja) * 2013-09-13 2017-11-08 株式会社リコー 熱型赤外線センサー
CN107111224B (zh) * 2014-11-17 2021-05-07 Asml荷兰有限公司 表膜附接设备
JP6629318B2 (ja) * 2014-11-24 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. 放射ビーム装置
WO2017182216A1 (en) 2016-04-20 2017-10-26 Asml Netherlands B.V. Substrate support, lithographic apparatus and loading method
CN109791363B (zh) 2016-07-06 2021-01-08 Asml荷兰有限公司 衬底保持器和制造衬底保持器的方法
DE102016119340A1 (de) * 2016-10-11 2018-04-12 Heraeus Sensor Technology Gmbh Verfahren zur Herstellung eines Sensors, Sensor und Verwendung eines Sensors
US10323973B2 (en) 2017-08-28 2019-06-18 Semiconductor Components Industries, Llc Capacitive sensor for liquid sensing
JP2019066313A (ja) * 2017-09-29 2019-04-25 ミネベアミツミ株式会社 ひずみゲージ
WO2019091694A1 (en) 2017-11-08 2019-05-16 Asml Netherlands B.V. A substrate holder and a method of manufacturing a device
KR102273970B1 (ko) * 2017-12-26 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
CN110221523B (zh) * 2018-03-01 2021-09-24 奥特斯科技(重庆)有限公司 用于曝光机的板件的保持装置
US11673161B2 (en) * 2019-03-11 2023-06-13 Technetics Group Llc Methods of manufacturing electrostatic chucks
US10959336B2 (en) * 2019-03-28 2021-03-23 Mikro Mesa Technology Co., Ltd. Method of liquid assisted binding
WO2020216571A1 (en) * 2019-04-25 2020-10-29 Asml Netherlands B.V. A substrate holder for use in a lithographic apparatus
CN110032046A (zh) * 2019-04-28 2019-07-19 德淮半导体有限公司 光刻机及其操作方法
US11747741B2 (en) * 2021-03-05 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate stage, substrate processing system using the same, and method for processing substrate
WO2023018758A1 (en) * 2021-08-10 2023-02-16 Virginia Commonwealth University Sputtering machines, substrate holders, and sputtering processes with magnetic biasing

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4509852A (en) 1980-10-06 1985-04-09 Werner Tabarelli Apparatus for the photolithographic manufacture of integrated circuit elements
JPS6059104B2 (ja) * 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
JPH03187240A (ja) * 1989-12-18 1991-08-15 Nikon Corp 静電チヤツク
JP3258042B2 (ja) * 1991-08-21 2002-02-18 キヤノン株式会社 ウエハチャック
JP3095514B2 (ja) * 1992-01-29 2000-10-03 キヤノン株式会社 基板保持盤
US6033475A (en) 1994-12-27 2000-03-07 Tokyo Electron Limited Resist processing apparatus
JPH08227933A (ja) 1995-02-20 1996-09-03 Shin Etsu Chem Co Ltd 静電吸着機能を有するウエハ加熱装置
JP3319693B2 (ja) * 1995-10-30 2002-09-03 松下電器産業株式会社 半導体装置及びその製造方法
JPH09270327A (ja) * 1996-03-29 1997-10-14 Tokin Corp 電子部品及びその製造方法
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
TW594426B (en) 1999-06-11 2004-06-21 Asml Netherlands Bv Lithographic projection apparatus, integrated circuit manufacturing method and integrated circuit made thereby
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
US6495802B1 (en) * 2001-05-31 2002-12-17 Motorola, Inc. Temperature-controlled chuck and method for controlling the temperature of a substantially flat object
US7092231B2 (en) * 2002-08-23 2006-08-15 Asml Netherlands B.V. Chuck, lithographic apparatus and device manufacturing method
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
SG121822A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
KR100585476B1 (ko) 2002-11-12 2006-06-07 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법
EP1475666A1 (en) 2003-05-06 2004-11-10 ASML Netherlands B.V. Substrate holder for lithographic apparatus
EP1498777A1 (en) * 2003-07-15 2005-01-19 ASML Netherlands B.V. Substrate holder and lithographic projection apparatus
JP2005136025A (ja) 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7304715B2 (en) * 2004-08-13 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7701550B2 (en) 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7327439B2 (en) * 2004-11-16 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4708876B2 (ja) * 2005-06-21 2011-06-22 キヤノン株式会社 液浸露光装置
WO2007036996A1 (ja) * 2005-09-28 2007-04-05 Shin-Etsu Engineering Co., Ltd. 基板保持構造
EP1770443B1 (en) * 2005-09-28 2016-01-20 Semiconductor Energy Laboratory Co., Ltd. Laser processing apparatus and exposure method
JP2007201068A (ja) 2006-01-25 2007-08-09 Taiheiyo Cement Corp 静電チャック
US8634053B2 (en) 2006-12-07 2014-01-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7940511B2 (en) 2007-09-21 2011-05-10 Asml Netherlands B.V. Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp
NL1036715A1 (nl) 2008-04-16 2009-10-19 Asml Netherlands Bv Lithographic apparatus.
EP2131241B1 (en) 2008-05-08 2019-07-31 ASML Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
US8421993B2 (en) 2008-05-08 2013-04-16 Asml Netherlands B.V. Fluid handling structure, lithographic apparatus and device manufacturing method
US7883988B2 (en) 2008-06-04 2011-02-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
JP2010161319A (ja) * 2009-01-09 2010-07-22 Nikon Corp 静電吸着保持装置、露光装置及びデバイスの製造方法
CN102308380B (zh) 2009-02-04 2014-06-04 马特森技术有限公司 用于径向调整衬底的表面上的温度轮廓的静电夹具系统及方法
US8582274B2 (en) 2009-02-18 2013-11-12 Ulvac, Inc. Tray for transporting wafers and method for fixing wafers onto the tray
WO2011034897A2 (en) * 2009-09-16 2011-03-24 Brewer Science Inc. Scratch-resistant coatings for protecting front-side circuitry during backside processing
EP2365390A3 (en) 2010-03-12 2017-10-04 ASML Netherlands B.V. Lithographic apparatus and method
WO2012000529A1 (en) 2010-07-01 2012-01-05 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e. V. Process for preparing magnetite or maghemite nanoparticles with controlled size using mild conditions
WO2012005294A1 (ja) 2010-07-09 2012-01-12 株式会社クリエイティブ テクノロジー 静電チャック装置及びその製造方法
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
NL2009858A (en) 2011-12-27 2013-07-01 Asml Netherlands Bv Substrate holder, lithographic apparatus, and device manufacturing method.
JP5957540B2 (ja) 2012-02-03 2016-07-27 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ製造方法
EP2839342A1 (en) 2012-04-19 2015-02-25 ASML Netherlands B.V. Substrate holder, lithographic apparatus, and device manufacturing method

Also Published As

Publication number Publication date
EP2490073A1 (en) 2012-08-22
US9256139B2 (en) 2016-02-09
EP2490073B1 (en) 2015-09-23
TWI486721B (zh) 2015-06-01
CN102645848A (zh) 2012-08-22
TW201241578A (en) 2012-10-16
JP2012175104A (ja) 2012-09-10
CN102645848B (zh) 2016-05-25
US20120212725A1 (en) 2012-08-23
US10018924B2 (en) 2018-07-10
SG183603A1 (en) 2012-09-27
JP5897643B2 (ja) 2016-03-30
US20160170314A1 (en) 2016-06-16
KR101460597B1 (ko) 2014-11-13
JP5795741B2 (ja) 2015-10-14
JP2014225669A (ja) 2014-12-04
CN105824199A (zh) 2016-08-03
CN105824199B (zh) 2019-03-29

Similar Documents

Publication Publication Date Title
KR101460597B1 (ko) 기판 홀더, 리소그래피 장치, 디바이스 제조방법, 및 기판 홀더 제조방법
US11960213B2 (en) Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder
US11454895B2 (en) Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder
KR101739765B1 (ko) 물체 홀더 및 물체 홀더를 제조하는 방법
US9316927B2 (en) Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder
TWI507828B (zh) 基板支架、微影裝置及元件製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171027

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181029

Year of fee payment: 5