KR20120008402A - Metal insulator metal capacitor and method for fabricating the same - Google Patents

Metal insulator metal capacitor and method for fabricating the same Download PDF

Info

Publication number
KR20120008402A
KR20120008402A KR1020100069232A KR20100069232A KR20120008402A KR 20120008402 A KR20120008402 A KR 20120008402A KR 1020100069232 A KR1020100069232 A KR 1020100069232A KR 20100069232 A KR20100069232 A KR 20100069232A KR 20120008402 A KR20120008402 A KR 20120008402A
Authority
KR
South Korea
Prior art keywords
film
layer
hard mask
spacer
upper electrode
Prior art date
Application number
KR1020100069232A
Other languages
Korean (ko)
Other versions
KR101261969B1 (en
Inventor
조진연
강영수
구상근
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR1020100069232A priority Critical patent/KR101261969B1/en
Priority to US12/985,812 priority patent/US8445991B2/en
Priority to TW100102354A priority patent/TWI529861B/en
Priority to CN201110193949.4A priority patent/CN102339869B/en
Publication of KR20120008402A publication Critical patent/KR20120008402A/en
Application granted granted Critical
Publication of KR101261969B1 publication Critical patent/KR101261969B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/10Metal-oxide dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/12Ceramic dielectrics
    • H01G4/1272Semiconductive ceramic capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors

Abstract

PURPOSE: A metal-insulator-metal(MIM) capacitor and a manufacturing method thereof are provided to completely separate the MIM capacitor from an external environment, thereby improving reliability and minimizing influences with respect to following processes. CONSTITUTION: A lower electrode(105a) is arranged on a substrate(101). A dielectric film(107) is arranged on the lower electrode. The dielectric film is comprised of a first region and a second region(107a) which have different thicknesses. An upper electrode(109a) is arranged on the second region of the dielectric film. A hard mask is arranged on the upper electrode. A spacer(121a) is arranged on a lateral surface of the dielectric film, upper electrode, and hard mask.

Description

엠아이엠 캐패시터 및 그 제조방법{METAL INSULATOR METAL CAPACITOR AND METHOD FOR FABRICATING THE SAME} MIM Capacitor and Manufacturing Method therefor {METAL INSULATOR METAL CAPACITOR AND METHOD FOR FABRICATING THE SAME}

본 발명은 캐패시터 및 그 제조방법에 관한 것으로서, 보다 상세하게는 고유전율을 갖는 엠아이엠(MIM; Metal-Insulator-Metal) 캐패시터 및 제조방법에 관한 것이다.The present invention relates to a capacitor and a method for manufacturing the same, and more particularly, to a metal-insulator-metal (MIM) capacitor having a high dielectric constant and a method for manufacturing the same.

통상적으로 반도체장치는 그 기능적인 면에 있어 높은 축적 용량을 가지면서 고속으로 동작하는 것이 요구된다. In general, the semiconductor device is required to operate at a high speed while having a high storage capacity in terms of its function.

이를 위하여, 반도체 장치는 집적도, 응답 속도 및 신뢰도를 향상시키기 위한 방향으로 제조 기술들이 개발되고 있다. 반도체 장치의 리프레쉬(refresh) 특성을 개선하기 위해서는 반도체 장치에 포함되는 캐패시터와 같은 구성 요소의 정전 용량 값이 커야 한다.To this end, manufacturing techniques have been developed for semiconductor devices to improve integration, response speed, and reliability. In order to improve the refresh characteristic of the semiconductor device, a capacitance value of a component such as a capacitor included in the semiconductor device must be large.

그러나, 최근 들어, 반도체 장치가 고집적화 됨에 따라 단위 셀 면적은 계속 감소되고 있다. 이에 따라, 반도체 장치의 셀 정전 용량도 감소하게 되어 장치의 동작에 필요한 정전 용량을 확보하기가 어려워지고 있다.However, in recent years, as the semiconductor devices are highly integrated, the unit cell area continues to decrease. Accordingly, the cell capacitance of the semiconductor device is also reduced, making it difficult to secure the capacitance required for the operation of the device.

일반적으로 캐패시터의 정전 용량은 대향 전극의 면적이 넓을수록, 전극 사이의 유전체의 비유전율이 높을수록, 그리고 유전체의 두께가 얇을수록 보다 증가된 정전 용량을 가진다. 따라서, 적절한 정전 용량을 얻기 위해, 유전체의 두께를 감소시키면서 캐패시터의 구조를 다양화하고 있다. In general, the capacitance of the capacitor has an increased capacitance as the area of the counter electrode is larger, the dielectric constant of the dielectric between the electrodes is higher, and the thickness of the dielectric is thinner. Therefore, in order to obtain an appropriate capacitance, the structure of the capacitor is diversified while reducing the thickness of the dielectric.

한편, 최근까지 캐패시터의 유전물질로 사용하는 산화물/질화물/산화물(ONO) 유전층 대신에 높은 유전상수를 갖는 페로브스카이트(perovskite) 구조의 BST(Ba, Sr)TiO3), 스트론튬 티타늄 산화물 (SrTiO3), 바륨 티타늄 산화물(BaTiO3)과 같은 고유전율을 갖는 물질을 적용하여 적절한 정전용량을 수득하려는 연구도 진행되고 있다. Meanwhile, BST (Ba, Sr) TiO 3 ) and strontium titanium oxide (perovskite) having a high dielectric constant instead of an oxide / nitride / oxide (ONO) dielectric layer used as a dielectric material of a capacitor until recently. research into applying a material having a high dielectric constant, such as SrTiO 3), barium titanium oxide (BaTiO 3) to give the appropriate capacitance may in progress.

그러나, 이러한 유전상수 값이 높은 고유전율(high-k) 물질을 엠아이엠 캐패시터의 절연막으로 사용할 경우에, 엠아이엠 캐패시터(MIM capacitor)의 상부전극을 식각한 후 잔류하는 절연막 때문에 후속 공정에 영향을 미치게 된다. However, when a high-k material having such a high dielectric constant is used as an insulating film of an M capacitor, the subsequent insulating film affects the subsequent process due to the remaining insulating film after etching the upper electrode of the MM capacitor. Go crazy.

또한, 잔류하는 고유전율의 절연막에 의해 감광막(PR; photoresist) 마진 부족 및 금속성 폴리머(polymer)의 발생으로 인하여 금속배선의 프로파일이 불량해지게 되며, 후속 비아(via) 식각 공정에서 홀(hole) 내부에 금속성 폴리머가 잔류하게 된다. 이와 같은 문제점들로 인해 비아(via) 저항이 증가하게 되어 캐패시터의 신뢰성이 저하된다. In addition, due to the remaining high dielectric constant insulating film (PR; photoresist) margin and the generation of metallic polymer (poor) the metal wiring profile is poor, and the hole (hole) in the subsequent via etching process The metallic polymer remains inside. Due to these problems, the via resistance is increased, thereby reducing the reliability of the capacitor.

그리고, 잔류하는 절연막을 제거하기 위해 후속 비아 과도 식각타겟(via over etch target)을 증가시키게 되면, 파괴전압(breakdown voltage) 특성 열화가 발생하게 된다.In addition, when the subsequent via over etch target is increased to remove the remaining insulating layer, breakdown voltage characteristic degradation occurs.

이에 본 발명은 상기 종래기술의 제반 문제점을 해결하기 위하여 안출한 것으로서, 본 발명의 목적은 엠아이엠 캐패시터를 외부 환경으로부터 완전히 격리시켜 신뢰성 향상 및 후속 공정에 대한 영향을 최소화하고, 비아 과도 식각 타겟에 따른 파괴전압(breakdown voltage) 열화를 방지할 수 있는 엠아이엠 캐패시터 및 그 제조방법을 제공함에 있다.Accordingly, the present invention has been made to solve the above-mentioned problems of the prior art, the object of the present invention is to completely isolate the IC capacitor from the external environment to improve the reliability and minimize the impact on the subsequent process, to the via transient etching target It is to provide an M capacitor and a method of manufacturing the same that can prevent the breakdown voltage degradation.

상기 목적을 달성하기 위해, 본 발명의 실시예에 따른 엠아이엠 캐패시터는, 기판 상에 형성된 하부전극; 상기 하부전극 상에 형성되고, 두께가 서로 다른 제1영역과 제2 영역으로 구성된 유전막; 상기 유전막의 제2 영역 상에 형성된 상부전극; 상기 상부전극 상에 형성된 하드마스크; 및 상기 하드마스크, 유전막의 제2 영역 및 상부전극 측면에 형성된 스페이서;를 포함하여 구성되는 것을 특징으로 한다.In order to achieve the above object, the M capacitor according to an embodiment of the present invention, the lower electrode formed on the substrate; A dielectric film formed on the lower electrode and configured of a first region and a second region having different thicknesses; An upper electrode formed on the second region of the dielectric layer; A hard mask formed on the upper electrode; And a spacer formed on the hard mask, the second region of the dielectric layer, and the side of the upper electrode.

상기 목적을 달성하기 위한 본 발명의 실시 예에 따른 엠아이엠 반도체소자는, 기판 상에 형성된 하부전극 및 상부전극; 상기 하부전극 및 상기 상부전극 사이에 형성된 고유전율 상수를 갖는 유전막; 상기 상부전극 측면과 상면을 감싸는 제1 보호막; 및 상기 유전막의 측면과 상기 보호막을 감싸는 제2 보호막;을 포함하여 구성되며, 상기 유전막의 폭은 상기 상부전극의 폭보다 크며, 상기 제1 보호막과 상기 제2 보호막은 서로 식각률이 다른 물질로 구성된 것을 특징으로 한다.MIM semiconductor device according to an embodiment of the present invention for achieving the above object, the lower electrode and the upper electrode formed on the substrate; A dielectric film having a high dielectric constant formed between the lower electrode and the upper electrode; A first passivation layer surrounding the upper electrode side surface and the upper surface; And a second passivation layer surrounding the sidewalls of the dielectric layer and the passivation layer, wherein the width of the dielectric layer is greater than the width of the upper electrode, and the first passivation layer and the second passivation layer are formed of materials having different etching rates. It is characterized by.

상기 목적을 달성하기 위해, 본 발명의 실시예에 따른 엠아이엠 캐패시터 제조방법은, 기판 상에 하부전극을 형성하는 단계; 상기 하부전극 상에 유전막을 형성하는 단계; 상기 유전막 상에 상부전극과 하드마스크를 형성하는 단계; 및 상기 유전막, 상부전극 및 하드마스크 측면에 스페이서를 형성하는 단계;를 포함하여 구성되는 것을 특징으로 한다.In order to achieve the above object, the M capacitor manufacturing method according to an embodiment of the present invention, forming a lower electrode on the substrate; Forming a dielectric film on the lower electrode; Forming an upper electrode and a hard mask on the dielectric layer; And forming a spacer on side surfaces of the dielectric layer, the upper electrode, and the hard mask.

상기 목적을 달성하기 위해, 본 발명의 실시예에 따른 엠아이엠 캐패시터 제조방법은, 기판 상에 제1 금속막을 형성하는 단계; 상기 제1 금속막 상에 유전막과, 제2 금속막 및 하드마스크 절연막을 차례로 적층하는 단계; 상기 하드마스크 절연막과 제2 금속막을 패터닝하여 하드마스크와 상부전극을 형성하는 단계; 상기 하드마스크, 상부전극 및 유전막을 포함한 기판 전면에 스페이서 절연막을 형성하는 단계; 상기 스페이서 절연막을 전면 식각하여 상기 하드마스크, 상부전극 및 유전막 측면에 스페이서를 형성하는 단계; 상기 스페이서, 하드마스크 및 제1 금속막 상에 버퍼 절연막을 형성하는 단계; 및 상기 버퍼 절연막과 제1 금속막을 패터닝하여 하부전극을 형성하는 단계를 포함하여 구성되는 것을 특징으로 한다.In order to achieve the above object, the M capacitor manufacturing method according to an embodiment of the present invention, forming a first metal film on the substrate; Sequentially stacking a dielectric film, a second metal film, and a hard mask insulating film on the first metal film; Patterning the hard mask insulating layer and the second metal layer to form a hard mask and an upper electrode; Forming a spacer insulating film on an entire surface of the substrate including the hard mask, the upper electrode, and the dielectric film; Etching the entire spacer insulating film to form a spacer on side surfaces of the hard mask, the upper electrode, and the dielectric film; Forming a buffer insulating layer on the spacer, the hard mask, and the first metal layer; And patterning the buffer insulating film and the first metal film to form a lower electrode.

본 발명에 따르면, 엠아이엠 캐패시터(MIM capacitor)가 외부 환경으로부터 격리되어 각종 결함(defect) 들로부터 보호되어 양호한 누설전류(leakage current) 특성을 확보할 수 있다.According to the present invention, the MIM capacitor is isolated from the external environment and protected from various defects, thereby ensuring good leakage current characteristics.

또한, 본 발명에 따르면, 엠아이엠 캐패시터의 스페이서 식각 단계에서 잔류하는 절연막을 제거하여 후속 공정에 영향을 주지 않으므로 양호한 비아 (via) 저항 확보가 가능하다.In addition, according to the present invention, it is possible to secure good via resistance since the insulating film remaining in the spacer etching step of the M capacitor is not affected by the subsequent process.

그리고, 본 발명에 따르면, 금속막 상부에 증착한 SiON은 비아 식각시에 식각 타겟을 완충시켜 줌으로써 엠아이엠 캐패시터의 파괴전압(breakdown voltage) 특성 열화를 방지할 수 있다.In addition, according to the present invention, the SiON deposited on the metal layer may prevent the deterioration of breakdown voltage characteristics of the M capacitor by buffering the etching target during the via etching.

따라서, 본 발명에 따른 엠아이엠 캐패시터 제조공정을 이용하는 경우에, 파괴전압(breakdown voltage) 및 결함 밀도(defect density) 등과 같은 신뢰성 측면에서 매우 우수한 특성을 갖게 된다. Therefore, when the M capacitor manufacturing process according to the present invention is used, it has very excellent characteristics in terms of reliability, such as breakdown voltage and defect density.

도 1은 본 발명에 따른 엠아이엠(MIM) 캐패시터를 설명하기 위한 개략적인 단면도이다.
도 2a 내지 도 2r은 본 발명에 따른 엠아이엠(MIM) 캐패시터 제조방법을 설명하기 위한 단면도들이다.
1 is a schematic cross-sectional view for explaining an MIM capacitor according to the present invention.
2A to 2R are cross-sectional views illustrating a method of manufacturing an MIM capacitor according to the present invention.

이하, 본 발명의 바람직한 실시예에 따른 엠아이엠 캐패시터 구조에 대해 첨부된 도면을 참조하여 상세하게 설명한다.Hereinafter, an M capacitor structure according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명의 바람직한 실시예에 따른 엠아이엠 캐패시터의 단면도를 개략적으로 도시한 도면이다.1 is a schematic cross-sectional view of an MI capacitor according to a preferred embodiment of the present invention.

도 1을 참조하면, 본 발명에 따른 엠아이엠 캐패시터는 기판(101) 상에 형성된 하부전극(105a)과, 상기 하부전극(105a) 상에 형성되고, 고유전 상수 값을 갖으며, 제1 영역과 상기 제1 영역으로부터 돌출된 제2 영역인 유전막 돌출부(107a)를 포함하는 유전막(107)과, 상기 유전막(107)의 제1 영역 상에 형성된 상부전극 (109a) 및 상기 유전막 (107)과 상부전극(109a) 측면에 형성된 스페이서(121a)를 포함하여 구성된다.Referring to FIG. 1, an M capacitor according to the present invention is formed on a lower electrode 105a formed on a substrate 101, on the lower electrode 105a, has a high dielectric constant value, and has a first region. And a dielectric film 107 including a dielectric film protrusion 107a which is a second region protruding from the first region, an upper electrode 109a and the dielectric film 107 formed on the first region of the dielectric film 107. It is configured to include a spacer (121a) formed on the side of the upper electrode (109a).

여기서, 상기 유전막(107)은 상기 상부전극(109a)과 오버랩되는 제1 영역과, 상기 제1 영역으로부터 연장되어 돌출된 제2 영역인 유전막 돌출부(107a)로 구성된다. 이때, 상기 유전막의 가로 길이(또는 폭, width)는 상기 유전막(107) 위에 형성된 상부전극(109a) 길이(또는 폭)보다 길게 형성된다. 이는 상기 유전막을 상부전극보다 넓게 형성됨으로써 상부전극과 하부전극 사이를 잘 분리하여 리키지(leakage) 발생을 억제하는데 도움을 준다. 만일, 유전막과 상부전극이 같은 폭을 가질 경우, 상부전극과 하부전극 사이의 길이가 짧기 때문에 측면을 따라 전계에 의해 리키지(leakage)가 발생할 가능성이 있다. 그렇지만, 본 발명처럼 유전막의 폭이 상부전극보다 크면 그러한 문제를 방지할 수 있다.The dielectric layer 107 includes a first region overlapping the upper electrode 109a and a dielectric layer protrusion 107a which is a second region extending from the first region. In this case, the horizontal length (or width) of the dielectric layer is longer than the length (or width) of the upper electrode 109a formed on the dielectric layer 107. This is because the dielectric film is formed wider than the upper electrode, thereby separating the upper electrode and the lower electrode well, thereby helping to suppress the occurrence of leakage. If the dielectric film and the upper electrode have the same width, there is a possibility that leakage occurs due to the electric field along the side surface because the length between the upper electrode and the lower electrode is short. However, if the width of the dielectric film is larger than the upper electrode as in the present invention, such a problem can be prevented.

상기 기판(101) 상에는 하부 구조물이 형성된다. 상기 하부 구조물은 패드, 플러그, 도전막 패턴, 절연막 패턴, 게이트 구조물, 트랜지스터 등을 포함할 수 있다. 또한, 상기 기판(101)은 반도체기판이나 금속 산화물 단결정 기판을 포함할 수 있다. 예를 들면, 상기 기판(101)은 실리콘기판, 게르마늄기판, SOI기판, GOI기판, 알루미늄 산화물 단결정 기판, 티타늄 산화물 단결정 기판 등을 포함할 수 있다. A lower structure is formed on the substrate 101. The lower structure may include a pad, a plug, a conductive layer pattern, an insulating layer pattern, a gate structure, a transistor, and the like. In addition, the substrate 101 may include a semiconductor substrate or a metal oxide single crystal substrate. For example, the substrate 101 may include a silicon substrate, a germanium substrate, an SOI substrate, a GOI substrate, an aluminum oxide single crystal substrate, a titanium oxide single crystal substrate, or the like.

또한, 상기 기판(101)과 캐패시터 사이에는 절연 구조물(미도시)이 개재된다. 상기 절연 구조물은 하나의 산화막으로 이루어진 단일막 구조 를 가질 수 있다. 예를 들면, 상기 절연 구조물(미도시)은 BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD 산화물 등을 사용하여 형성할 수 있다. 한편, 상기 절연 구조물(미도시)로는 기판(101) 상에 형성된 적어도 하나의 산화막, 적어도 하나의 질화막 및/또는 적어도 하나의 산질화막을 포함하는 다층 막 구조를 가질 수도 있다. 여기서, 상기 산화막, 질화막 및 산질화막은 각기 실리콘 질화물, 실리콘 질화물 및 실리콘 산질화물을 사용하여 형성할 수 있다. In addition, an insulating structure (not shown) is interposed between the substrate 101 and the capacitor. The insulating structure may have a single film structure consisting of one oxide film. For example, the insulating structure (not shown) may be formed using BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD oxide, or the like. Meanwhile, the insulating structure (not shown) may have a multilayer film structure including at least one oxide film, at least one nitride film, and / or at least one oxynitride film formed on the substrate 101. Here, the oxide film, nitride film and oxynitride film may be formed using silicon nitride, silicon nitride and silicon oxynitride, respectively.

그리고, 상기 기판(101)과 하부전극(105a) 사이에는 금속배선(103a)이 형성된다. 상기 금속배선(103a)은 알루미늄(Al), 텅스텐, 티타늄, 탄탈륨, 구리, 텅스텐 질화물, 알루미늄 질화물, 티타늄 질화물, 티타늄 알루미늄 질화물, 탄탈륨 질화물 등으로 구성되거나, 이들 각각이 단독으로 또는 서로 혼합되어 사용될 수 있다. 본 발명의 실시예에 있어, 상기 금속배선(103a)은 알루미늄(Al)으로 구성된 경우이다.A metal wiring 103a is formed between the substrate 101 and the lower electrode 105a. The metal wire 103a may be made of aluminum (Al), tungsten, titanium, tantalum, copper, tungsten nitride, aluminum nitride, titanium nitride, titanium aluminum nitride, tantalum nitride, or the like, each of which may be used alone or mixed with each other. Can be. In the embodiment of the present invention, the metal wiring 103a is made of aluminum (Al).

더욱이, 상기 하부전극(105a)은 금속, 합금 또는 도전성 금속 화합물을 사용하여 형성될 수 있다. 예를 들면, 상기 하부전극(105a)은 Ru, SrRuO3, Pt, TaN, WN, TiN, TiAlN, Co, Cu, Hf, Cu, 또는 이들의 합금(alloy)으로 이루어진 그룹 중에서 선택된 어느 하나 이상이거나, 이들 각각이 단독으로 또는 서로 혼합되어 사용될 수 있다. 본 발명의 실시예에 있어, 상기 하부전극(105a)은 TiN 으로 구성된 경우이다. In addition, the lower electrode 105a may be formed using a metal, an alloy, or a conductive metal compound. For example, the lower electrode 105a may be any one or more selected from the group consisting of Ru, SrRuO 3 , Pt, TaN, WN, TiN, TiAlN, Co, Cu, Hf, Cu, or an alloy thereof. Each of these may be used alone or in combination with each other. In the embodiment of the present invention, the lower electrode 105a is formed of TiN.

또한, 상기 유전막(107)은 고유전 상수 값을 갖는 SiN, Ta2O5, HfO2, Al2O3 등을 포함하는 절연물질 중에서 어느 하나로 구성되거나, 엠아이엠 캐패시터 (MIM capacitor)의 용량을 증가시킬 목적으로 HfO2/Al2O3 등 적층 구조 또는 HfO2/Al2O3 층이 반복되는 라미네이트(laminate) 구조로 형성될 수 있다. 여기서, 상기 HfO2 층은 누설 전류(leakage current)를 줄이는데 효과적이다. In addition, the dielectric layer 107 may be formed of any one of an insulating material including SiN, Ta 2 O 5 , HfO 2 , Al 2 O 3, or the like having a high dielectric constant value, or may have a capacity of an MIM capacitor. For the purpose of increasing, a laminate structure such as HfO 2 / Al 2 O 3 or a HfO 2 / Al 2 O 3 layer may be formed in a laminate structure in which the layer is repeated. Here, the HfO 2 layer is effective to reduce the leakage current (leakage current).

더욱이, 상기 상부전극(109a)은 금속, 합금 또는 도전성 금속 화합물을 사용하여 형성될 수 있다. 예를 들면, 상기 상부전극(109a)은 Ru, SrRuO3, Pt, TaN, WN, TiN, TiAlN, Co, Cu, Hf, Cu, 또는 이들의 합금(alloy)으로 이루어진 그룹 중에서 선택된 어느 하나 이상이거나, 이들 각각이 단독으로 또는 서로 혼합되어 사용될 수 있다. 본 발명의 실시예에 있어, 상기 상부전극(109a)은 TiN으로 구성된 경우이다. In addition, the upper electrode 109a may be formed using a metal, an alloy, or a conductive metal compound. For example, the upper electrode 109a is at least one selected from the group consisting of Ru, SrRuO 3 , Pt, TaN, WN, TiN, TiAlN, Co, Cu, Hf, Cu, or an alloy thereof. Each of these may be used alone or mixed with each other. In the embodiment of the present invention, the upper electrode 109a is formed of TiN.

또한, 상기 상부전극(109a) 상에는 하드마스크(111a)가 형성되어 있다. 상기 하드마스크(111a)는 하나의 산화막으로 이루어진 단일막 구조로 이루어질 수 있다. 예를 들면, 상기 하드마스크(111a)는 BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD 등과 같은 실리콘 산화물 계열 또는, SiN 및 SiON 과 같은 질화물 계열로 구성될 수 있다. 상기 하드마스크(111a)는 적어도 하나의 산화막, 적어도 하나의 질화막 및/또는 적어도 하나의 산질화막을 포함하는 다층 막 구조를 가질 수도 있다. 여기서, 상기 산화막, 질화막 및 산질화막은 각기 실리콘 질화물, 실리콘 질화물 및 실리콘 산질화물을 사용하여 형성할 수 있다. 한편, 상기 하드마스크(111a)의 두께는 100~4000Å 정도가 바람직하다.In addition, a hard mask 111a is formed on the upper electrode 109a. The hard mask 111a may have a single film structure including one oxide film. For example, the hard mask 111a may be formed of silicon oxide based such as BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD, or nitride based such as SiN and SiON. The hard mask 111a may have a multilayer film structure including at least one oxide film, at least one nitride film, and / or at least one oxynitride film. Here, the oxide film, nitride film and oxynitride film may be formed using silicon nitride, silicon nitride and silicon oxynitride, respectively. On the other hand, the thickness of the hard mask 111a is preferably about 100 ~ 4000Å.

그리고, 상기 스페이서(121a)는 하나의 산화막으로 이루어진 단일막 구조를 가질 수 있다. 예를 들면, 상기 스페이서(121a)는 BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD 등과 같은 실리콘 산화물 계열과, SiN 및 SiON 과 같은 질화물 계열로 구성될 수 있다. 또한, 상기 스페이서(121a)는 적어도 하나의 산화막, 적어도 하나의 질화막 및/또는 적어도 하나의 산질화막을 포함하는 다층 막 구조를 가질 수도 있다. 여기서, 상기 산화막, 질화막 및 산질화막은 각기 실리콘 질화물, 실리콘 질화물 및 실리콘 산질화물을 사용하여 형성할 수 있다. 한편, 상기 스페이서(121a)의 두께는 100~4000Å 정도가 바람직하다. The spacer 121a may have a single film structure including one oxide film. For example, the spacer 121a may be formed of silicon oxide based such as BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD, and nitride based such as SiN and SiON. In addition, the spacer 121a may have a multilayer film structure including at least one oxide film, at least one nitride film, and / or at least one oxynitride film. Here, the oxide film, nitride film and oxynitride film may be formed using silicon nitride, silicon nitride and silicon oxynitride, respectively. On the other hand, the thickness of the spacer 121a is preferably about 100 to 4000 mm.

상기 스페이서(121a) 아래에는 유전막 돌출부(107a)가 존재하고, 그 유전막 돌출부(107a) 아래에는 하부전극(105a)이 존재하고 있다. 여기서, 상기 스페이서 (121a) 아래에 존재하는 유전막 돌출부(107a)의 두께는 상기 상부전극(109a)과 하부전극(105a) 사이에 있는 유전막(107)의 두께보다 얇다. 또한, 상기 스페이서 (121a)의 측면으로는 상부전극(109a), 유전막(107) 및 하드마스크(111a)가 접촉하고 있다. 따라서, 상기 스페이서(121a)로 인해, 엠아이엠 캐패시터(MIM capacitor)가 외부 환경으로부터 격리되어 각종 결함(defect) 들로부터 보호되어 양호한 누설전류(leakage current) 특성을 얻을 수 있게 된다.A dielectric film protrusion 107a exists below the spacer 121a, and a lower electrode 105a exists below the dielectric film protrusion 107a. The thickness of the dielectric film protrusion 107a under the spacer 121a is thinner than the thickness of the dielectric film 107 between the upper electrode 109a and the lower electrode 105a. In addition, the upper electrode 109a, the dielectric layer 107, and the hard mask 111a are in contact with the side surface of the spacer 121a. Therefore, due to the spacer 121a, the MIM capacitor is isolated from the external environment and protected from various defects, thereby obtaining good leakage current characteristics.

또한, 상기 스페이서(121a)를 포함한 하드마스크(111a) 및 하부전극(105a) 상에 버퍼 절연막(123)이 형성되어 있다. 상기 버퍼 절연막(123)은 질소 원자가 함유된 실리콘 산화질화막인 SiON으로 구성되는데, 상기 SiON으로 구성된 절연막 (123)은 후속 금속 패터닝시에 리소그라피 공정의 마진 향상을 위한 반사방지막 역할을 한다. 또한, 상기 버퍼 절연막(123)은 비아 식각 타겟(via etch target)을 완충시켜 주기 위한 버퍼층(buffer layer)으로의 역할도 동시에 수행한다. 또한, 상기 버퍼 절연막(123)은 비하 홀(via hole) 형성시에 식각 중지(etch stopping) 역할을 하는 식각 중지막(etch stopper)으로도 사용한다. 여기서, 상기 버퍼 절연막 (123)의 두께는 50~1000Å 정도가 바람직하다. 한편, 상기 버퍼 절연막(123)은, 무기(inorganic) SiON 대신에 유기 BARC를 사용하여 형성될 수 있다.In addition, a buffer insulating layer 123 is formed on the hard mask 111a including the spacer 121a and the lower electrode 105a. The buffer insulating film 123 is composed of SiON, a silicon oxynitride film containing nitrogen atoms, and the insulating film 123 composed of SiON serves as an anti-reflection film for margin improvement of a lithography process during subsequent metal patterning. In addition, the buffer insulating layer 123 also serves as a buffer layer for buffering the via etch target. In addition, the buffer insulating layer 123 may also be used as an etch stopper that serves as an etch stop when forming a via hole. Here, the thickness of the buffer insulating film 123 is preferably about 50 to 1000 GPa. The buffer insulating layer 123 may be formed using organic BARC instead of inorganic SiON.

또한, 상기 금속배선(103a), 하부전극(105a) 및 버퍼 절연막(123)을 포함한 기판 전면에 층간절연막(131)이 형성되고, 그 내부에는 상기 하부전극(105a)과 상부전극(109a)을 노출시키는 제1, 2 개구(135a, 135b)가 형성되어 있다.In addition, an interlayer insulating film 131 is formed on the entire surface of the substrate including the metal wiring 103a, the lower electrode 105a, and the buffer insulating film 123, and the lower electrode 105a and the upper electrode 109a are formed therein. First and second openings 135a and 135b for exposing are formed.

그리고, 상기 제1, 2 개구(135a, 135b) 각각에는 제1, 2 플러그(137a, 137b)가 각각 형성되어 있다. 상기 제1, 2 플러그(137a, 137b)는 텅스텐(W), 알루미늄 (Al), 티타늄, 탄탈륨, 구리, 텅스텐 질화물, 알루미늄 질화물, 티타늄 질화물, 티타늄 알루미늄 질화물, 탄탈륨 질화물 등으로 구성될 수 있다. 본 발명의 실시예에 있어, 상기 제1, 2 플러그(137a, 137b)는 텅스텐(W)을 사용하여 형성하는 경우이다. First and second plugs 137a and 137b are formed in the first and second openings 135a and 135b, respectively. The first and second plugs 137a and 137b may be formed of tungsten (W), aluminum (Al), titanium, tantalum, copper, tungsten nitride, aluminum nitride, titanium nitride, titanium aluminum nitride, tantalum nitride, or the like. In the embodiment of the present invention, the first and second plugs 137a and 137b are formed using tungsten (W).

상기 제1, 2 플러그(137a, 137b)를 포함한 층간절연막(131) 상에는 제1, 2 플러그(137a, 137b)를 통해 상기 하부전극(105a)과 상부전극(109a)과 각각 접속되는 제1, 2 패드(139a, 139b)와 제1, 2 반사방지막패턴(141a, 141b)이 적층되어 있다.On the interlayer insulating film 131 including the first and second plugs 137a and 137b, the first and second electrodes 137a and 137b are connected to the lower electrode 105a and the upper electrode 109a, respectively. The second pads 139a and 139b and the first and second anti-reflection film patterns 141a and 141b are stacked.

이상에서와 같이, 본 발명에 따르면 엠아이엠 캐패시터(MIM capacitor)가 외부 환경으로부터 격리되어 각종 결함(defect) 들로부터 보호되어 양호한 누설전류 (leakage current) 특성을 얻을 수 있게 된다. As described above, according to the present invention, the MIM capacitor is isolated from the external environment and protected from various defects, thereby obtaining good leakage current characteristics.

한편, 본 발명에 따른 엠아이엠 캐패시터 제조방법에 대해 도 2a 내지 도 2r를 참조하여 설명하면 다음과 같다.On the other hand, it will be described with reference to Figures 2a to 2r with respect to the M capacitor manufacturing method according to the present invention.

도 2a 내지 도 2r은 본 발명에 따른 엠아이엠(MIM) 캐패시터 제조방법을 설명하기 위한 단면도들이다.2A to 2R are cross-sectional views illustrating a method of manufacturing an MIM capacitor according to the present invention.

도면에는 도시하지 않았지만, 먼저 기판(101) 상에 하부 구조물(미도시)를 형성한 후, 상기 하부 구조물 위에 층간절연막(미도시)을 증착한다. 이때, 상기 기판 (101)은 반도체기판이나 금속 산화물 단결정 기판을 포함할 수 있다. 예를 들면, 기판(101)은 실리콘기판, 게르마늄기판, SOI기판, GOI기판, 알루미늄 산화물 단결정 기판, 티타늄 산화물 단결정 기판 등을 포함할 수 있다. 상기 하부 구조물(미도시)은, 도면에는 도시하지 않았지만, 기판(101) 상에 형성된 패드, 도전성 패턴, 배선, 게이트 구조물, 트랜지스터 등을 포함할 수 있다. Although not shown in the drawings, a lower structure (not shown) is first formed on the substrate 101, and then an interlayer insulating film (not shown) is deposited on the lower structure. In this case, the substrate 101 may include a semiconductor substrate or a metal oxide single crystal substrate. For example, the substrate 101 may include a silicon substrate, a germanium substrate, an SOI substrate, a GOI substrate, an aluminum oxide single crystal substrate, a titanium oxide single crystal substrate, or the like. Although not shown in the drawing, the lower structure may include a pad, a conductive pattern, a wiring, a gate structure, a transistor, and the like formed on the substrate 101.

또한, 상기 층간절연막(미도시)은 화학 기상 증착(CVD) 공정, 저압 화학 기상 증착(LPCVD) 공정, 플라즈마 증대 화학 기상 증착(PECVD) 공정, 또는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 공정을 이용하여 형성할 수 있다. 상기 층간절연막은 하나의 산화막으로 이루어진 단일막 구조를 가질 수 있다. 예를 들면, 상기 층간절연막(미도시)은 BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD 산화물 등을 사용하여 형성할 수 있다. 한편, 층간절연막(미도시)으로는 기판(101) 상에 형성된 적어도 하나의 산화막, 적어도 하나의 질화막 및/또는 적어도 하나의 산질화막을 포함하는 다층 막 구조를 가질 수도 있다. 여기서, 상기 산화막, 질화막 및 산질화막은 각기 실리콘 질화물, 실리콘 질화물 및 실리콘 산질화물을 사용하여 형성할 수 있다. In addition, the interlayer insulating film (not shown) may be a chemical vapor deposition (CVD) process, a low pressure chemical vapor deposition (LPCVD) process, a plasma enhanced chemical vapor deposition (PECVD) process, or a high density plasma chemical vapor deposition (HDP-CVD) process. It can form using. The interlayer insulating film may have a single film structure consisting of one oxide film. For example, the interlayer insulating film (not shown) may be formed using BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD oxide, or the like. Meanwhile, the interlayer insulating film (not shown) may have a multilayer film structure including at least one oxide film, at least one nitride film, and / or at least one oxynitride film formed on the substrate 101. Here, the oxide film, nitride film and oxynitride film may be formed using silicon nitride, silicon nitride and silicon oxynitride, respectively.

그 다음, 도 2a에 도시된 바와 같이, 상기 층간절연막(미도시) 상에 금속 배선막(103)과 하부전극으로 사용하기 위한 제1 금속막(105)을 차례로 증착한다. 이때, 상기 금속 배선막(103)의 두께는 배선공정에서 필요로 하는 Rs(저항) 값에 따라 변화될 수 있다. 또한, 상기 금속 배선막(103)은 스퍼터링(sputtering)공정, 화학 기상 증착 공정, 원자층 적층(ALD) 공정, 전자 빔 증착 공정, 펄스 레이저 증착 (PLD) 공정 등을 이용하여 형성될 수 있다. 또한, 상기 금속 배선막(103)으로는 알루미늄(Al), 텅스텐, 티타늄, 탄탈륨, 구리, 텅스텐 질화물, 알루미늄 질화물, 티타늄 질화물, 티타늄 알루미늄 질화물, 탄탈륨 질화물 등을 사용하여 형성될 수 있다. 본 발명의 실시예에 있어, 금속 배선막(103)은 알루미늄(Al)을 사용하여 형성하는 경우이다.Next, as illustrated in FIG. 2A, a metal wiring layer 103 and a first metal layer 105 for use as a lower electrode are sequentially deposited on the interlayer insulating layer (not shown). In this case, the thickness of the metal wiring layer 103 may be changed according to the Rs (resistance) value required in the wiring process. In addition, the metal wiring layer 103 may be formed using a sputtering process, a chemical vapor deposition process, an atomic layer deposition (ALD) process, an electron beam deposition process, a pulsed laser deposition (PLD) process, or the like. In addition, the metal wiring layer 103 may be formed using aluminum (Al), tungsten, titanium, tantalum, copper, tungsten nitride, aluminum nitride, titanium nitride, titanium aluminum nitride, tantalum nitride, or the like. In the embodiment of the present invention, the metal wiring film 103 is formed by using aluminum (Al).

그리고, 상기 제1 금속막(105)은 원자층 적층 공정, 스퍼터링 공정, 전자 빔 증착 공정, 화학 기상 증착 공정, 또는 펄스 레이저 증착 공정을 이용하여 형성될 수 있다. 또한, 상기 제1 금속막(105)은 금속, 합금 또는 도전성 금속 화합물을 사용하여 형성될 수 있다. 예를 들면, 상기 제1 금속막(105)은 Ru, SrRuO3, Pt, TaN, WN, TiN, TiAlN, Co, Cu, Hf, Cu, 또는 이들의 합금(alloy)으로 이루어진 그룹 중에서 선택된 어느 하나 이상이거나, 이들 각각이 단독으로 또는 서로 혼합되어 사용될 수 있다. 본 발명의 실시예에 있어, 제1 금속막(105)은 TiN 를 사용하여 형성하는 경우이다. The first metal layer 105 may be formed using an atomic layer deposition process, a sputtering process, an electron beam deposition process, a chemical vapor deposition process, or a pulse laser deposition process. In addition, the first metal layer 105 may be formed using a metal, an alloy, or a conductive metal compound. For example, the first metal film 105 is any one selected from the group consisting of Ru, SrRuO 3 , Pt, TaN, WN, TiN, TiAlN, Co, Cu, Hf, Cu, or an alloy thereof. As described above, each of them may be used alone or in combination with each other. In the embodiment of the present invention, the first metal film 105 is formed by using TiN.

한편, 상기 제1 금속막(105)을 형성한 후, 하부전극으로 사용하는 제1 금속막(105)의 전기적 특성을 개선하기 위하여 제1 금속막(105)에 대해 열처리 공정, 오존(O3) 처리 공정, 산소(O2) 처리 공정, 플라즈마 열처리 공정 등을 추가하여 수행할 수 있다. On the other hand, after forming the first metal film 105, in order to improve the electrical characteristics of the first metal film 105 used as a lower electrode, a heat treatment process, ozone (O 3 ) to the first metal film 105 ) Process, oxygen (O 2 ) process, plasma heat treatment process may be added and performed.

이어서, 상기 제1 금속막(105) 위에 유전막(107)을 증착한다. 이때, 상기 유전막(107)은 원자층 적층 공정, 스퍼터링 공정, 펄스 레이저 증착 공정, 전자 빔 증착 공정, 또는 화학 기상 증착 공정을 사용하여 형성될 수 있다. 또한, 상기 유전막(107)은 고유전 상수 값을 갖는 SiN, Ta2O5, HfO2, Al2O3 등을 포함하는 절연물질 중에서 어느 하나를 사용하거나, 엠아이엠 캐패시터(MIM capacitor)의 용량을 증가시킬 목적으로 HfO2/Al2O3 등 적층 구조 또는 HfO2/Al2O3 층이 반복되는 라미네이트 (laminate) 구조를 사용할 수도 있다. 또한, 상기 HfO2층은 누설 전류 (leakage current)를 줄이는데 효과적이다.Subsequently, a dielectric film 107 is deposited on the first metal film 105. In this case, the dielectric layer 107 may be formed using an atomic layer deposition process, a sputtering process, a pulse laser deposition process, an electron beam deposition process, or a chemical vapor deposition process. In addition, the dielectric layer 107 may use any one of an insulating material including SiN, Ta 2 O 5 , HfO 2 , Al 2 O 3, or the like having a high dielectric constant, or may have a capacity of an MIM capacitor. It is also possible to use a laminate structure such as HfO 2 / Al 2 O 3 or a laminate structure in which the HfO 2 / Al 2 O 3 layer is repeated for the purpose of increasing the number of layers. In addition, the HfO 2 layer is effective to reduce leakage current.

그리고, 상기 Ta2O5, HfO2, Al2O3 와 같은 고유전 상수(high-k) 값을 갖는 절연 물질은 식각하기 어려운 물질이기 때문에, 층 두께가 너무 두꺼우면 식각하는데 어려움이 따르고, 너무 얇으면 누설전류(leakage current)가 발생하기 때문에 적당한 두께로 증착할 필요가 있다. 나중에 설명하겠지만, 상기 고유전 상수 값을 갖는 절연물질이 하부 금속막 위에서 옆으로 길게 남아 있게 되면, 비아 개구(via opening) 형성을 위한 식각 공정시에 문제가 된다. 그러나, SiN의 경우, 하부 금속막 위에 계속 옆으로 남아 있어도 비아 개구(via opening) 형성시에 쉽게 식각되어 문제가 되지 않는다. 그러나, 캐패시터의 용량(capacitance)을 증가시키기 위해서는 SiN 두께를 낮춰야 하는데, SiN 두께가 얇아지면 누설전류(leakage current) 문제가 있기 때문에, 같은 두께라면 용량이 큰 고유전 상수 값을 갖는 물질을 사용하는 것이 바람직하다. 한편, 상기 유전막(107)을 형성한 다음, 상기 유전막(107)의 전기적인 특성을 향상시키기 위해 유전막(107)에 대해 열처리 공정, 오존 처리 공정, 산소 처리 공정, 플라즈마 열처리 공정 등을 추가하여 수행할 수 있다. 이때, 상기 유전막(107)은 제1 영역과 제2 영역으로 정의되는데, 상기 제1 영역은 후속 공정에서 두께 일부가 식각되는 영역이고, 상기 제2 영역은 식각되지 않는 영역이면서 엠아이엠 캐패시터의 유전체로 사용하는 영역을 의미한다.In addition, since the insulating material having a high-k value such as Ta 2 O 5 , HfO 2 , and Al 2 O 3 is difficult to etch, it is difficult to etch when the layer thickness is too thick. If too thin, a leakage current will occur, so it is necessary to deposit it at an appropriate thickness. As will be described later, if the insulating material having the high dielectric constant value is left long sideways on the lower metal film, there is a problem in the etching process for forming the via opening. However, in the case of SiN, even if it remains on the lower metal film sideways, it does not become a problem because it is easily etched during the formation of the via opening. However, in order to increase the capacitance of the capacitor, the thickness of SiN must be lowered. Since the thickness of SiN is thin, there is a leakage current problem. Therefore, if the thickness is the same, it is recommended to use a material having a high dielectric constant. desirable. Meanwhile, after the dielectric film 107 is formed, a heat treatment process, an ozone treatment process, an oxygen treatment process, a plasma heat treatment process, and the like are performed on the dielectric film 107 to improve the electrical characteristics of the dielectric film 107. can do. In this case, the dielectric layer 107 is defined as a first region and a second region, wherein the first region is a region where a part of thickness is etched in a subsequent process, and the second region is a region that is not etched and the dielectric of the M capacitor It means the area to use.

그 다음, 상기 유전막(107) 상에 상부전극으로 사용하기 위한 제2 금속막 (109)을 증착한다. 이때, 상기 제2 금속막(109)은 원자층 적층 공정, 스퍼터링 공정, 전자 빔 증착 공정, 화학 기상 증착 공정, 또는 펄스 레이저 증착 공정을 이용하여 형성될 수 있다. 또한, 상기 제2 금속막(109)은 금속, 합금 또는 도전성 금속 화합물을 사용하여 형성될 수 있다. 예를 들면, 상기 제2 금속막(109)은 Ru, SrRuO3, Pt, TaN, WN, TiN, TiAlN, Co, Cu, Hf, Cu, 또는 이들의 합금(alloy)으로 이루어진 그룹 중에서 선택된 어느 하나 이상이거나, 이들 각각이 단독으로 또는 서로 혼합되어 사용될 수 있다. 본 발명의 바람직한 실시예에 있어서, 제2 금속막 (109)은 TiN 를 사용하여 형성하는 경우이다. 한편, 상기 제2 금속막(109)을 형성한 후, 상부전극으로 사용하는 제2 금속막(109)의 전기적 특성을 개선하기 위하여 제2 금속막(109)에 대해 열처리 공정, 오존(O3) 처리 공정, 산소(O2) 처리 공정, 플라즈마 열처리 공정 등을 추가하여 수행할 수 있다. Next, a second metal film 109 is deposited on the dielectric film 107 for use as an upper electrode. In this case, the second metal layer 109 may be formed using an atomic layer deposition process, a sputtering process, an electron beam deposition process, a chemical vapor deposition process, or a pulsed laser deposition process. In addition, the second metal layer 109 may be formed using a metal, a sum, or a conductive metal compound. For example, the second metal film 109 is any one selected from the group consisting of Ru, SrRuO 3 , Pt, TaN, WN, TiN, TiAlN, Co, Cu, Hf, Cu, or an alloy thereof. As described above, each of them may be used alone or in combination with each other. In a preferred embodiment of the present invention, the second metal film 109 is formed using TiN. On the other hand, after forming the second metal film 109, in order to improve the electrical characteristics of the second metal film 109 used as the upper electrode, a heat treatment process, ozone (O 3 ) to the second metal film 109 ) Process, oxygen (O 2 ) process, plasma heat treatment process may be added and performed.

이어서, 상기 제2 금속막(109) 상에 하드마스크 절연막(hard mask insulator)(111)을 증착한다. 이때, 상기 하드마스크(111)는 화학 기상 증착(CVD) 공정, 저압 화학 기상 증착(LPCVD) 공정, 플라즈마 증대 화학 기상 증착(PECVD) 공정, 또는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 공정을 이용하여 형성할 수 있다. 상기 하드마스크 절연막(111)은 하나의 산화막으로 이루어진 단일막 구조를 가질 수 있다. 예를 들면, 상기 하드마스크 절연막(111)은 BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD 등과 같은 실리콘 산화물 계열과, SiN 및 SiON 과 같은 질화물 계열 모두 이용할 수 있다. 또한, 상기 하드마스크 절연막(111)은 적어도 하나의 산화막, 적어도 하나의 질화막 및/또는 적어도 하나의 산질화막을 포함하는 다층 막 구조를 가질 수도 있다. 여기서, 상기 산화막, 질화막 및 산질화막은 각기 실리콘 질화물, 실리콘 질화물 및 실리콘 산질화물을 사용하여 형성할 수 있다. 한편, 상기 하드마스크 절연막(111)의 증착 두께는 100~4000Å 정도로 증착하는 것이 바람직하다.Subsequently, a hard mask insulator 111 is deposited on the second metal layer 109. In this case, the hard mask 111 may use a chemical vapor deposition (CVD) process, a low pressure chemical vapor deposition (LPCVD) process, a plasma enhanced chemical vapor deposition (PECVD) process, or a high density plasma chemical vapor deposition (HDP-CVD) process. Can be formed. The hard mask insulating layer 111 may have a single layer structure consisting of one oxide layer. For example, the hard mask insulating layer 111 may use both silicon oxide series such as BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD, and nitride series such as SiN and SiON. In addition, the hard mask insulating layer 111 may have a multilayer film structure including at least one oxide film, at least one nitride film and / or at least one oxynitride film. Here, the oxide film, nitride film and oxynitride film may be formed using silicon nitride, silicon nitride and silicon oxynitride, respectively. On the other hand, the deposition thickness of the hard mask insulating film 111 is preferably deposited to about 100 ~ 4000Å.

그 다음, 도 2b 및 2c에 도시된 바와 같이, 상기 하드마스크 절연막(111) 상에 상기 하드마스크 절연막(111)과 제2 금속막(109)를 식각하기 위해, 제1 감광막 (photoresist layer)(113)를 도포하고, 제1 마스크(120)를 이용한 포토리소그라피 공정을 통해 상기 제1 감광막(113)을 노광 및 현상한 후 이를 패터닝하여, 제1 감광막패턴(113a)을 형성한다.Next, as illustrated in FIGS. 2B and 2C, a first photoresist layer (etched) may be used to etch the hard mask insulating layer 111 and the second metal layer 109 on the hard mask insulating layer 111. 113 is applied, the first photoresist layer 113 is exposed and developed through a photolithography process using the first mask 120, and then patterned to form the first photoresist layer pattern 113a.

이어서, 도 2d에 도시된 바와 같이, 상기 제1 감광막패턴(113a)을 차단막으로, 상기 하드마스크 절연막(111)과 상부전극으로 사용하기 위한 제2 금속막(109)을 순차적으로 식각하여 상부전극(109a)을 형성한다. 이때, 하부전극으로 사용하기 위한 제1 금속막(105)이 외부로 노출되지 않도록 하기 위해 상기 유전막(107)에서 식각 진행이 정지(stopoing)되도록 한다. 이는 하드마스크 절연막(111)과 제2 금속막(109) 식각시에 하부전극 용 제1 금속막(105)이 노출되면, 금속 폴리머(metal polymer)가 발생하여 누설전류(leakage current)의 원인이 되기 때문이다. 또한, 상기 하드마스크 절연막(111)과 제2 금속막(109) 식각시에, 상기 유전막(107)의 제1 영역과 제2 영역 중에서, 제1 영역(즉, 유전막 돌출부(107a)의 두께 일부가 부분적으로(partially) 식각되기 때문에, 초기에 증착된 두께보다 작아질 수 있다. 이때, 초기 두께보다 얇은 두께를 갖는 유전막의 제1 영역(107a)을 남기는 이유는 금속 폴리머(metal polymer)가 쌓이지 않도록 하기 위해서이다. 여기서, 잔류하는 유전막 돌출부(107a)의 층 두께는 약 50 ~ 100 Å 정도가 바람직하다. 또한, 공정 마진을 향상시키기 위해서는, 상기 잔류하는 유전막 돌출부(107a)의 두께 조절 (control)이 매우 중요하다. 한편, 상기 제1 감광막패턴(113a)을 차단막으로 하여 식각 공정 진행시에, 상기 하드마스크(111) 식각에는 CF4/CHxFy/O2/N2/Ar 등의 가스를 이용하고, 엠아이엠 캐패시터의 상부전극 용 제2 금속막(109) 식각에는 Cl2/BCl3를 이용하며, 식각 프로파일 (etching profile) 제어를 위하여 N2 또는 Ar 등을 첨가 가스로 사용한다.Subsequently, as shown in FIG. 2D, the first photoresist layer pattern 113a is used as a blocking layer, and the upper surface electrode is sequentially etched by using the hard mask insulating layer 111 and the second metal layer 109 for use as the upper electrode. 109a is formed. In this case, in order to prevent the first metal film 105 for use as the lower electrode from being exposed to the outside, the etching process may be stopped in the dielectric film 107. When the first metal layer 105 for the lower electrode is exposed during the etching of the hard mask insulating layer 111 and the second metal layer 109, a metal polymer is generated to cause leakage current. Because it becomes. Further, when the hard mask insulating layer 111 and the second metal layer 109 are etched, a part of the thickness of the first region (that is, the dielectric layer protrusion 107a) is formed among the first region and the second region of the dielectric layer 107. Is partially etched, which may be smaller than the initially deposited thickness, leaving the first region 107a of the dielectric film with a thickness thinner than the initial thickness because the metal polymer does not accumulate. In this case, the thickness of the remaining dielectric film protrusion 107a is preferably about 50 to about 100 GPa, and in order to improve the process margin, the thickness control of the remaining dielectric film protrusion 107a is controlled. On the other hand, when the etching process is performed using the first photoresist pattern 113a as a blocking film, the hard mask 111 may be etched using CF 4 / CH x F y / O 2 / N 2 / Ar and the like. Using the gas of the upper part of the M capacitor Cl 2 / BCl 3 is used for etching the second metal film 109 for the pole, and N 2 or Ar is used as an additive gas to control the etching profile.

그 다음, 도 2e에 도시된 바와 같이, 선택적으로 식각된 하드마스크(111a), 상부전극(109a) 및 유전막(107)을 포함한 기판 전면에 스페이서 절연막(spacer insulator) (121)을 증착한다. 이때, 상기 스페이서 절연막(121)은 화학 기상 증착 (CVD) 공정, 저압 화학 기상 증착(LPCVD) 공정, 플라즈마 증대 화학 기상 증착 (PECVD) 공정, 또는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 공정을 이용하여 형성할 수 있다. 상기 스페이서 절연막(121)은 하나의 산화막으로 이루어진 단일막 구조를 가질 수 있다. 예를 들면, 상기 스페이서 절연막(121)은 BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD 등과 같은 실리콘 산화물 계열과, SiN 및 SiON 과 같은 질화물 계열 모두 이용할 수 있다. 또한, 상기 스페이서 절연막(121)은 적어도 하나의 산화막, 적어도 하나의 질화막 및/또는 적어도 하나의 산질화막을 포함하는 다층 막 구조를 가질 수도 있다. 여기서, 상기 산화막, 질화막 및 산질화막은 각기 실리콘 질화물, 실리콘 질화물 및 실리콘 산질화물을 사용하여 형성할 수 있다. 한편, 상기 스페이서 절연막(121)의 증착 두께는 100~4000Å 정도로 증착하는 것이 바람직하다. 여기서, 상기 스페이서 절연막(121)은 상기 하드 마스크 절연막(111) 의 증착 물질과 동일한 물질로 사용할 수 있다. 이는 상기 스페이서 절연막(121)과 하드마스크 절연막(111) 사이에 접착성(adhesion)을 좋게 하기 위한 것이다.Next, as shown in FIG. 2E, a spacer insulator 121 is deposited on the entire surface of the substrate including the selectively etched hard mask 111a, the upper electrode 109a, and the dielectric layer 107. In this case, the spacer insulating layer 121 may use a chemical vapor deposition (CVD) process, a low pressure chemical vapor deposition (LPCVD) process, a plasma enhanced chemical vapor deposition (PECVD) process, or a high density plasma chemical vapor deposition (HDP-CVD) process. Can be formed. The spacer insulating layer 121 may have a single layer structure formed of one oxide layer. For example, the spacer insulating layer 121 may use both a silicon oxide series such as BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD, and a nitride series such as SiN and SiON. In addition, the spacer insulating layer 121 may have a multilayer film structure including at least one oxide film, at least one nitride film, and / or at least one oxynitride film. Here, the oxide film, nitride film and oxynitride film may be formed using silicon nitride, silicon nitride and silicon oxynitride, respectively. On the other hand, the deposition thickness of the spacer insulating film 121 is preferably deposited to about 100 ~ 4000Å. The spacer insulating layer 121 may be made of the same material as the deposition material of the hard mask insulating layer 111. This is to improve adhesion between the spacer insulating layer 121 and the hard mask insulating layer 111.

이이서, 도 2f에 도시된 바와 같이, 별도의 마스크 없이, 전면 식각 (blanket etch) 공정을 실시하여 상기 스페이서 절연막(121)을 식각하여 스페이서 (121a)를 형성한다. 이때, 과도 식각(over etch) 공정을 이용하여, 하부전극으로 사용하기 위한 제1 금속층(105) 상부에 잔류하고 있는 유전막 돌출부(107a), 즉 유전막의 제1영역 중에서 스페이서(121a)를 제외한 지역에 잔류하는 부분을 완전히 제거한다. 즉, 상기 고유전 상수 값을 갖는 유전막 돌출부(107a)는 건식 식각 (dry etching)이 잘 안 되는 문제점이 있기 때문에, 식각 과정에서 완전히 제거되어야 한다. 이는 상기 유전막 돌출부(107a)가 완전히 제거되지 않으면 후속 비아 개구 (via opening)를 형성하기 위한 식각 공정에서 상기 잔류한 유전막 돌출부(107a)가 식각 정지막(etching stopper) 역할을 하여 비아 개구 형성을 방해할 수도 있기 때문이다. Next, as shown in FIG. 2F, the spacer insulating layer 121 is etched to form the spacer 121a by performing a blanket etch process without a separate mask. At this time, the dielectric film protrusion 107a remaining on the upper portion of the first metal layer 105 to be used as the lower electrode by using an over etch process, that is, the region excluding the spacer 121a from the first region of the dielectric film. Remove the part remaining in That is, since the dielectric film protrusion 107a having the high dielectric constant value has a problem in that dry etching is not well performed, the dielectric film protrusion 107a should be completely removed during the etching process. This is because the remaining dielectric layer protrusion 107a serves as an etching stopper in the etching process for forming a subsequent via opening unless the dielectric layer protrusion 107a is completely removed, thereby preventing the formation of the via opening. Because you can.

결과적으로 스페이서 절연막 형성공정을 통해 유전막의 가로 길이(또는 폭, width)가 유전막 위에 형성된 상부전극 길이(또는 폭)보다 길게 형성된다. 이는 유전막이 상부전극보다 넓게 형성됨으로써 상부전극과 하부전극 사이를 잘 분리하여 리키지(leakage) 발생을 억제하는데 도움을 준다. 만일, 유전막과 상부전극이 같은 폭을 가질 경우, 상부전극과 하부전극 사이의 길이가 짧기 때문에 측면을 따라 전계에 의해 리키지(leakage)가 발생할 가능성이 있다. 그렇지만, 본 발명처럼 유전막의 폭이 크면 그러한 문제를 방지할 수 있다.As a result, the width (or width) of the dielectric film is formed to be longer than the length (or width) of the upper electrode formed on the dielectric film through the spacer insulating film forming process. Since the dielectric film is formed wider than the upper electrode, the dielectric film is well separated between the upper electrode and the lower electrode, thereby helping to prevent leakage. If the dielectric film and the upper electrode have the same width, there is a possibility that leakage occurs due to the electric field along the side surface because the length between the upper electrode and the lower electrode is short. However, if the width of the dielectric film is large, as in the present invention, such a problem can be prevented.

한편, 상기 스페이서 절연막(121)을 식각하는 과정에서, 상기 하드마스크 (111a)도 약간 손실이 일어날 수 있다. 이는 상기 하드마스크(111a)의 물질과 상기 스페이서 절연막(121)의 물질이 동일하기 때문이다. 상기 스페이서 절연막(121)을 식각하는 과정에서, 상기 제1 금속층(105)의 노출된 상부가 약간 손실이 일어날 수 있다. 이는 상기 스페이서 절연막(121)을 측면만 남도록 과도식각하기 때문이다.Meanwhile, in the process of etching the spacer insulating layer 121, the hard mask 111a may also be slightly lost. This is because the material of the hard mask 111a and the material of the spacer insulating layer 121 are the same. In the process of etching the spacer insulating layer 121, the exposed upper portion of the first metal layer 105 may be slightly lost. This is because the spacer insulating layer 121 is excessively etched so that only side surfaces remain.

이렇게 스페이서(121a)의 형성 공정이 완료되면, 엠아엠 캐패시터(MIM capacitor)는 외부 환경으로부터 완전히 격리된다. 결과적으로 상기 스페이서 절연막은 하드 마스크 절연막과 함께 상부전극 측면과 상면을 보호하는 역할도 담당한다. 이때, 상기 스페이서(121a)의 아래에는 유전막 돌출부(107a)가 존재하고, 그 유전막 돌출부(107a) 아래에는 하부전극용 제1 금속막(105)이 존재하게 된다. 여기서, 상기 스페이서(121a) 아래에 존재하는 유전막 돌출부(107a)의 두께는 상기 상부전극(109a) 아래에 유전막(107)의 두께보다 얇게 된다. 이는 식각 공정, 예를 들어 제1 감광막패턴(113a)을 차단막으로 식각공정 진행시에 하드마스크 절연막(111)과 제2 금속막(109) 식각과 함께 유전막(107)의 일부 두께도 식각되어 손실(loss)이 일어나기 때문이다. 그리고, 상기 스페이서(121a)의 측면으로는 상부전극 (109a), 유전막(107) 및 하드마스크(111a)가 접촉하고 있다.When the formation process of the spacer 121a is completed, the MAM capacitor is completely isolated from the external environment. As a result, the spacer insulating film also serves to protect the upper electrode side and the upper surface together with the hard mask insulating film. In this case, a dielectric film protrusion 107a is present under the spacer 121a, and a first metal layer 105 for the lower electrode exists under the dielectric film protrusion 107a. Here, the thickness of the dielectric film protrusion 107a under the spacer 121a is thinner than the thickness of the dielectric film 107 under the upper electrode 109a. In the etching process, for example, the first photoresist layer pattern 113a is used as a blocking layer, a portion of the dielectric layer 107 may be etched along with the hard mask insulating layer 111 and the second metal layer 109. (loss) occurs. The upper electrode 109a, the dielectric layer 107, and the hard mask 111a are in contact with the side surface of the spacer 121a.

이어서, 도 2g에 도시된 바와 같이, 상기 스페이서(121a)를 포함한 기판 전면에 SiON과 같은 질화물 계열의 버퍼 절연막(123)을 증착한다. 이때, 상기 버퍼 절연막(123)은 상기 스페이서 절연막 또는 상기 하드마스크에 사용되는 물질과 식각률(etching rate)이 다른 절연막을 사용하는 것이 바람직하다. 왜냐하면, 비아홀(via hole) 형성시에 상기 버퍼 절연막에서 1차로 식각 중지(etch stop)를 유도하기 위함이다. 여기서, 상기 버퍼 절연막(123)은 SiON을 사용하여 형성하는데, 상기 SiON은 후속 금속 패터닝시에 리소그라피 공정의 마진 향상을 위한 반사방지막 역할을 한다. 또한, 상기 버퍼 절연막(123)은 비아 식각 타겟(via etch target)을 완충시켜 주기 위한 버퍼층(buffer layer)으로의 역할도 동시에 수행한다. 여기서, 상기 버퍼 절연막(123)의 두께는 50~1000Å 정도로 증착한다. 이때, 상기 버퍼 절연막(123)은 기판 전면에 증착하기 때문에 외부에 노출된 하부전극용 제1 금속막(105) 위에 직접 접촉하게 된다. 또한, 상기 버퍼 절연막(123)으로 사용하는 SiON은 약 350~420℃의 온도 범위에서 SiH4/N2O 가스를 이용하여 증착하며, 포토리소그라피 (photo-lithography) 공정 마진을 고려하여 n (refractive index)과 k (extinction coefficient)의 값을 각각 1.80~2.2 및 0.30~0.45가 되도록 변화시킬 수 있다. 이때, 상기 n과 k 값은 SiH4/N2O 비율을 조절함으로써 변화가 가능한데, n과 k 값은 SiH4/N2O 비율이 감소함에 따라, 즉 N2O 분율이 증가함에 따라 증가하게 된다. 또한, 반사율 값이 높으면, 난반사로 인해 옆에 있는 감광막(PR)을 녹일 수 있어, 포토 DI 임계치(CD)에 제어하기 어려워진다. 한편, 상기 버퍼 절연막(123)은, 무기(inorganic) SiON 대신에 유기 BARC를 사용할 수 있다.Subsequently, as illustrated in FIG. 2G, a nitride-based buffer insulating layer 123 such as SiON is deposited on the entire surface of the substrate including the spacer 121a. In this case, the buffer insulating film 123 may be an insulating film having an etching rate different from that of the spacer insulating film or the material used for the hard mask. This is to induce an etch stop primarily in the buffer insulating layer when the via hole is formed. Here, the buffer insulating layer 123 is formed using SiON, and the SiON serves as an anti-reflection film for margin improvement of the lithography process during subsequent metal patterning. In addition, the buffer insulating layer 123 also serves as a buffer layer for buffering the via etch target. Here, the thickness of the buffer insulating film 123 is deposited to about 50 ~ 1000Å. In this case, since the buffer insulating layer 123 is deposited on the entire surface of the substrate, the buffer insulating layer 123 is in direct contact with the first metal layer 105 for the lower electrode exposed to the outside. In addition, SiON used as the buffer insulating film 123 is deposited using a SiH 4 / N 2 O gas in the temperature range of about 350 ~ 420 ℃, n (refractive) in consideration of the photo-lithography process margin The values of index) and k (extinction coefficient) can be changed to 1.80 to 2.2 and 0.30 to 0.45, respectively. In this case, the n and k values can be changed by adjusting the SiH 4 / N 2 O ratio, the n and k values increase as the SiH 4 / N 2 O ratio decreases, that is, as the N 2 O fraction increases. do. In addition, when the reflectance value is high, it is possible to melt the photosensitive film PR next to it due to diffuse reflection, making it difficult to control the photo DI threshold value CD. On the other hand, the buffer insulating film 123, instead of the inorganic (Siorganic) SiON can use a �œ� BARC.

그리고, 비아 과도 식각 타겟(via over etch target)이 약 5000Å 미만일 경우, 포토 리소그라피(photolithography) 공정 마진 확보 및 감광막(PR) 미세 패터닝을 위한 반사방지막의 용도로 사용하기 위해, SiON은 약 50~400Å의 범위로 비교적 얇게 증착한다.In addition, when the via over etch target is less than about 5000 microns, the SiON is about 50 to 400 microns for use as an anti-reflection film for securing photolithography process margins and photoresist (PR) micro-patterning. Deposit relatively thin in the range of.

하지만, 비아 과도 식각 타겟(via over etch target)이 약 5000Å 이상일 경우에는, SiON 두께를 400~1000Å의 범위로 두껍게 증착한다. 또한, 비아(via) 형성을 위한 식각시에, C4F8, C5F8, C4F6, 등과 같이 C/F 비율이 높은 가스 화학적 성질을 이용하여 SiON에 대한 산화막 선택비를 증가시킨다. 이때, SiON은 PR 미세 패터닝을 위한 반사방지막의 역할 뿐만 아니라 비아 식각 타겟(via etch target)을 완충시켜 주기 위한 버퍼층으로의 역할을 동시에 수행한다. 또한, 상기 버퍼 절연막 (123)은 비아홀(via hole) 형성시에 식각 중지(etch stopping) 역할을 하는 식각중지막(etch stopper)으로도 이용된다.However, when the via over etch target is about 5000 GPa or more, the SiON thickness is deposited thickly in the range of 400 to 1000 GPa. In addition, when etching to form vias, an oxide selectivity to SiON is increased by using gas chemical properties having a high C / F ratio such as C 4 F 8 , C 5 F 8 , C 4 F 6 , and the like. Let's do it. In this case, SiON not only functions as an anti-reflection film for PR fine patterning but also serves as a buffer layer for buffering a via etch target. In addition, the buffer insulating layer 123 may also be used as an etch stopper which serves as an etch stopping function when forming via holes.

한편, SiON의 경우, 후속 패터닝 공정에서의 피치(pitch)가 미세하지 않고 비아 과도 식각 타겟(via over etch target)이 5000Å 이하로 작을 경우, 증착하지 않아도 무방하다. 그러나, 하부 반사에 의해 PR 패턴을 심하게 왜곡시키는 경우, 미세 패터닝을 위해 SiON과 같은 반사방지막을 사용해야 한다.On the other hand, in the case of SiON, if the pitch in the subsequent patterning process is not fine and the via over etch target is small at 5000 GPa or less, no deposition is necessary. However, if the PR pattern is severely distorted by the bottom reflection, an antireflection film such as SiON should be used for fine patterning.

그 다음, 도 2h 및 2i에 도시된 바와 같이, 상기 버퍼 절연막(123) 상에 제2 감광막(125)을 도포하고, 제2 마스크(130)를 이용한 포토 리소그라피 공정에 의해 노광 및 현상한 다음 패터닝하여 제2 감광막패턴(125a)을 형성한다. Next, as shown in FIGS. 2H and 2I, a second photosensitive film 125 is coated on the buffer insulating film 123, exposed and developed by a photolithography process using the second mask 130, and then patterned. The second photosensitive film pattern 125a is formed.

이어서, 도 2j에 도시된 바와 같이, 상기 제2 감광막패턴(125a)을 차단막으로, 상기 버퍼 절연막(123)을 식각한다. 이때, 상기 버퍼 절연막(123)은 유전막 식각장비에서 식각한다. 또한, 상기 버퍼 절연막(123)은 CHF3, CF4, 및 CH2F2 가스를 단독 또는 조합해서 사용하여 식각하며, 식각률이나 단면 프로파일 제어를 위하여 N2, O2, Ar 등의 가스를 첨가할 수 있다. Subsequently, as shown in FIG. 2J, the buffer insulating layer 123 is etched using the second photoresist layer pattern 125a as a blocking layer. In this case, the buffer insulating film 123 is etched by the dielectric film etching equipment. In addition, the buffer insulating layer 123 is etched using a combination of CHF 3 , CF 4 , and CH 2 F 2 gas alone or in combination, and a gas such as N 2 , O 2 , Ar, etc. is added to control the etching rate or the cross-sectional profile. can do.

그 다음, 기판을 금속 식각장비로 옮긴 다음, 하부전극용 제1 금속막(105)과 금속배선막(103)을 순차적으로 식각하여 하부전극(105a)과 금속배선(103a)을 형성함으로써 엠아이엠 캐패시터 형성 공정을 완료한다. 이때, 상기 금속배선막(103)은, 상기 제2 감광막패턴(125a) 없이 식각할 경우에 측벽(side wall) 식각이 일어날 수 있기 때문에 제2 감광막패턴(125a)이 있는 상태에서 식각한다. 또한, 상기 제1 금속막(105) 및 금속배선막(103) 식각시에는 Cl2, BCl3 를 단독 또는 단면 프로파일을 구현하기 위하여 N2, C2H4, CH4, CHF3, Ar 등의 가스를 이용할 수 있다. Then, the substrate is transferred to the metal etching equipment, and then the first metal film 105 and the metal wiring film 103 for the lower electrode are sequentially etched to form the lower electrode 105a and the metal wiring 103a. Complete the capacitor formation process. In this case, when the metal wiring layer 103 is etched without the second photoresist layer pattern 125a, sidewall etching may occur, and thus the metal wiring layer 103 is etched while the second photoresist layer pattern 125a is present. In addition, when etching the first metal film 105 and the metallization film 103, N 2 , C 2 H 4 , CH 4 , CHF 3 , Ar, etc., to implement a single or cross-sectional profile of Cl 2 , BCl 3 . Gas can be used.

이어서, 도 2k에 도시된 바와 같이, 상기 금속배선막(105)을 식각한 후 금속 식각장비에서 인시튜(in-situ)로 상기 제2 감광막패턴(125a)을 제거한다. 이때, 상기 금속배선막(103)과 제1 금속막(105)을 식각한 후 인시튜(in-situ)로 제2 감광막패턴(125a)을 제거하는 이유는 감광막을 제거하지 않고 대기 중에 노출되었을 때 대기 중의 수분에 의한 금속배선의 부식이 일어나기 때문이다. 이를 방지하기 위해, 인시튜로 산소(O2) 플라즈마를 이용하여 잔류하는 감광막 및 폴리머를 제거하게 된다. Subsequently, as shown in FIG. 2K, after the metal wiring layer 105 is etched, the second photoresist layer pattern 125a is removed in-situ from the metal etching equipment. In this case, the reason that the second photoresist layer pattern 125a is removed in-situ after etching the metal interconnection layer 103 and the first metal layer 105 may be exposed to the atmosphere without removing the photoresist layer. This is because corrosion of metal wiring occurs due to moisture in the air. To prevent this, oxygen (O 2 ) plasma is used in situ to remove the remaining photoresist film and polymer.

그 다음, 도 2l 및 2m에 도시된 바와 같이, 통상적인 배선 형성 공정을 수행하기 위해, 먼저 상기 금속배선(103a) 및 하부전극(105a)을 포함한 기판 전면에 층간절연막(131)을 증착하고, 그 위에 제3 감광막(미도시)를 도포한다. Next, as shown in FIGS. 2L and 2M, in order to perform a conventional wiring forming process, first, an interlayer insulating film 131 is deposited on the entire surface of the substrate including the metal wiring 103a and the lower electrode 105a. A third photosensitive film (not shown) is applied thereon.

이어서, 도 2m에 도시된 바와 같이, 마스크(미도시)를 이용한 포토리소그라피 공정에 의해 상기 제3 감광막(미도시)을 노광 및 현상한 다음 패터닝하여 제3 감광막패턴(133)을 형성한다.Subsequently, as illustrated in FIG. 2M, the third photoresist film (not shown) is exposed and developed by a photolithography process using a mask (not shown), and then patterned to form a third photoresist pattern 133.

그 다음, 상기 제3 감광막패턴(133)을 차단막으로, 상기 층간절연막(131)과 절연막(123) 및 하드마스크(111a)를 순차적으로 패터닝하여, 도 2n에서와 같이, 상기 상부전극(109a)과 하부전극(105a)을 각각 연결하기 위한 제1, 2 개구(135a, 135b)를 동시에 형성한다. 이때, 상기 제1 개구(135a)는 상기 층간절연막(131)과 q버퍼 절연막(123)이 식각되어 형성되며, 상기 제2 개구(135b)는 상기 층간절연막 (131), 버퍼 절연막(123) 및 하드마스크(111a)가 식각되어 형성된다. 이때, 상기 하부전극(105a)을 형성하기 위한 제1 개구(135a) 형성시에, 고유전율을 갖는 유전막 돌출부(107a)가 남아 있게 되면 식각 장벽(etch barrier) 역할을 하여 개구 (opening) 불량(fail)이 발생할 수 있으나, 상기 유전막 돌출부(107a)가 전 단계, 즉 도 2f에서 완전히 제거되기 때문에 이러한 개구 불량이 방지된다.Next, the interlayer insulating layer 131, the insulating layer 123, and the hard mask 111a are sequentially patterned using the third photoresist layer pattern 133 as a blocking layer, and the upper electrode 109a is formed as shown in FIG. 2N. First and second openings 135a and 135b for connecting the lower electrode 105a are formed at the same time. In this case, the first opening 135a is formed by etching the interlayer insulating film 131 and the q buffer insulating film 123, and the second opening 135b is formed of the interlayer insulating film 131, the buffer insulating film 123, and the like. The hard mask 111a is formed by etching. In this case, when the first opening 135a for forming the lower electrode 105a is formed, if the dielectric film protrusion 107a having the high dielectric constant remains, it serves as an etch barrier to open the defect. A failure may occur, but this opening defect is prevented because the dielectric film protrusion 107a is completely removed in the previous step, that is, FIG. 2F.

이어서, 도 2o에 도시된 바와 같이, 상기 층간절연막(131) 상에 상기 제1, 2 개구(135a, 135b)를 매립하는 제3 금속막(137)을 증착한다. 이때, 상기 제3 금속막 (137)은 스퍼터링(sputtering)공정, 화학 기상 증착 공정, 원자층 적층(ALD) 공정, 전자 빔 증착 공정, 펄스 레이저 증착(PLD) 공정 등을 이용하여 형성될 수 있다. 또한, 상기 제3 금속막(137)으로는 텅스텐(W), 알루미늄(Al), 티타늄, 탄탈륨, 구리, 텅스텐 질화물, 알루미늄 질화물, 티타늄 질화물, 티타늄 알루미늄 질화물, 탄탈륨 질화물 등을 사용하여 형성될 수 있다. 본 발명의 실시예에 있어, 제3 금속막 (137)은 텅스텐(W)을 사용하여 형성하는 경우이다. Subsequently, as shown in FIG. 2O, a third metal film 137 filling the first and second openings 135a and 135b is deposited on the interlayer insulating film 131. In this case, the third metal layer 137 may be formed using a sputtering process, a chemical vapor deposition process, an atomic layer deposition (ALD) process, an electron beam deposition process, a pulsed laser deposition (PLD) process, or the like. . In addition, the third metal layer 137 may be formed using tungsten (W), aluminum (Al), titanium, tantalum, copper, tungsten nitride, aluminum nitride, titanium nitride, titanium aluminum nitride, tantalum nitride, or the like. have. In the embodiment of the present invention, the third metal film 137 is formed by using tungsten (W).

그 다음, 도 2p에 도시된 바와 같이, 평탄화(CMP; Chemical Mechanical Polishing) 공정을 통해 상기 제3 금속막(137)을 평탄화함으로써, 상기 제1, 2 개구(135a, 135b) 내에 제1, 2 플러그(137a, 137b)을 각각 형성한다. 이때, 상기 제1, 2 플러그(137a, 137b) 각각은 상기 하부전극(105a)과 상부전극(109a)에 각각 연결된다.Next, as shown in FIG. 2P, the third metal film 137 is planarized through a chemical mechanical polishing (CMP) process, thereby forming first and second holes in the first and second openings 135a and 135b. Plugs 137a and 137b are formed, respectively. In this case, each of the first and second plugs 137a and 137b is connected to the lower electrode 105a and the upper electrode 109a, respectively.

이어서, 도 2q에 도시된 바와 같이, 상기 제1, 2 플러그(137a, 137b)를 포함한 층간절연막(131) 상에 제4 금속막(139)과 반사방지막(141)을 차례로 증착한 다음 상기 반사방지막(141) 상에 제4 감광막(미도시)을 도포한다.Subsequently, as illustrated in FIG. 2Q, a fourth metal film 139 and an antireflection film 141 are sequentially deposited on the interlayer insulating film 131 including the first and second plugs 137a and 137b, and then the reflection is performed. A fourth photosensitive film (not shown) is coated on the prevention film 141.

그 다음, 도면에는 도시하지 않았지만, 마스크(미도시)를 이용한 포토리소그라피 공정을 통해 상기 제4 감광막(미도시)을 노광 및 현상한 다음 패터닝하여 제4 감광막패턴(143)을 형성한다.Next, although not shown in the drawing, the fourth photoresist film (not shown) is exposed and developed through a photolithography process using a mask (not shown), and then patterned to form a fourth photoresist pattern 143.

이어서, 도 2r에 도시된 바와 같이, 상기 제4 감광막패턴(143)을 차단막으로 , 상기 반사방지막(141) 및 제4 금속막(139)을 순차적으로 식각하여 상기 제1, 2 플러그(137a, 137b)를 통해 상기 하부전극(105a)과 상부전극(109a)과 각각 접속되는 제1, 2 패드(139a, 139b) 및 제1, 2 반사방지막패턴(141a, 141b)을 각각 형성함으로써 배선 형성 공정을 완료한다. Subsequently, as shown in FIG. 2R, the anti-reflection film 141 and the fourth metal film 139 are sequentially etched using the fourth photoresist pattern 143 as a blocking film, so that the first and second plugs 137a, A wiring forming process is formed by forming first and second pads 139a and 139b and first and second anti-reflection film patterns 141a and 141b respectively connected to the lower electrode 105a and the upper electrode 109a through 137b. To complete.

이상에서와 같이, 본 발명에 따르면 엠아이엠 캐패시터(MIM capacitor)가 외부 환경으로부터 격리되어 각종 결함(defect) 들로부터 보호되어 양호한 누설전류 (leakage current) 특성을 얻을 수 있게 된다.As described above, according to the present invention, the MIM capacitor is isolated from the external environment and protected from various defects, thereby obtaining good leakage current characteristics.

또한, 본 발명에 따르면, 엠아이엠 캐패시터의 스페이서 식각 단계에서 잔류하는 절연막을 제거하여 후속 공정에 영향을 주지 않으므로 양호한 비아 (via) 저항 확보가 가능하다.In addition, according to the present invention, it is possible to secure good via resistance since the insulating film remaining in the spacer etching step of the M capacitor is not affected by the subsequent process.

그리고, 본 발명에 따르면, 금속막 상부에 증착한 SiON은 비아 식각시에 식각 타겟(etch target)을 완충시켜 줌으로써 엠아이엠 캐패시터의 파괴전압 (breakdown voltage) 특성 열화를 방지할 수 있다.In addition, according to the present invention, SiON deposited on the metal layer buffers an etch target at the time of via etching, thereby preventing degradation of breakdown voltage characteristics of the MM capacitor.

또한, 본 발명에 따르면, 스페이서 절연막 형성공정을 통해 유전막의 가로 길이 (또는, 폭)가 유전막 위에 형성된 상부 금속 길이(또는 폭)보다 길게 형성된다. 이는 유전막의 가로 길이가 상부전극보다 넓게 형성됨으로써 상부전극과 하부전극 사이를 잘 분리하여 리키지(leakage) 발생을 억제하는데 도움을 준다. 만일, 유전막과 상부전극이 서로 같은 폭을 가질 경우에 상부전극과 하부전극 사이의 거리가 짧기 때문에 측면을 따라 전계에 의해 리키지(leakage)가 발생할 가능성이 있다. 그렇지만, 본 발명처럼 유전막의 폭이 크면 그러한 문제를 방지할 수 있다.In addition, according to the present invention, the horizontal insulating film (or width) of the dielectric film is formed longer than the upper metal length (or width) formed on the dielectric film through the spacer insulating film forming process. This is because the width of the dielectric film is wider than that of the upper electrode, thereby separating the upper electrode and the lower electrode well, thereby helping to suppress leakage. If the dielectric film and the upper electrode have the same width, there is a possibility that leakage occurs due to an electric field along the side surface because the distance between the upper electrode and the lower electrode is short. However, if the width of the dielectric film is large, as in the present invention, such a problem can be prevented.

따라서, 본 발명에 따른 엠아이엠 캐패시터 제조공정을 이용하는 경우에, 파괴전압(breakdown voltage) 및 결함밀도(defect density) 등과 같은 신뢰성 측면에서 매우 우수한 특성을 갖게 된다.Therefore, when using the M capacitor manufacturing process according to the present invention, it has very excellent characteristics in terms of reliability, such as breakdown voltage and defect density.

이상에서와 같이, 본 발명은 상기의 실시예에 제한되거나 한정되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다. 즉, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예는 다양한 형태로 실시될 수 있으며, 본문에 설명된 실시예에 한정되는 것으로 해석되지는 않는다. 본 명세서에 설명된 실시예들에 의해 한정되는 것이 아니므로 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지는 대체물을 포함하는 것으로 이해되어야 한다.As described above, the present invention is not limited or limited to the above embodiments, and those skilled in the art may implement the present invention in various other forms without departing from the technical spirit of the present invention. There will be. In other words, specific structural to functional descriptions are merely illustrated for the purpose of describing embodiments of the present invention, and embodiments of the present invention may be embodied in various forms and should not be construed as limited to the embodiments set forth herein. Does not. It is not to be limited by the embodiments described herein, it should be understood to include all modifications, equivalents, or substitutes included in the spirit and scope of the present invention.

또한, 제1, 제2 등의 용어는 다양한 구성 요소들을 설명하는데 사용될 수 있지만, 이러한 구성 요소들은 상기 용어들에 의해 한정되는 것은 아니다. 상기 용어들은 하나의 구성 요소를 다른 구성 요소들로부터 구별하는 목적으로만 사용된다. 다만, 예를 들어, 본 발명의 권리 범위로부터 벗어나지 않고, 제1 구성 요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.In addition, terms such as first and second may be used to describe various components, but such components are not limited by the terms. The terms are used only for the purpose of distinguishing one component from other components. However, for example, without departing from the scope of the present invention, the first component may be referred to as a second component, and similarly, the second component may also be referred to as a first component.

그리고, 본 출원에서 사용한 용어는 단지 특정한 실시예들을 설명하기 위해 사용된 것으로서 본 발명을 한정하려는 의도는 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "구비하다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성 요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성 요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해될 것이다.In addition, the terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this application, the terms "comprise" or "include" are intended to indicate that there is a feature, number, step, action, component, part, or combination thereof that is described, and that one or more other features It will be understood that it does not exclude in advance the possibility of the presence or addition of numbers, steps, operations, components, parts or combinations thereof.

다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 갖는다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.  Unless defined otherwise, all terms used herein, including technical or scientific terms, have the same meaning as commonly understood by one of ordinary skill in the art. Terms such as those defined in the commonly used dictionaries are to be interpreted as having meanings consistent with the meanings in the context of the related art, and are not construed in ideal or excessively formal meanings unless expressly defined in this application. .

100 : 엠아이엠 캐패시터 101 : 기판
103 : 금속배선막 103a : 금속배선
105 : 제1 금속막 105a : 하부전극
107 : 유전막 107a : 유전막 돌출부(제1 영역)
109 : 제2 금속막 109a : 상부전극
111 : 하드마스크 절연막 111a : 하드마스크
113 : 제1 감광막 113a : 제1 감광막패턴
120 : 제1 마스크 121 : 스페이서 절연막
121a : 스페이서 123 : 버퍼 절연막
125 : 제2 감광막 125a : 제2 감광막패턴
130 : 제2 마스크 131 : 층간절연막
133 : 제3 감광막패턴 135a, 135b : 제1, 2 개구
137 : 제3 금속막 137a, 137b : 제1, 2 플러그
139 : 제4 금속막 139a, 139b : 제1, 2 패드
141 : 반사방지막 141a, 141b : 제1, 2 반사방지막패턴
143 : 제4 감광막패턴
100: MM capacitor 101: substrate
103: metal wiring film 103a: metal wiring
105: first metal film 105a: lower electrode
107: dielectric film 107a: dielectric film protrusion (first region)
109: second metal film 109a: upper electrode
111: hard mask insulating film 111a: hard mask
113: first photosensitive film 113a: first photosensitive film pattern
120: first mask 121: spacer insulating film
121a: spacer 123: buffer insulating film
125: second photosensitive film 125a: second photosensitive film pattern
130: second mask 131: interlayer insulating film
133: third photosensitive film pattern 135a, 135b: first and second openings
137: third metal film 137a, 137b: first, second plug
139: fourth metal film 139a, 139b: first and second pads
141: antireflection film 141a, 141b: first and second antireflection film pattern
143: fourth photosensitive film pattern

Claims (33)

기판 상에 형성된 하부전극;
상기 하부전극 상에 형성되고, 두께가 서로 다른 제1영역과 제2 영역으로 구성된 유전막;
상기 유전막의 제2 영역 상에 형성된 상부전극;
상기 상부전극 상에 형성된 하드마스크; 및
상기 하드마스크, 상기 상부전극 및 상기 유전막 측면에 형성된 스페이서;를 포함하여 구성되는 엠아이엠 캐패시터.
A lower electrode formed on the substrate;
A dielectric film formed on the lower electrode and configured of a first region and a second region having different thicknesses;
An upper electrode formed on the second zero of the dielectric film;
A hard mask formed on the upper electrode; And
And a spacer formed on side surfaces of the hard mask, the upper electrode, and the dielectric layer.
제1 항에 있어서, 상기 스페이서의 하부에 있는 상기 유전막의 제1 영역은 상기 상부전극 아래에 있는 상기 유전막의 제2 영역보다 얇은 두께를 갖는 것을 특징으로 하는 엠아이엠 캐패시터. The M capacitor of claim 1, wherein the first region of the dielectric layer under the spacer has a thickness thinner than the second region of the dielectric layer under the upper electrode. 제1 항에 있어서, 상기 하드마스크와 상기 스페이서 및 상기 하부전극의 상면에 버퍼 절연막이 형성된 것을 특징으로 하는 엠아이엠 캐패시터.The M capacitor of claim 1, wherein a buffer insulating layer is formed on upper surfaces of the hard mask, the spacer, and the lower electrode. 제1 항에 있어서, 상기 유전막은 SiN, SiO2, Al2O3, HfO, Ta2O5, SrTiO3, CaTiO3, LaAlO3, BaZrO3, BaZrTiO3, SrZrTiO3, HfO2/Al2O3 의 적층 구조, HfO2/Al2O3 층이 반복되는 라미네이트 구조로 이루어진 그룹 중에서 선택된 어느 하나 이상을 포함하는 절연물질 그룹 중에서 어느 하나 이상을 포함하는 것을 특징으로 하는 엠아이엠 캐패시터.The method of claim 1, wherein the dielectric layer is SiN, SiO 2, Al 2 O 3, HfO, Ta 2 O 5, SrTiO 3, CaTiO 3, LaAlO 3, BaZrO 3, BaZrTiO 3, SrZrTiO 3, HfO 2 / Al 2 O 3. A M capacitor comprising at least one of an insulating material group including any one or more selected from the group consisting of a laminated structure of 3 and a laminate structure in which an HfO 2 / Al 2 O 3 layer is repeated. 제1 항에 있어서, 상기 스페이서의 하면은 상기 유전막의 제1영역과 접촉되고, 상기 스페이서의 측면은 상기 상부전극 및 상기 유전막의 제2 영역과 접촉되는 것을 특징으로 하는 엠아이엠 캐패시터. The M capacitor of claim 1, wherein a bottom surface of the spacer is in contact with a first region of the dielectric layer, and a side surface of the spacer is in contact with the upper electrode and a second region of the dielectric layer. 제3 항에 있어서, 상기 버퍼 절연막은 무기(inorganic) SiON과 유기 BARC로 이루어진 그룹 중에서 적어도 어느 하나를 포함하는 것을 특징으로 하는 엠아이엠 캐패시터.The M capacitor of claim 3, wherein the buffer insulating layer comprises at least one of a group consisting of an inorganic SiON and an organic BARC. 제1 항에 있어서, 상기 하드마스크와 상기 스페이서는 SiO2, SiC, FSG, USG 을 포함하는 실리콘 산화물 계열과; SiN, SiON 을 포함하는 질화물 계열로 이루어진 그룹 중에서 어느 하나 이상을 포함하는 것을 특징으로 하는 엠아이엠 캐패시터. The semiconductor device of claim 1, wherein the hard mask and the spacer comprise silicon oxide based on SiO 2 , SiC, FSG, and USG; MN capacitor, characterized in that it comprises any one or more of the group consisting of nitride series containing SiN, SiON. 기판 상에 형성된 하부전극 및 상부전극;
상기 하부전극 및 상기 상부전극 사이에 형성된 고유전율 상수를 갖는 유전막;
상기 상부전극 측면과 상면을 감싸는 제1 보호막; 및
상기 유전막의 측면과 상기 보호막을 감싸는 제2 보호막;을 포함하여 구성되며,
상기 유전막의 폭은 상기 상부전극의 폭보다 크며,
상기 제1 보호막과 상기 제2 보호막은 서로 식각률이 다른 물질로 구성된 것을 특징으로 하는 엠아이엠 캐패시터.
A lower electrode and an upper electrode formed on the substrate;
A dielectric film having a high dielectric constant formed between the lower electrode and the upper electrode;
A first passivation layer surrounding the upper electrode side surface and the upper surface; And
And a second passivation layer surrounding the sidewalls of the dielectric layer and the passivation layer.
The width of the dielectric layer is greater than the width of the upper electrode,
The first protective layer and the second protective layer is MM capacitor, characterized in that made of a material having a different etching rate.
제8항에 있어서, 상기 유전막은 Al2O3, HfO, HfO2/Al2O3 의 적층 구조, HfO2/Al2O3 층이 반복되는 라미네이트 구조로 이루어진 절연물질 그룹 중에서 적어도 어느 하나 이상을 포함하는 것을 특징으로 하는 엠아이엠 캐패시터.The method of claim 8, wherein the dielectric layer is at least one of an insulating material group consisting of a laminate structure of Al 2 O 3 , HfO, HfO 2 / Al 2 O 3 layer, a laminate structure of HfO 2 / Al 2 O 3 layer is repeated. MCM capacitor comprising a. 제8 항에 있어서, 상기 제1 보호막은 SiO2, SiC, FSG, USG 을 포함하는 실리콘 산화물 계열과; SiN, SiON 을 포함하는 질화물 계열로 이루어진 그룹 중에서 어느 하나 이상을 포함하는 것을 특징으로 하는 엠아이엠 캐패시터.The method of claim 8, wherein the first protective layer comprises: a silicon oxide series including SiO 2 , SiC, FSG, and USG; MN capacitor, characterized in that it comprises any one or more of the group consisting of nitride series containing SiN, SiON. 제8 항에 있어서, 상기 제2 보호막은 무기(inorganic) SiON과 유기 BARC로 이루어진 그룹 중에서 적어도 어느 하나를 포함하는 것을 특징으로 하는 엠아이엠 캐패시터.The M capacitor of claim 8, wherein the second passivation layer comprises at least one of a group consisting of an inorganic SiON and an organic BARC. 기판 상에 하부전극을 형성하는 단계;
상기 하부전극 상에 두께가 서로 다른 제1영역과 제2 영역으로 구성된 유전막을 형성하는 단계;
상기 유전막의 제2 영역 상에 상부전극과 하드마스크를 형성하는 단계; 및
상기 하드마스크, 상부전극 및 유전막의 측면에 스페이서를 형성하는 단계;를 포함하여 구성되는 엠아엠 캐패시터 제조방법.
Forming a lower electrode on the substrate;
Forming a dielectric film having a first region and a second region having different thicknesses on the lower electrode;
Forming an upper electrode and a hard mask on the second region of the dielectric layer; And
Forming a spacer on the side of the hard mask, the upper electrode and the dielectric film; MAM capacitor manufacturing method comprising a.
제12항에 있어서, 상기 상부전극 및 하드마스크를 형성하는 단계는,
상기 유전막 상에 금속막 및 절연막을 차례로 형성하는 단계와;
상기 절연막 상에 감광막패턴을 형성하는 단계와;
상기 감광막패턴을 차단막으로 상기 절연막과 금속막을 패터닝하여 하드마스크와 상부전극을 형성하는 단계를 포함하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법.
The method of claim 12, wherein the forming of the upper electrode and the hard mask comprises:
Sequentially forming a metal film and an insulating film on the dielectric film;
Forming a photoresist pattern on the insulating film;
And forming a hard mask and an upper electrode by patterning the insulating film and the metal film using the photoresist pattern as a blocking film.
제13항에 있어서, 상기 절연막과 금속막을 패터닝하는 단계에서, 상기 금속막 아래에 있는 유전막의 제1영역의 두께 일부도 함께 식각되는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. The method of claim 13, wherein in the patterning of the insulating film and the metal film, a portion of a thickness of the first region of the dielectric film under the metal film is also etched together. 제14항에 있어서, 상기 식각되고 잔류하는 유전막의 제1영역의 두께는 50~100Å 인 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. The method of claim 14, wherein the thickness of the first region of the etched and remaining dielectric film is 50 ~ 100 ~. 제14항에 있어서, 상기 스페이서를 형성하는 단계는,
상기 하드마스크와 상부전극을 포함한 상기 두께 일부가 식각된 유전막의 제1영역 상에 스페이서 절연막을 형성하는 단계와;
상기 스페이서 절연막을 전면 식각하여 상기 하드마스크와 상부전극 및 유전막의 측면에 스페이서를 형성하는 단계를 포함하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법.
The method of claim 14, wherein forming the spacer,
Forming a spacer insulating layer on a first region of the dielectric layer in which a portion of the thickness including the hard mask and the upper electrode is etched;
And etching the entire surface of the spacer insulating layer to form a spacer on side surfaces of the hard mask, the upper electrode, and the dielectric layer.
제16항에 있어서, 상기 스페이서 절연막의 전면 식각 단계에서, 상기 스페이서를 제외한 지역에 있는 상기 유전막의 제1영역도 함께 제거되는 특징으로 하는 엠아이엠 캐패시터 제조방법. The method of claim 16, wherein in the entire surface etching of the spacer insulating layer, the first region of the dielectric layer in the region excluding the spacer is also removed. 제16항에 있어서, 상기 스페이서의 하면은 상기 유전막의 제1영역과 접촉되고, 상기 스페이서의 측면은 상기 하드마스크, 상부전극 및 유전막의 제 2 영역과 접촉되는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. The method of claim 16, wherein the lower surface of the spacer is in contact with the first region of the dielectric layer, and the side surface of the spacer is in contact with the second region of the hard mask, the upper electrode, and the dielectric layer. . 제18항에 있어서, 상기 스페이서의 하면에 접촉되는 유전막의 제1 영역의 두께는 상부전극 아래에 접촉되는 유전막의 제2 영역의 두께보다 얇은 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. 19. The method of claim 18, wherein the thickness of the first region of the dielectric film in contact with the lower surface of the spacer is thinner than the thickness of the second region of the dielectric film in contact with the upper electrode. 제12항에 있어서, 상기 하부전극을 형성하는 단계는,
상기 기판 상에 하부전극을 형성하기 위한 금속막을 형성하는 단계;
상기 금속막 상에 유전막, 상부전극 및 하드마스크를 차례로 형성하는 단계;
상기 유전막, 상부전극 및 하드마스크 측면에 스페이서를 형성하는 단계;
상기 금속막, 스페이서 및 하드마스크 상에 버퍼 절연막을 형성하는 단계; 및
상기 버퍼 절연막과 금속층을 선택적으로 패터닝하여 하부전극을 형성하는 단계를 포함하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법.
The method of claim 12, wherein forming the lower electrode comprises:
Forming a metal film for forming a lower electrode on the substrate;
Sequentially forming a dielectric film, an upper electrode, and a hard mask on the metal film;
Forming spacers on side surfaces of the dielectric layer, the upper electrode, and the hard mask;
Forming a buffer insulating layer on the metal layer, the spacer, and the hard mask; And
And selectively patterning the buffer insulating layer and the metal layer to form a lower electrode.
제12항에 있어서, 상기 유전막은 SiN, SiO2, Al2O3, HfO, Ta2O5, SrTiO3, CaTiO3, LaAlO3, BaZrO3, BaZrTiO3, SrZrTiO3, HfO2/Al2O3 의 적층 구조, HfO2/Al2O3 층이 반복되는 라미네이트 구조를 포함하는 절연물질 그룹 중에서 어느 하나 이상을 포함하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법.The method of claim 12, wherein the dielectric layer is SiN, SiO 2, Al 2 O 3, HfO, Ta 2 O 5, SrTiO 3, CaTiO 3, LaAlO 3, BaZrO 3, BaZrTiO 3, SrZrTiO 3, HfO 2 / Al 2 O 3. A method of manufacturing an M capacitor comprising at least one of an insulating material group including a laminate structure of 3 and a laminate structure in which an HfO 2 / Al 2 O 3 layer is repeated. 제12 항에 있어서, 상기 하드마스크와 스페이서는 BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD 을 포함하는 실리콘 산화물 계열, SiN 및 SiON 을 포함하는 질화물 계열로 이루어진 그룹 중에서 어느 하나 이상을 포함하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. The method of claim 12, wherein the hard mask and the spacer are selected from the group consisting of a silicon oxide series including BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, and HDP-CVD, and a nitride series including SiN and SiON. MCM capacitor manufacturing method comprising any one or more. 제20 항에 있어서, 상기 버퍼 절연막은 무기(inorganic) SiON과 유기 BARC로 이루어진 그룹 중에서 적어도 어느 하나를 포함하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법.The method of claim 20, wherein the buffer insulating layer comprises at least one of a group consisting of an inorganic SiON and an organic BARC. 제13항에 있어서, 상기 절연막과 금속막을 패터닝하여 하드마스크와 상부전극을 형성하는 단계는, 상기 하드마스크 식각에는 CF4/CHxFy/O2/N2/Ar 의 가스를 이용하고, 상기 금속막 식각에는 Cl2/BCl3를 이용하며, 식각 프로파일 제어를 위해 N2 또는 Ar 을 첨가 가스로 사용하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. The method of claim 13, wherein the forming of the hard mask and the upper electrode by patterning the insulating film and the metal film comprises using a gas of CF 4 / CH x F y / O 2 / N 2 / Ar for etching the hard mask. Cl 2 / BCl 3 is used for etching the metal film, and M 2 capacitor manufacturing method characterized in that using N 2 or Ar as an additive gas for etching profile control. 기판 상에 제1 금속막을 형성하는 단계;
상기 제1 금속막 상에 유전막과, 제2 금속막 및 하드마스크 절연막을 차례로 적층하는 단계;
상기 하드마스크 절연막과 제2 금속막 및 유전막을 선택적으로 패터닝하여 하드마스크와 상부전극 및 두께가 서로 다른 제1영역과 제2 영역으로 구성된 유전막 패턴을 형성하는 단계;
상기 하드마스크, 상부전극 및 유전막의 제1 영역을 포함한 기판 전면에 스페이서 절연막을 형성하는 단계;
상기 스페이서 절연막을 전면 식각하여 상기 하드마스크, 상부전극 및 유전막의 제1, 2 영역 측면에 스페이서를 형성하는 단계;
상기 스페이서, 하드마스크 및 제1 금속막 상에 버퍼 절연막을 형성하는 단계; 및
상기 버퍼 절연막과 제1 금속막을 패터닝하여 하부전극을 형성하는 단계를 포함하여 구성되는 엠아이엠 캐패시터 제조방법.
Forming a first metal film on the substrate;
Sequentially stacking a dielectric film, a second metal film, and a hard mask cutting film on the first metal film;
Selectively patterning the hard mask insulating layer, the second metal layer, and the dielectric layer to form a dielectric layer pattern including a hard mask, an upper electrode, and first and second regions having different thicknesses;
Forming a spacer insulating film on an entire surface of the substrate including the hard mask, the upper electrode, and the first region of the dielectric film;
Etching the entire spacer insulating film to form spacers on side surfaces of the first and second regions of the hard mask, the upper electrode, and the dielectric film;
Forming a buffer insulating layer on the spacer, the hard mask, and the first metal layer; And
And forming a lower electrode by patterning the buffer insulating layer and the first metal layer.
제25항에 있어서, 상기 하드마스크 절연막과 제2 금속막을 패터닝하는 단계에서, 상기 제2 금속막 아래에 있는 유전막의 제1영역의 두께 일부도 함께 식각되는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. The method of claim 25, wherein in the patterning of the hard mask insulating layer and the second metal layer, a portion of a thickness of the first region of the dielectric layer under the second metal layer is also etched. 제26항에 있어서, 상기 식각되고 잔류하는 유전막의 제1영역의 두께는 50~100Å 인 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. The method of claim 26, wherein the thickness of the first region of the etched and remaining dielectric film is 50 ~ 100 ~. 제25항에 있어서, 상기 스페이서 절연막의 전면 식각 단계에서, 상기 스페이서를 제외한 지역에 있는 상기 유전막의 제1영역도 함께 제거되는 특징으로 하는 엠아이엠 캐패시터 제조방법. 27. The method of claim 25, wherein in the entire surface etching step of the spacer insulating film, the first region of the dielectric film in the region excluding the spacer is also removed. 제25항에 있어서, 상기 스페이서의 하면은 상기 유전막의 제1 영역이 접촉되고, 상기 스페이서의 측면은 상기 하드마스크, 상부전극 및 유전막의 제2 영역의 측면과 접촉되는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. 27. The M capacitor of claim 25, wherein a lower surface of the spacer contacts the first region of the dielectric layer, and a side surface of the spacer contacts the side surfaces of the hard mask, the upper electrode, and the second region of the dielectric layer. Manufacturing method. 제29항에 있어서, 상기 스페이서의 하면에 접촉되는 유전막의 제1 영역의 두께는 상부전극 아래에 접촉되는 유전막의 제2 영역의 두께보다 얇은 것을 특징으로 하는 엠아이엠 캐패시터 제조방법.30. The method of claim 29, wherein the thickness of the first region of the dielectric layer in contact with the lower surface of the spacer is thinner than the thickness of the second region of the dielectric layer in contact with the upper electrode. 제25항에 있어서, 상기 하드마스크 절연막과 스페이서 절연막은 BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD 을 포함하는 실리콘 산화물 계열, SiN 및 SiON 을 포함하는 질화물 계열로 이루어진 그룹 중에서 어느 하나 이상을 포함하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법. 27. The method of claim 25, wherein the hard mask insulating film and the spacer insulating film is made of a silicon oxide series including BPSG, PSG, USG, SOG, FOX, TEOS, PE-TEOS, HDP-CVD, a nitride series including SiN and SiON MM capacitor manufacturing method comprising any one or more of the group. 제25항에 있어서, 상기 버퍼 절연막은 SiON과 유기 BARC로 이루어진 그룹 중에서 적어도 어느 하나를 포함하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법.27. The method of claim 25, wherein the buffer insulating film comprises at least one of the group consisting of SiON and organic BARC. 제25항에 있어서, 상기 하드마스크 절연막과 제2 금속막을 패터닝하여 하드마스크와 상부전극을 형성하는 단계는, 상기 하드마스크 식각에는 CF4/CHxFy/O2/N2/ Ar 의 가스를 이용하고, 상기 제2 금속막 식각에는 Cl2/BCl3를 이용하며, 식각 프로파일 제어를 위해 N2 또는 Ar 을 첨가 가스로 사용하는 것을 특징으로 하는 엠아이엠 캐패시터 제조방법.The method of claim 25, wherein the hard mask insulating layer and the second metal layer are patterned to form a hard mask and an upper electrode. The hard mask etching method includes CF 4 / CH x F y / O 2 / N 2 / Ar gas. And using Cl 2 / BCl 3 to etch the second metal film, and using N 2 or Ar as an additive gas to control the etching profile.
KR1020100069232A 2008-12-24 2010-07-16 Metal insulator metal capacitor and method for fabricating the same KR101261969B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020100069232A KR101261969B1 (en) 2010-07-16 2010-07-16 Metal insulator metal capacitor and method for fabricating the same
US12/985,812 US8445991B2 (en) 2008-12-24 2011-01-06 Semiconductor device with MIM capacitor and method for manufacturing the same
TW100102354A TWI529861B (en) 2010-07-16 2011-01-21 Semiconductor device with mim capacitor and method for manufacturing the same
CN201110193949.4A CN102339869B (en) 2010-07-16 2011-07-05 There is semiconductor device and the manufacture method thereof of MIM capacitor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100069232A KR101261969B1 (en) 2010-07-16 2010-07-16 Metal insulator metal capacitor and method for fabricating the same

Publications (2)

Publication Number Publication Date
KR20120008402A true KR20120008402A (en) 2012-01-30
KR101261969B1 KR101261969B1 (en) 2013-05-08

Family

ID=45515486

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100069232A KR101261969B1 (en) 2008-12-24 2010-07-16 Metal insulator metal capacitor and method for fabricating the same

Country Status (3)

Country Link
KR (1) KR101261969B1 (en)
CN (1) CN102339869B (en)
TW (1) TWI529861B (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102751177A (en) * 2012-07-26 2012-10-24 上海宏力半导体制造有限公司 Capacitor structure and preparation method thereof
CN103187244B (en) * 2013-04-03 2016-05-11 无锡华润上华科技有限公司 A kind of method of improving the layering of semiconductor crystal wafer electric capacity processing procedure medium
CN103346081B (en) * 2013-06-03 2016-05-04 上海华力微电子有限公司 A kind of method of the undercutting of eliminating metal level-insulating barrier-metal level
CN103337456B (en) * 2013-06-27 2016-01-27 上海华力微电子有限公司 Improve the method for breakdown voltage of capacitor
US9466663B2 (en) * 2013-10-25 2016-10-11 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement having capacitor separated from active region
JP6342728B2 (en) * 2014-06-26 2018-06-13 ラピスセミコンダクタ株式会社 Semiconductor device manufacturing method and semiconductor device
US9793339B2 (en) * 2015-01-08 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing copper contamination in metal-insulator-metal (MIM) capacitors
CN105632897A (en) * 2016-02-23 2016-06-01 中航(重庆)微电子有限公司 MIM (metal-insulator-metal) capacitor and preparation method therefor
US10177215B1 (en) * 2017-10-25 2019-01-08 Texas Instruments Incorporated Analog capacitor on submicron pitch metal level
CN111199953B (en) * 2018-11-16 2022-04-08 无锡华润上华科技有限公司 MIM capacitor and manufacturing method thereof
US11476260B2 (en) * 2019-02-27 2022-10-18 Kepler Computing Inc. High-density low voltage non-volatile memory with unidirectional plate-line and bit-line and pillar capacitor
CN110265762B (en) * 2019-05-10 2021-07-16 华为技术有限公司 Electronic device and method for manufacturing the same
US11716858B1 (en) 2021-05-07 2023-08-01 Kepler Computing Inc. Ferroelectric device film stacks with texturing layer which is part of a bottom electrode and a barrier, and method of forming such
US11527277B1 (en) 2021-06-04 2022-12-13 Kepler Computing Inc. High-density low voltage ferroelectric memory bit-cell
US11837268B1 (en) 2022-03-07 2023-12-05 Kepler Computing Inc. Multi-element ferroelectric gain memory bit-cell having stacked and folded planar capacitors with lateral offset

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2337633B (en) * 1998-05-20 2003-04-02 Mitel Corp Method of forming capacitors in a semiconductor device
JP4947849B2 (en) * 2001-05-30 2012-06-06 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6576526B2 (en) 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
US7582901B2 (en) * 2004-03-26 2009-09-01 Hitachi, Ltd. Semiconductor device comprising metal insulator metal (MIM) capacitor
JP5038612B2 (en) * 2005-09-29 2012-10-03 富士通セミコンダクター株式会社 Semiconductor device

Also Published As

Publication number Publication date
CN102339869B (en) 2016-01-06
TW201227879A (en) 2012-07-01
CN102339869A (en) 2012-02-01
KR101261969B1 (en) 2013-05-08
TWI529861B (en) 2016-04-11

Similar Documents

Publication Publication Date Title
KR101261969B1 (en) Metal insulator metal capacitor and method for fabricating the same
US8445991B2 (en) Semiconductor device with MIM capacitor and method for manufacturing the same
US11923405B2 (en) Metal-insulator-metal structure and methods of fabrication thereof
KR100548999B1 (en) Logic device having a vertically extending metal-insulator-metal capacitor between interconnections and method of forming the same
US8237208B2 (en) Semiconductor device including hydrogen barrier film for covering metal-insulator-meal capacitor and method of manufacturing the same
US8946800B2 (en) Semiconductor device with protective layer and method of manufacturing same
KR100977716B1 (en) Semiconductor device and method for manufacturing the same
CN109698133B (en) Semiconductor device including passivation spacer and method of manufacturing the same
KR100835409B1 (en) Method for manufacturing damascene mim type capacitor of semiconductor device
US11329222B2 (en) Resistive random access memory and manufacturing method thereof
KR20210098825A (en) Top electrode via with low contact resistance
KR20210038824A (en) Middle-of-line interconnect structure and manufacturing method
US20050002266A1 (en) Semiconductor device and its manufacturing method
US10600568B2 (en) Capacitor and method of fabricating the same
JP2003007850A (en) Semiconductor device and manufacturing method thereof
KR100650192B1 (en) Semiconductor device and method for forming the same
CN111834392B (en) Resistive random access memory structure and manufacturing method thereof
KR20080108697A (en) Method of forming capacitor and method of manufacturing semiconductor device
JP2011066145A (en) Semiconductor device and method of manufacturing semiconductor device
US20060292843A1 (en) Method for fabricating semiconductor device
TW201906206A (en) Resistive random access memory device and method for manufacturing the same
KR20070020753A (en) Semiconductor devices having air gap in inter-level dielectrics and method of fabricating the same
KR20050035980A (en) Method of forming metal wiring in flash memory device
KR20030090988A (en) Semiconductor device and method of manufacturing the same
KR20080056506A (en) Semiconductor memory device and method for fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170418

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190417

Year of fee payment: 7