KR20110046437A - 플라즈마 처리 챔버 내의 막을 특성화하기 위한 rf 바이어스된 용량-결합형 정전 프로브 장치 - Google Patents

플라즈마 처리 챔버 내의 막을 특성화하기 위한 rf 바이어스된 용량-결합형 정전 프로브 장치 Download PDF

Info

Publication number
KR20110046437A
KR20110046437A KR1020117000084A KR20117000084A KR20110046437A KR 20110046437 A KR20110046437 A KR 20110046437A KR 1020117000084 A KR1020117000084 A KR 1020117000084A KR 20117000084 A KR20117000084 A KR 20117000084A KR 20110046437 A KR20110046437 A KR 20110046437A
Authority
KR
South Korea
Prior art keywords
voltage
time curve
film
capacitance value
capacitor
Prior art date
Application number
KR1020117000084A
Other languages
English (en)
Korean (ko)
Inventor
쟝-뽈 부스
뤽 알바르드
정 김
더글라스 엘 케일
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110046437A publication Critical patent/KR20110046437A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49004Electrical device making including measuring or testing of device or component part

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)
KR1020117000084A 2008-07-07 2009-07-07 플라즈마 처리 챔버 내의 막을 특성화하기 위한 rf 바이어스된 용량-결합형 정전 프로브 장치 KR20110046437A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7874808P 2008-07-07 2008-07-07
US61/078,748 2008-07-07
PCT/US2009/049762 WO2010005934A2 (fr) 2008-07-07 2009-07-07 Ensemble sonde électrostatique à couplage capacitif et à polarisation rf (rfb-cce) pour caractériser un film dans une chambre de traitement au plasma

Publications (1)

Publication Number Publication Date
KR20110046437A true KR20110046437A (ko) 2011-05-04

Family

ID=41504595

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000084A KR20110046437A (ko) 2008-07-07 2009-07-07 플라즈마 처리 챔버 내의 막을 특성화하기 위한 rf 바이어스된 용량-결합형 정전 프로브 장치

Country Status (6)

Country Link
US (1) US8164353B2 (fr)
JP (1) JP5643198B2 (fr)
KR (1) KR20110046437A (fr)
CN (1) CN102084472B (fr)
TW (1) TWI458850B (fr)
WO (1) WO2010005934A2 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200033833A (ko) * 2012-06-08 2020-03-30 노벨러스 시스템즈, 인코포레이티드 Dc 셀프 바이어스 전압을 사용하여 막 두께를 결정하기 위한 시스템들 및 방법들

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
JP5661622B2 (ja) * 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
WO2010005933A2 (fr) 2008-07-07 2010-01-14 Lam Research Corporation Dispositif sonde électrostatique à couplage capacitif (cce) passif pour détecter des instabilités du plasma dans une chambre de traitement au plasma
CN102714167B (zh) 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
US8164349B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
JP2011228386A (ja) * 2010-04-16 2011-11-10 Hitachi High-Technologies Corp 半導体装置を製造するプラズマ処理装置及びプラズマ処理方法
US20120283973A1 (en) * 2011-05-05 2012-11-08 Imec Plasma probe and method for plasma diagnostics
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
CN103165173B (zh) * 2013-04-03 2016-06-08 南京大学 一种压电力显微镜探针实现的高密度铁电数据存储方法
US10818564B2 (en) * 2016-03-11 2020-10-27 Applied Materials, Inc. Wafer processing tool having a micro sensor
KR102026832B1 (ko) * 2017-10-17 2019-09-30 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10955481B2 (en) * 2018-05-09 2021-03-23 Semiconductor Components Industries, Llc Methods and apparatus for determining a resistance value of a resistor in a battery system
KR102574604B1 (ko) * 2020-12-16 2023-09-06 주식회사 이엘 반도체/디스플레이 플라즈마 화학증착공정 모니터링 전용 실시간 온도편차 보정 발광분광분석시스템

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2008A (en) * 1841-03-18 Gas-lamp eok conducting gas pkom ah elevated buhner to one below it
US100000A (en) * 1870-02-22 Improved sun-bonnet for horses
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US644769A (en) * 1899-07-01 1900-03-06 Charles Lyndon Jenne Bicycle.
US4595487A (en) 1985-03-18 1986-06-17 Kennecott Corporation Sensing probe holder system
US5473162A (en) 1987-10-26 1995-12-05 Baylor University Infrared emission detection of a gas
KR0129663B1 (ko) 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4982067A (en) 1988-11-04 1991-01-01 Marantz Daniel Richard Plasma generating apparatus and method
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH0737817A (ja) 1993-06-28 1995-02-07 Sony Corp プラズマ計測用プローブ及びこれを用いたプラズマ計測方法
FR2738984B1 (fr) 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US6024831A (en) * 1997-08-20 2000-02-15 Vanguard International Semiconductor Corporation Method and apparatus for monitoring plasma chamber condition by observing plasma stability
JPH11354509A (ja) * 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
JP2000003909A (ja) 1998-06-15 2000-01-07 Kishimoto Sangyo Co Ltd 半導体デバイス用絶縁膜および半導体デバイス
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
JP2001144071A (ja) 1999-11-10 2001-05-25 Toshiba Corp プラズマ処理方法及びその装置
TW483037B (en) 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
JP3968211B2 (ja) 2000-08-31 2007-08-29 株式会社日立製作所 微弱磁場計測デュワー
US6833710B2 (en) 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP4128339B2 (ja) 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6554954B2 (en) 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US7557591B2 (en) * 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7093560B2 (en) 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US20030210510A1 (en) 2002-05-07 2003-11-13 Hann Thomas C. Dynamic dechucking
US20030213559A1 (en) 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
WO2003102724A2 (fr) 2002-05-29 2003-12-11 Tokyo Electron Limited Procede et systeme de traitement, de stockage et de manipulation de donnees
US6894474B2 (en) 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
KR20040024720A (ko) 2002-09-16 2004-03-22 삼성전자주식회사 건식 식각 장치의 플라즈마 감지 시스템
US6815958B2 (en) * 2003-02-07 2004-11-09 Multimetrixs, Llc Method and apparatus for measuring thickness of thin films with improved accuracy
US7452824B2 (en) 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6939726B2 (en) 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP4364667B2 (ja) 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US20050212450A1 (en) 2004-03-16 2005-09-29 Scientific Systems Research Limited Method and system for detecting electrical arcing in a plasma process powered by an AC source
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
US7332360B2 (en) * 2004-10-25 2008-02-19 Applied Materials, Inc. Early detection of metal wiring reliability using a noise spectrum
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
KR20070035346A (ko) * 2005-09-27 2007-03-30 삼성전자주식회사 플라즈마 감지 시스템이 구비된 플라즈마 처리장치
US7479207B2 (en) 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
JP4754419B2 (ja) 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
KR20080048310A (ko) 2006-11-28 2008-06-02 삼성전자주식회사 반도체 소자 제조용 플라즈마 장치
US20090007642A1 (en) 2007-07-05 2009-01-08 Baxter International Inc. Dialysis fluid measurement method and apparatus using conductive contacts
US8849585B2 (en) 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
JP5661622B2 (ja) 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
CN102714167B (zh) 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
KR20110050618A (ko) 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
WO2010005933A2 (fr) 2008-07-07 2010-01-14 Lam Research Corporation Dispositif sonde électrostatique à couplage capacitif (cce) passif pour détecter des instabilités du plasma dans une chambre de traitement au plasma
US8164349B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200033833A (ko) * 2012-06-08 2020-03-30 노벨러스 시스템즈, 인코포레이티드 Dc 셀프 바이어스 전압을 사용하여 막 두께를 결정하기 위한 시스템들 및 방법들

Also Published As

Publication number Publication date
WO2010005934A3 (fr) 2010-05-06
US20100007362A1 (en) 2010-01-14
US8164353B2 (en) 2012-04-24
JP5643198B2 (ja) 2014-12-17
TW201002845A (en) 2010-01-16
WO2010005934A2 (fr) 2010-01-14
CN102084472B (zh) 2013-07-03
JP2011527523A (ja) 2011-10-27
CN102084472A (zh) 2011-06-01
TWI458850B (zh) 2014-11-01

Similar Documents

Publication Publication Date Title
KR20110046437A (ko) 플라즈마 처리 챔버 내의 막을 특성화하기 위한 rf 바이어스된 용량-결합형 정전 프로브 장치
TWI475592B (zh) 用來偵測電漿處理腔室中之電漿不穩定性的被動電容耦合靜電探針裝置
US8780522B2 (en) Capacitively-coupled electrostatic (CCE) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
TWI511622B (zh) 用來偵測電漿處理腔室中之原位電弧事件的被動電容耦合靜電探針裝置
JP5427888B2 (ja) プラズマ処理チャンバ内のストライクステップを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
TWI472777B (zh) 自動描繪電漿之特性的方法
JP2011527523A5 (ja) プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right