KR20110022081A - 다른 높이의 내부 및 외부 전극을 구비하는 캐소드 - Google Patents

다른 높이의 내부 및 외부 전극을 구비하는 캐소드 Download PDF

Info

Publication number
KR20110022081A
KR20110022081A KR1020117001811A KR20117001811A KR20110022081A KR 20110022081 A KR20110022081 A KR 20110022081A KR 1020117001811 A KR1020117001811 A KR 1020117001811A KR 20117001811 A KR20117001811 A KR 20117001811A KR 20110022081 A KR20110022081 A KR 20110022081A
Authority
KR
South Korea
Prior art keywords
electrode
annular
substrate
molybdenum
electrostatic chuck
Prior art date
Application number
KR1020117001811A
Other languages
English (en)
Other versions
KR101495507B1 (ko
Inventor
다니엘 제이. 호프만
더글라스 에이. 부흐버거
샘욘 엘. 카츠
단 카츠
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110022081A publication Critical patent/KR20110022081A/ko
Application granted granted Critical
Publication of KR101495507B1 publication Critical patent/KR101495507B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판의 주변 에지를 넘어서 그리고 기판의 주변 에지 전역에 균일한 플라즈마를 생성하기 위한 기구는 상부 전극 및 상부 전극 내부에 매입되는 환형 전극을 구비한다. 상부 전극의 외부 둘레는 환형 전극의 이부 둘레와 중첩된다. 일 실시예에서, 상부 전극 및 환형 전극은 전기적으로 몰리브덴 비아에 의하여 결합된다. 일 실시예에서, 상부 전극은 기판을 고정하기 위한 정전기력을 제공하기 위하여 DC 전력 소스와 결합된다. 일 실시예에서, 하나 이상의 공정 가스를 기판 처리를 위한 플라즈마로 여기시키기 위하여 상부 전극은 RF 소스와 결합된다.

Description

다른 높이의 내부 및 외부 전극을 구비하는 캐소드{CATHODE WITH INNER AND OUTER ELECTRODES AT DIFFERENT HEIGHTS}
본 발명의 실시예들은 대체로 기판의 주변 에지를 넘어서 그리고 전역에 균일한 플라즈마를 발생시킬 수 있는 기구와 관련된 것이다.
기판 처리 어플리케이션에서, 기판은, 공정 챔버 내의 기판 지지부 상에 놓여지며, 기판 상에 물질을 에칭하거나 증착하기 위하여 에너지가 가해진 가스에 노출된다. 지지부는 지지부 상에서 정전기적으로 기판을 홀딩하기 위하여 전기적으로 하전된 하나 이상의 전극을 구비하는 정전 척을 포함할 수 있다. 또한, 기판을 처리하기 위하여 챔버 내부에 제공되는 공정 가스에 에너지를 가하기 위해, 예를 들어 라디오 주파수(RF) 전력 같은 높은 주파수의 전기 전력을 이용하여, 전극에는 전기적으로 바이어스가 인가될 수 있다.
일반적인 공정 챔버에서, 가스 분배 수단은 챔버 내부로 공정 가스를 도입한다. 공정 챔버 내에 용량성(capacitive) 필드(field)를 형성하기 위하여, 정전 척 같은 기판 지지부 내의, 캐소드 같은 전극에 RF 전압을 인가함으로써 그리고 애노드를 전기적으로 접지시킴으로써, 가스에 에너지가 가해져 가스는 플라즈마로 변환된다. 캐소드와 관련하여, 캐소드에 직류를 인가함으로써, 그리고 정전 척 상에 기판을 홀딩하고 이끌기 위하여 생성된 정전기력(electrostatic force)에 의하여, 기판에는 전기적으로 바이어스가 인가될 수 있다. 기판은 공정 챔버 내부에 생성되는 플라즈마에 의하여 처리된다.
공정 동안에, 공정 챔버 내부에서 정전 척의 표면 같은 기판 지지 표면에는 플라즈마 및 이온 충격(bombardment)이 발생하기 쉽다. 시간이 흘러가면서, 플라즈마 및 이온 충격은 정전 척의 일부에 손상을 가할 수 있다. 척을 보호하고 척의 수명을 증가시키기 위하여, 공정 키트가 공정 챔버 내부의 정전 척 주변에 위치된다. 공정 키트는 일반적으로 플라즈마에 노출되는 정전 척의 상부 부분을 커버하는 환형 링을 포함한다.
도 1은 환형 링(108)이 장착된 종래 기술에 의한 정전 척(100)의 단면을 나타내는 도면이다. 정전 척(100)은 환형 링(108)을 지지하는 환형 플랜지(102)를 포함한다. 환형 링(108)은 일반적으로 절연 또는 유전 물질로 이루어지는데, 예컨대 세라믹 물질로 이루어진다. 환형 링의 주요 기능은 공정 챔버 내부의 플라즈마가 정전 척(100)에 접촉하는 것 그리고 정전 척(100)이 부식되는 것을 방지하는 것이다.
정전 척(100)은 처리되는 기판(130)을 지지하고 유지하기 위한 고정(chcking) 표면(106)을 포함한다. 캐소드(120)는 고정 표면(106) 근처의 정전 척(130) 내부에 위치된다. 중앙 전도체(195)는 기판(130)을 유지하기 위하여 캐소드(120)에 DC 전압을 공급한다. 또한, 공정 가스에 용량성으로 에너지를 가하여 공정 가스를 기판(130)의 처리를 위한 플라즈마로 변환시키기 위해, 중앙 전도체(195)는 RF 전압을 캐소드(120)에 공급한다.
도 1에 도시되는 구성에서 확인할 수 있듯이, 기판(130)을 유지(retain)하기 위해 요구되는 적절한 정전기력을 생산하기 위하여 캐소드(120)는 고정 표면(106) 부근에 위치된다. 이에 따라, 캐소드(120)는 환형 링(108)에 의하여 경계가 정하여지는(circumscribed) 척(100)의 일부 내부에 위치된다. 따라서, 캐소드(120)의 주변 부분은 방사상으로 기판(130)의 주변 내부로 또는 근처로 한정된다(terminated). 이러한 구성은 캐소드(120)에 의하여 생성되는 RF 필드 내에 불균일성을 유발하며 그 결과 기판(130)의 주변 에지 내에 바람직하지 않은 불균일성이 야기된다는 사실이 발견되었다.
따라서, 기판 표면 전역에, 특히 기판의 주변 에지 전역에 균일한 플라즈마를 제공할 수 있는 정전 척에 대한 요구가 계속되고 있다. 그리고, 기판의 주변 에지를 넘어서 균일한 플라즈마를 제공할 수 있는 정전 척에 대한 요구 또한 계속되고 있다.
본 발명의 실시예들은 대체로 기판의 주변 에지 전역에 그리고 기판의 주변 에지 넘어서 균일한 플라즈마를 생성할 수 있는 기구와 관련된 것이다.
일 실시예에서, 기판 지지부는 기판을 지지할 수 있는 상부 표면 및 상부 표면 아래의 환형 플랜지를 구비하는 유전성 바디를 포함한다. 전극은 상부 표면과 환형 플랜지 사이의 유전성 바디 내에 위치된다. 환형 전극은 적어도 부분적으로 환형 플랜지 내에 위치되며, 수직 전도체는 중앙 전극을 환형 전극에 전기적으로 결합시킨다.
일 실시예에서, 정전 척은 환형 리세스(recess)에 의하여 경계가 정하여지는(circumscribed) 상부 영역을 구비하는 유전성 지지 부재를 포함한다. 전극은 상부 영역 내에 매입된다. 환형 전극은 유전성 지지 부재 내에 매입되며 환형 리세스 아래에 위치된다. 일 실시예에서, 중앙 전극의 외부 둘레를 따르는 영역은 환형 전극의 내부 둘레를 따르는 영역과 중첩된다. 다수의 수직 전도체는 중앙 전극 및 환형 전극과 전기적으로 결합한다.
다른 실시예에서, 기판 처리 기구는 벽, 천장 및 공정 영역을 한정하는 지지 부재를 구비하는 챔버를 포함한다. 일 실시예에서, 지지 부재는 기판을 지지할 수 있는 상부 표면 및 상부 표면 아래에 위치되는 환형 플랜지 영역을 구비하는 유전성 바디를 포함한다. 일 실시예에서, 지지 부재는 환형 플랜지 영역 상부의 유전성 바디 내에 위치되는 중앙 전극, 및 적어도 부분적으로 환형 플랜지 영역 내에 위치되는 환형 전극을 더 포함한다. 일 실시예에서, 수직 전도체는 중앙 전극을 환형 전극에 전기적으로 결합시킨다. 일 실시예에서, 기판 처리 기구는 중앙 전극에 전기적으로 결합되는 RF 전력 소스, 및 중앙 전극에 전기적으로 결합되는 DC 전력 소스를 더 포함한다.
상술한 본 발명의 특징이 상세히 이해될 수 있도록 하기 위하여, 위에서 간략히 요약한 본 발명의 더욱 구체적인 설명이 실시예를 참조하여 이루어지며, 이들 실시예 중 일부는 첨부된 도면에 도시되어 있다. 그러나, 첨부된 도면은 본 발명의 전형적인 실시예를 도시할 뿐이며, 본 발명은 균등한 다른 실시예에 대해서도 허용하고 있으므로 본 발명의 범위를 제한하는 것으로 간주되어서는 안 된다.
도 1은 정전 척 상에 배치된 공정 키트의 환형 링을 구비하는 종래 기술에 따른 정전 척의 단면을 나타내는 도면이다.
도 2는 본 발명의 실시예들에서 이용될 수 있는 예시적인 처리 기구의 개략적인 단면을 나타내는 도면이다.
도 3은 본 발명에 따른 정전 척의 일 실시예의 개략적인 단면을 나타내는 도면이다.
본 발명의 실시예들은, 예를 들어, 플라즈마로 기판을 에칭하는 동안, 이온 주입법으로 기판 내에 물질을 주입하는 동안, 화학 또는 물리 기상 증착법으로 기판 상에 물질을 증착하는 동안, 또는 다른 공정을 수행하는 동안, 기판을 지지하고 유지하는데 사용되는 기구와 관련된 것이다.
도 2는 기판(230)을 처리하는데 적합한 예시적인 처리 기구(200)의 개략적인 단면을 나타내는 도면이다. 기구(200)는 일반적으로 측벽(212), 천장(215) 및 바닥벽(218)을 구비하는 밀폐된 공정 챔버(210)를 포함한다. 공정 가스 공급부(222), 가스 유동 제어 시스템(224) 및 가스 분배기(226)를 포함할 수 있는, 가스 분배 시스템(220)을 통하여 공정 가스가 챔버(210) 내로 도입된다. 도시된 바와 같이, 공정 가스는 기판(230)의 둘레에 인접하여 도입된다. 대안적으로, 다공(perforated) 샤워 헤드 분배기(도시되지 않음)를 통하여 또는 위쪽으로 연장하는 가스 분배기(도시되지 않음)를 통하여, 공정 가스가 기판 상부에 도입될 수 있다.
배기 시스템(228)은 하나 이상의 배기 펌프 및 스로틀(throttle) 밸브를 포함할 수 있다. 배기 시스템은 다 써버린 공정 가스 부산물을 배기하는데 그리고 공정 챔버(210) 내의 압력을 제어하는데 이용된다. 컴퓨터 제어 시스템은 프로그래밍된 공정 환경을 이용하는 가스 분배 시스템(220) 및 배기 시스템(228)을 작동시킬 수 있다. 처리 기구(200)의 구체적인 예는 설명된 것으로 한정되지 아니하며, 본 발명의 목적 범위 하에서 제한 없이 구성될 수 있다.
공정 가스가 챔버(210) 내로 도입된 이후에, 플라즈마(250)를 형성하도록 가스에 에너지가 인가된다(energized). 하나 이상의 유도 코일 같은, 안테나(260)가 챔버(210)에 인접하여 제공될 수 있다. 안테나 전력 공급부(265)는 RF 에너지 같은 에너지를 챔버(210) 내의 공정 영역 내의 플라즈마(250) 형성용 공정 가스에 유도 결합시키기 위해 안테나에 전력을 공급할 수 있다. 대안적으로, 또는 추가적으로, 기판(230) 아래의 캐소드(cathode) 및 기판 위의 애노드(anode)를 포함하는 공정 전극은, 이후에 본 명세서에서 설명되는 바와 같이, 플라즈마(250)를 생성하기 위하여 RF 전력을 커플링(coupling) 하는데 이용될 수 있다. AC 소스(280) 및 DC 소스(290)를 포함하는 전력 소스(275)는 공정 전극에 전력을 공급하는데 이용될 수 있다. AC 소스(280)는 생성기 및 바이어스 RF 매칭 회로를 포함할 수 있다. 전력 소스(275)의 작동은 제어부에 의하여 제어될 수 있으며, 상기 제어부는 또한 챔버 내부의 다른 구성요소의 동작을 제어할 수 있다.
일 실시예에서, 기판(230)을 홀딩하기 위한 정전 척(300)이 챔버(210) 내부에 위치된다. 정전 척(300)은 유전성 바디(305) 내부에 매입된 전극(320)을 포함한다. 정전 척(300)은, DC 소스(290) 및 중앙 전도체(295)로부터의 DC 고정 전압(chucking voltage)을 전극(320)에 공급함으로써, 기판을 정전 척(300)에 정전기적으로 이끌고 홀딩하기 위한 정전 고정력(chucking force)을 생산하는데 이용될 수 있다. DC 전력 공급부(290)는 전극에 약 200 내지 약 2000 볼트의 DC 고정 전압을 제공할 수 있다. DC 전력 공급부(290)는 DC 전류를 기판(290)의 고정 및 비고정(de-chucking)을 위한 전극(320)으로 향하게 함으로써 전극(320)의 작동을 제어하기 위한 시스템 제어부를 포함할 수 있다.
일 실시예에서, 전극(320)은 플라즈마 생성 캐소드로서 제공된다. 전도성 구성요소를 포함하는 애노드(240)는 챔버(210) 내의 기판(230)의 직접적인 상부에 또는 다른 위치에, 또는 챔버(210)에 인접하여 위치된다. 애노드(240)는 기판(230)의 전체 영역을 실질적으로 에워쌀 만큼 충분히 클 수 있다. RF 전압을 전극(320)에 인가함으로써, 챔버(210) 내부에 RF 유전성 필드(field)를 형성하기 위하여 전극(320) 및 애노드(240)는 용량적으로(capacitively) 결합한다. 그 결과, 플라즈마(250)에는 전압이 인가되며, 플라즈마(250)는 유지된다.
AC 전력 소스(280)에 의하여 플라즈마 생성 RF 전압이 전극(320)에 인가될 수 있다. 중앙 전도체(295)를 통하여 AC 전력 소스(280)는 RF 전압을 전극(320)에 제공한다. 전압은 약 400KHz에서부터 약 300MHz까지의 하나 이상의 주파수를 가질 수 있다.
일 실시예에서, 애노드(240)는 챔버(210)의 천장(215)에 있을 수 있다. 천장(215)은 바이어스가 걸리거나 접지될 수 있는 전도체로서 제공될 수 있다. 또한, 애노드(240)는 유도 안테나(260)에 의하여 전파되는(transmitted) RF 유도 필드로 낮은 임피던스(impedance)를 제공하는 반도체일 수 있다. 천장(215)은 애노드(240)로서 제공될 만큼 충분히 전기적 전도성을 가질 수 있으며 천장(215) 상부의 유도 안테나(260)에 의하여 생성되는 RF 유도 필드를 투과할 수 있다.
일 실시예에서, 정전 척(300)은 정전 척(300)의 고정 표면(306)의 아래에 그리고 정전 척(300)의 고정 표면(306)의 주변 에지를 넘어서 위치되는 환형 플랜지(302)를 포함한다. 환형 링(308)이 공정 기구(200) 내에 생성되는 플라즈마(250)로부터 환형 플랜지(302)를 보호하기 위하여 환형 플랜지(302) 상에 위치될 수 있다.
일 실시예에서, 정전 척(300)은 전극(320) 아래의 유전성 바디(305) 내부에 매입되는 환형 전극(325)을 포함할 수 있다. 환형 전극(325)은 하나 이상의 방사 전도체(328) 및 중앙 전도체(295)를 이용하여 전극(320)과 전기적으로 결합되는 환형 링일 수 있다. 환형 전극(325)은 전극(320)에 의하여 생성되는 RF 필드로부터 방사상 외부로 RF 필드를 생성함으로써 기판(230)의 주변 에지를 넘어서 플라즈마(250)를 적절하게 방사상으로 확장하도록 기능할 수 있다.
일 실시예에서, 하나 이상의 방사 전도체(328)는 전기적으로 전도성이 있는 물질, 예컨대 알루미늄 또는 구리로 이루어진다.
일 실시예에서, 하나 이상의 방사 전도체(328)의 존재는 전극(320) 및 환형 전극(325)에 의하여 생성되는 RF 필드 내의 방위각(azimuthal) 불균일성을 생성할 수 있는데, 이는 불균일 플라즈마(250)를 생성할 수 있으며 기판(230)의 불균일한 처리를 유발할 수 있다.
도 3은 본 발명의 일 실시예에 따른 정전 척의 개략적인 단면을 나타내는 도면이다. 도 2의 실시예와 유사하게, 정전 척(400)은 정전 척(400)의 고정 표면(406) 아래에 그리고 정전 척(400)의 고정 표면(406)의 주변 에지를 넘어서 위치되는 환형 플랜지(402)를 포함한다. 공정 기구(200) 내에 생성되는 플라즈마(250)로부터 환형 플랜지(402)를 보호하기 위하여 환형 링(408)이 환형 플랜지(402) 상에 위치될 수 있다.
일 실시예에서, 환형 링(408)은, 산화 알루미늄, 질화 알루미늄, 탄화 붕소, 질화 붕소, 다이아몬드, 석영, 산화 규소, 질화 규소, 산화 티타늄, 탄화 티타늄, 지르코늄 붕소화물(zirconium boride), 탄화 지르코늄, 그리고 이와 등가한 것 또는 이들의 혼합물 중 하나 이상을 포함할 수 있다.
일 실시예에서, 정전 척(400)은 정전 척(400)의 유전성 바디(405) 내부에 매입되는 전극(420)을 더 포함한다. 정전 척(400)은 또한 전극(420) 아래의 유전성 바디(405) 내부에 매입되며 전극(420)의 주변 에지를 넘어서 방사상으로 확장하는 환형 전극(425)을 더 포함할 수 있다.
유전성 바디(405)는 열적으로 융합된(fused) 세라믹 또는 폴리머의 모놀리식(monolithic) 구조일 수 있다. 모놀리츠(monolith) 세라믹은 대체로 낮은 공극률(porosity) 및 우수한 전기적 성질을 가진다. 또한, 모놀리식 세라믹 구조의 높은 유전 브레이크다운(dielectric breakdown) 세기(strength)는 전극(420) 및 환형 전극(425)에 높은 RF 전력이 인가되도록 할 수 있다. 일 실시예에서, 유전성 바디(405)는 약 20% 보다 적은 공극률을 가지는 세라믹으로 제조될 수 있다. 일 실시예에서, 유전성 바디(405)는 약 10% 보다 낮은 공극률을 가지는 세라믹으로 제조될 수 있다. 일 실시예에서, 유전성 바디(405)는, 산화 알루미늄, 질화 알루미늄, 탄화 붕소, 질화 붕소, 산화 규소, 탄화 규소, 질화 규소, 산화 티타늄, 탄화 티타늄, 산화 이트륨(yttrium oxide), 산화 베릴륨(beryllium oxide) 및 산화 지르코늄 중 하나 이상을 포함할 수 있다.
일 실시예에서, 유전성 바디(405)는 전극(420) 및 환형 전극(425) 주위에 적층되는(stacked) 폴리이미드(polyimide) 또는 아라미드(aramid) 층의 적층체(laminate)를 포함할 수 있다. 유전성 바디(405)는 오토클레이브(autoclave) 압력 형성 공정에 의하여 제조될 수 있다.
일 실시예에서, 전극(420)의 외부, 주변 에지는 환형 전극(425)의 내부, 주변 에지와 중첩되는데(overlap), 이는 정전 척(400)의 유전성 바디(405)와의 중첩 영역(432)을 설정한다. 전극(420)과 환형 전극(425)은 중첩 영역(432) 내부에 위치되는 다수의 수직 전도성 부재들(435)에 의하여 전기적으로 결합될 수 있다. 일 실시예에서, 수직 전도성 부재들(435)은 비아(vias)이다. 일 실시예에서, 수직 전도성 부재들이 환형 전극(425)의 내부, 주변 에지 부근에서 서로 동등한 간격을 가지도록, 수직 전도성 부재들(435)은 중첩 영역(432) 내에 위치된다. 일 실시예에서, 수직 전도성 부재들(435)은, 환형 전극(425)의 주변 에지 부근에서 서로 일정한 간격을 가지며, 인접한 수직 전도성 부재들(435) 사이의 거리가 선택된 RF 주파수의 파동의 선택된 몇 분의 일이 되도록 충분한 존재한다.
일 실시예에서, 전극(420) 및 환형 전극(425)은 알루미늄, 구리, 은, 금, 몰리브덴, 탄탈(tantalum) 또는 이들의 혼합물 같은 전도성 물질로 제조될 수 있다. 전극(420) 및 환형 전극(425)은 약 100 마이크론 내지 약 1000 마이크론의 직경을 가지는, 약 5 내지 200 메쉬의 메쉬 사이즈를 가지는, 그리고 원형, 타원형, 직사각형 단면을 가지는 와이어 메시(mesh of wire)를 포함할 수 있다. 일 실시예에서, 전극(420) 및 환형 전극(425)은 전기 전도성 와이어로 만들어지는 메쉬를 포함하는데, 각 와이어는 각 메쉬 전극의 평면과 실질적으로 평행한 방향으로 향하여지는 길이 방향(longitudinal) 중심 축을 구비한다. 메쉬는 동등한 사이즈를 가지는 고체 전극보다 더 적은 금속을 포함하며, 결과적으로 더 적은 열적 팽창을 하게 된다.
일 실시예에서, 전극(420) 및 환형 전극(425)은 몰리브덴 메쉬를 포함한다. 일 실시예에서, 수직 전도성 부재들(435)은 몰리브덴 비아이다.
일 실시예에서, 도 4에 도시된 바와 같이, 환형 전극(425)은 전극(420)에 의하여 생성되는 RF 필드로부터 방사상 외부로 RF 필드를 생성함으로써 기판(230)의 주변 에지를 넘어서 플라즈마(250)가 적절하게 방사상으로 확장되도록 기능할 수 있다. 추가적으로, 수직 전도성 부재들(435)의 이용은 도 3에 도시된 방사 전도성 부재(328)에 대한 필요를 제거시킨다. 따라서, 균일한 RF 필드가 전극(420) 및 환형 전극(425)에 의하여 생성되고, 이에 따라 균일한 플라즈마(250) 생성 및 균일한 기판(230)의 처리가 달성될 수 있으며, 이는 기판(230)의 주변 에지 전역에서도 이루어질 수 있다.
상술된 내용은 본 발명의 실시예들과 관련된 것이지만, 본 발명의 다른 또는 추가적인 실시예들이 본 발명의 기본적인 목적을 벗어나지 않는 범위 내에서 고안될 수 있으며, 본 발명의 목적은 아래와 같은 청구항에 의하여 구현될 수 있다.

Claims (15)

  1. 기판 지지부로서,
    기판을 지지할 수 있는 상부 표면 및 상기 상부 표면 아래의 환형 플랜지를 구비하는 유전성 바디;
    상기 상부 표면과 상기 환형 플랜지 사이의 상기 유전성 바디 내에 위치되는 중앙 전극;
    적어도 부분적으로 상기 환형 플랜지 내에 있는 환형 전극;
    상기 중앙 전극을 상기 환형 전극에 전기적으로 결합시키는 수직 전도체
    를 포함하는 기판 지지부.
  2. 제1항에 있어서,
    상기 중앙 전극의 외부 둘레(perimeter)는 상기 환형 전극의 내부 둘레와 적어도 부분적으로 중첩(overlap)되는 기판 지지부.
  3. 제1항에 있어서,
    상기 수직 전도체는 다수의 전도성 비아(vias)인 기판 지지부.
  4. 제3항에 있어서,
    상기 다수의 전도성 비아는 몰리브덴을 포함하는 기판 지지부.
  5. 제3항에 있어서,
    상기 다수의 전도성 비아는 상기 환형 전극의 내부 둘레 영역 주위에서 서로 일정한 간격을 가지는 기판 지지부.
  6. 제3항에 있어서,
    상기 환형 전극은 몰리브덴 메쉬(mesh)를 포함하는 기판 지지부.
  7. 제1항에 있어서,
    상기 중앙 전극 및 상기 환형 전극은 몰리브덴 메쉬를 포함하고. 상기 수직 전도성 부재는 다수의 몰리브덴 비아인 기판 지지부.
  8. 정전 척(electrostatic chuck)으로서,
    환형 리세스(recess)에 의하여 경계가 정하여지는(circumscribed) 상부 영역을 구비하는 유전성 지지 부재;
    상기 상부 영역 내에 매입된 중앙 전극;
    상기 유전성 지지 부재 내에 매입되며 상기 환형 리세스 아래에 위치되는 환형 전극 - 상기 중앙 전극의 외부 둘레를 따르는 영역은 상기 환형 전극의 내부 둘레를 따르는 영역과 중첩됨 -; 및
    상기 중앙 전극 및 상기 환형 전극과 전기적으로 결합하는 다수의 수직 전도체
    를 포함하는 정전 척.
  9. 제8항에 있어서,
    상기 다수의 수직 전도체는 몰리브덴 비아인 정전 척.
  10. 제8항에 있어서,
    상기 중앙 전극에 결합되는 RF 전력 소스; 및
    상기 중앙 전극에 결합되는 DC 전력 소스
    를 더 포함하는 정전 척.
  11. 제10항에 있어서,
    인접 수직 전도체 사이의 거리가 상기 RF 전력 소스에 의하여 방출되는 RF 주파수 파장의 선택된 몇 분의 일(selected fraction of)이 되도록, 상기 다수의 수직 전도체는 서로 일정한 간격을 가지는 정전 척.
  12. 제8항에 있어서,
    상기 중앙 전극 및 상기 환형 전극은 몰리브덴 메쉬를 포함하며, 상기 다수의 수직 전도체는 몰리브덴 비아인 정전 척.
  13. 기판 처리 기구로서,
    벽, 천장 및 공정 영역을 한정하는 지지 부재를 구비하는 챔버 - 상기 지지 부재는, 기판을 지지할 수 있는 상부 표면 및 상기 상부 표면 아래에 위치되는 환형 플랜지 영역을 구비하는 유전성 바디; 상기 환형 플랜지 영역 상부의 상기 유전성 바디 내에 위치되는 중앙 전극; 적어도 부분적으로 상기 환형 플랜지 영역 내에 위치되는 환형 전극; 및 상기 중앙 전극을 상기 환형 전극에 전기적으로 결합시키는 수직 전도체를 포함함 -;
    상기 중앙 전극에 전기적으로 결합되는 RF 전력 소스; 및
    상기 중앙 전극에 전기적으로 결합되는 DC 전력 소스
    를 포함하는,
    기판 처리 기구.
  14. 제13항에 있어서,
    상기 중앙 전극 및 상기 환형 전극은 몰리브덴 메쉬를 포함하며, 상기 수직 전도체는 다수의 몰리브덴 비아를 포함하는 기판 처리 기구.
  15. 제14항에 있어서,
    인접 수직 전도체 사이의 거리가 상기 RF 전력 소스에 의하여 방출되는 RF 주파수 파장의 선택된 몇 분의 일이 되도록, 상기 다수의 몰리브덴 비아는 서로 일정한 간격을 가지는 기판 처리 기구.
KR1020117001811A 2008-06-23 2009-06-11 다른 높이의 내부 및 외부 전극을 구비하는 캐소드 KR101495507B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/144,463 US8607731B2 (en) 2008-06-23 2008-06-23 Cathode with inner and outer electrodes at different heights
US12/144,463 2008-06-23
PCT/US2009/046994 WO2010008711A2 (en) 2008-06-23 2009-06-11 Cathode with inner and outer electrodes at different heights

Publications (2)

Publication Number Publication Date
KR20110022081A true KR20110022081A (ko) 2011-03-04
KR101495507B1 KR101495507B1 (ko) 2015-02-26

Family

ID=41430040

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117001811A KR101495507B1 (ko) 2008-06-23 2009-06-11 다른 높이의 내부 및 외부 전극을 구비하는 캐소드

Country Status (7)

Country Link
US (1) US8607731B2 (ko)
JP (1) JP2011525694A (ko)
KR (1) KR101495507B1 (ko)
CN (1) CN102067737B (ko)
SG (1) SG192416A1 (ko)
TW (1) TW201016078A (ko)
WO (1) WO2010008711A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200029038A (ko) * 2017-10-24 2020-03-17 엔지케이 인슐레이터 엘티디 웨이퍼 배치대 및 그 제조법
KR102327829B1 (ko) * 2020-11-02 2021-11-17 주식회사 엘케이엔지니어링 정전척

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
CN102789949B (zh) * 2012-02-01 2015-06-24 中微半导体设备(上海)有限公司 一种等离子反应器
CN102610476B (zh) * 2012-03-12 2015-05-27 中微半导体设备(上海)有限公司 一种静电吸盘
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR102038647B1 (ko) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
WO2015023945A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10950477B2 (en) * 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102450476B1 (ko) * 2018-02-28 2022-10-05 주식회사 미코세라믹스 정전척 히터 및 그 제조 방법
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113557475A (zh) * 2019-03-13 2021-10-26 Asml控股股份有限公司 用于光刻设备的静电夹具
JP7474651B2 (ja) 2019-09-09 2024-04-25 東京エレクトロン株式会社 プラズマ処理装置
US20210159107A1 (en) * 2019-11-21 2021-05-27 Applied Materials, Inc. Edge uniformity tunability on bipolar electrostatic chuck
US11270903B2 (en) * 2019-12-17 2022-03-08 Applied Materials, Inc. Multi zone electrostatic chuck
KR102259949B1 (ko) * 2020-09-09 2021-06-02 주식회사 미코세라믹스 서셉터 및 그 제조 방법
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
JP2022143488A (ja) * 2021-03-17 2022-10-03 新光電気工業株式会社 静電チャック及び基板固定装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JP3949186B2 (ja) 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6267839B1 (en) 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6488820B1 (en) 1999-08-23 2002-12-03 Applied Materials, Inc. Method and apparatus for reducing migration of conductive material on a component
US6490144B1 (en) 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
US6494958B1 (en) 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
JP2004531880A (ja) 2001-03-13 2004-10-14 アプライド マテリアルズ インコーポレイテッド 二重電極を有する基板の支持体
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
JP4098975B2 (ja) 2001-10-29 2008-06-11 キヤノンアネルバ株式会社 プラズマ支援ウェハー処理装置の二重電極ウェハーホルダ
JP2003158117A (ja) 2001-11-19 2003-05-30 Anelva Corp ダメージのないウェハードライエッチングのプラズマ処理装置
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6944006B2 (en) 2003-04-03 2005-09-13 Applied Materials, Inc. Guard for electrostatic chuck
KR100890522B1 (ko) * 2005-01-28 2009-03-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4935143B2 (ja) 2006-03-29 2012-05-23 東京エレクトロン株式会社 載置台及び真空処理装置
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200029038A (ko) * 2017-10-24 2020-03-17 엔지케이 인슐레이터 엘티디 웨이퍼 배치대 및 그 제조법
KR102327829B1 (ko) * 2020-11-02 2021-11-17 주식회사 엘케이엔지니어링 정전척

Also Published As

Publication number Publication date
SG192416A1 (en) 2013-08-30
CN102067737A (zh) 2011-05-18
US20090314433A1 (en) 2009-12-24
WO2010008711A3 (en) 2010-03-11
TW201016078A (en) 2010-04-16
JP2011525694A (ja) 2011-09-22
CN102067737B (zh) 2014-10-01
WO2010008711A2 (en) 2010-01-21
KR101495507B1 (ko) 2015-02-26
US8607731B2 (en) 2013-12-17

Similar Documents

Publication Publication Date Title
KR101495507B1 (ko) 다른 높이의 내부 및 외부 전극을 구비하는 캐소드
EP1446825B1 (en) Apparatus and method for improving etch rate uniformity
TWI553773B (zh) 用於極度邊緣可調性的延伸和獨立之射頻驅動陰極基材
JP5518174B2 (ja) プラズマを生成する方法又はプラズマチャンバの操作方法
JP5215875B2 (ja) プラズマエッチングチャンバのための統合型の容量および誘導電源
US6273958B2 (en) Substrate support for plasma processing
JP2001516967A (ja) 電気的に結合されているカラーリングを有するプラズマチャンバ支持体
KR20170003917A (ko) 히터 급전 기구
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
JP2021503686A (ja) 製造プロセスにおける超局所化及びプラズマ均一性制御
CN113903647A (zh) 边缘环和蚀刻装置
WO2009099521A1 (en) Plasma immersion ion implantation using an electrode with edge-effect suppression by a downwardly curving edge
KR102358480B1 (ko) 대면적 건식 식각처리 장치
KR101569886B1 (ko) 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JPH11185995A (ja) プラズマ処理装置
US20240222092A1 (en) Plasma processing apparatus and electrostatic chuck including a dielectric structure and an electrostatic claim electrode inside the dielectric structure
KR20220058776A (ko) 기판 처리 장치 및 기판 처리 방법
KR20220058778A (ko) 기판 처리 장치 및 기판 처리 방법
KR20220058782A (ko) 마이크로웨이브 유닛 및 그를 포함하는 기판 처리 장치
KR20230092672A (ko) 포커스 링 및 이를 포함하는 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180212

Year of fee payment: 4