KR20100113475A - 루테늄의 선택적 증착을 반도체 장치의 제조 과정에 부가하는 방법 - Google Patents

루테늄의 선택적 증착을 반도체 장치의 제조 과정에 부가하는 방법 Download PDF

Info

Publication number
KR20100113475A
KR20100113475A KR1020107006378A KR20107006378A KR20100113475A KR 20100113475 A KR20100113475 A KR 20100113475A KR 1020107006378 A KR1020107006378 A KR 1020107006378A KR 20107006378 A KR20107006378 A KR 20107006378A KR 20100113475 A KR20100113475 A KR 20100113475A
Authority
KR
South Korea
Prior art keywords
precursor
gas
recess
vapor
metal film
Prior art date
Application number
KR1020107006378A
Other languages
English (en)
Other versions
KR101506755B1 (ko
Inventor
켄지 스즈키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100113475A publication Critical patent/KR20100113475A/ko
Application granted granted Critical
Publication of KR101506755B1 publication Critical patent/KR101506755B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Cu 벌크 내의 전기적 물질 이동 및 스트레스 물질 이동을 향상시키기 위해 Ru 금속을 선택적 증착을 반도체 장치들의 제조 과정에 부가하는 방법이다. 상기 방법은 Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 사용하여, 열적 화학적 기상 증착 공정에 의해 금속배선층 또는 Cu 벌크 상에 Ru 금속막을 선택적으로 증착하는 것을 포함한다. 하나 이상의 선택적으로 증착된 Ru 금속막들을 포함하는 반도체 장치가 기술되었다.

Description

루테늄의 선택적 증착을 반도체 장치의 제조 과정에 부가하는 방법{METHOD FOR INTEGRATING SELECTIVE RUTHENIUM DEPOSITION INTO MANUFACTURING OF A SEMICONDUCTOR DEVICE}
본 발명은 반도체 공정과 반도체 장치에 관한 것이며, 더 상세하게는, 반도체 장치들을 제조하기 위한 루테늄 금속막의 선택적 증착 방법에 관한 것이다.
본 발명은 발명의 명칭이 "금속-카르보닐 전구체로부터 금속층의 증착 속도를 증가시키는 방법(METHOD FOR INCREASING DEPOSITION RATES OF METAL LAYERS FROM METAL-CARBONYL PRECURSORS)"인 미국 특허 출원(번호 : 10/996,145)과 관련되며, 이 관련 출원의 전체 내용은 본 명세서에 참조로서 포함된다. 이 관련 출원은 일반에 귀속되지 않았다.
집적 회로는 다양한 반도체 장치들과, 이 반도체 장치들에 전력을 제공하고, 이 반도체 장치들이 정보를 공유 및 교환할 수 있도록 하는 복수의 도전성 금속 경로를 포함한다. 집적 회로 내에는, 금속층들이 층간 금속 및 금속층들 각각을 서로 절연시키는 층간 절연층들을 사용하여 서로의 위에 적층된다. 일반적으로, 각각의 금속층은 적어도 하나의 추가적인 금속층과 전기적 컨택을 형성해야 한다. 이러한 전기적 연결은 금속층들을 분리하는 층간 절연층에 홀(즉, 비아)을 식각하고, 그 결과 형성된 비아에 상호접속을 이루기 위한 금속을 충진함으로써 얻어질 수 있다. "비아"는 일반적으로 층간 절연층 내에 형성되며, 금속으로 충진되는 경우 층간 절연층을 통하여 층간 절연층 아래의 도전층과의 전기적 연결을 제공하는 홀, 라인 또는 이외 다양한 구조와 같은 다양한 오목부를 가리킨다. 이와 유사하게, 두 개 이상의 비아들을 연결하는 오목부들은 일반적으로 트렌치라고 한다.
집적 회로 제조를 위한 다층 금속배선 설계에 구리(Cu) 금속을 사용하는 것은 해결이 필요한 몇 가지 문제를 야기시켰다. 예를 들어, Cu 원자들의 절연 물질 및 Si 내에서의 높은 이동성에 의해 Cu 원자들이 이러한 물질들 내로 이동할 수 있으며, 이에 따라 집적 회로를 손상시킬 수 있는 전기적 결함을 형성할 수 있다. 따라서, Cu 금속층들, Cu로 충진된 트렌치들 및 Cu로 충진된 비아들은 일반적으로, Cu 원자들이 절연 물질 내로 확산되는 것을 방지하기 위한 베리어층에 의해 포위된다. 베리어층들은 일반적으로 Cu 증착에 선행하여 트렌치나 비아의 측벽 및 하부에 증착되며, 바람직하게는, Cu와 반응하거나 혼합되지 않고, 절연 물질들과의 양호한 접착력을 제공하고, 낮은 전기 저항을 제공할 수 있는 물질들을 포함할 수 있다.
집적 회로의 상호접속에 있어서의 전기적 전류 밀도는 연속되는 각각의 기술 노드에 따라 크게 증가하였다. 전기적 물질이동(electromigration, EM) 및 스트레스 물질이동(stress migration, SM)의 수명은 전류 밀도와 반비례하기 때문에, EM 및 SM은 중대한 과제로 빠르게 부상하였다. Cu 이중 다마신(dual damascene) 상호접속 구조들의 EM 수명은, Cu 벌크와 포위 물질(예 : Cu 캡핑 층) 사이의 계면에서의 Cu의 원자적 이동에 강하게 의존하며, Cu의 원자적 이동은 이러한 계면들에서의 접착력과 직접적으로 관련된다. 이에, 보다 나은 접착력 및 EM 수명을 제공하는 새로운 캡핑 물질들이 광범위하게 연구되었다. 예를 들어, 절연성 캡핑 층(예 : SiN)은 예를 들어 무전해 도금 기술을 사용하여 Cu 벌크에 선택적으로 증착된 CoWP와 같은 금속 함유 캡핑 층으로 대체될 수 있다. CoWP와 Cu 벌크의 계면은 보다 긴 EM 수명을 발생시키는 우수한 접착 강도를 가진다. 그러나, Cu 벌크, 특히 좁은 피치의 Cu 배선을 위한 Cu 벌크에 대한 수용될 수 있는 증착 선택성을 유지하고, 양호한 막 균일성을 유지하는 것은 이러한 복잡한 공정의 채용에 영향을 끼쳤다.
따라서, Cu와의 양호한 접착력 및 Cu 벌크의 향상된 EM 및 SM 특성을 제공하는 금속층 증착을 위한 새로운 방법들이 요구된다. 구체적으로는, 이러한 방법들은 절연성 표면에 비해 금속 표면에 대해 양호한 금속 증착 선택성을 제공해야 한다.
본 발명의 실시예들은 Ru의 선택적 증착을 반도체 장치의 제조에 부가하는 방법을 제공함으로써, Cu 금속배선 내의 전기적 물질이동 및 스트레스 물질 이동을 향상시킬 수 있다. 본 발명의 일 실시예에 따르면, 패터닝된 기판은 하나 이상의 트렌치 및 하나 이상의 비아를 포함하는 하나 이상의 이중 다마신(dual damascene) 상호접속 구조를 포함한다.
본 발명의 일 실시예에 따르면, 상기 방법은 절연층 내에 오목부를 포함하고, 상기 오목부의 하부에 금속 배선층을 포함하는 패터닝된 기판을 증착 시스템의 공정 챔버에 제공하고, Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 형성하고, 상기 패터닝된 기판을 상기 공정 가스에 노출시켜 열적 화학적 기상 증착 공정에 의해 상기 금속 배선층에 제1 Ru 금속막을 선택적으로 증착하는 것을 포함한다. 상기 방법은 제1 Ru 금속막의 위를 포함하는 상기 오목부 내에 베리어층을 증착하고, 상기 오목부를 Cu 벌크로 충진하는 것을 포함한다. 다른 실시예는 상기 패터닝된 기판에 제1 Ru 금속막을 선택적으로 증착하기 전에 CO 가스를 포함하는 전처리 가스로 전처리를 실시하는 것을 더 포함한다. 또 다른 실시예는 상기 베리어층의 증착 이후에, 상기 패터닝이 형성된 기판을 상기 공정 가스에 노출시켜 제2 Ru 금속막을 상기 베리어층 및 상기 제1 Ru 금속막 상에 증착하는 것을 포함한다. 또 다른 실시예는 Cu 벌크를 평탄화하되 이때 상기 평탄화는 상기 베리어층을 절연층의 필드(field) 표면에서 더 제거하고, 상기 공정 가스에 상기 패터닝된 기판을 노출시킴으로써 평탄화된 Cu 벌크 상에 제3 Ru 금속막을 선택적으로 증착하는 것을 더 포함한다.
본 발명의 일 실시예에 따르면, 상기 방법은 상기 금속 배선층 상부를 포함하는 상기 오목부 내에 베리어층을 증착하고, 상기 오목부를 Cu 벌크로 충진하고, 상기 Cu 벌크를 평탄화하되 이때 상기 평탄화는 상기 베리어층을 절연층의 필드(field) 표면에서 더 제거하고, Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 형성하고, 상기 공정 가스에 상기 패터닝된 기판을 노출시켜 평탄화된 Cu 벌크 상에 열적 화학적 기상 증착 공정에 의해 제1 Ru 금속막을 선택적으로 증착하는 것을 포함한다. 다른 실시예는 상기 패터닝된 기판에 상기 제1 Ru 금속막을 선택적으로 증착하기 전에 CO 가스로 전처리를 실시하는 것을 더 포함한다. 또 다른 실시예는 상기 베리어층의 증착 이후에, 상기 패터닝이 형성된 기판을 상기 공정 가스에 노출시켜 제2 Ru 금속막을 상기 베리어층 상에 증착하는 것을 더 포함한다.
본 발명의 다른 실시예에 따르면, 선택적으로 증착된 하나 이상의 Ru 금속막을 포함하는 반도체 장치들이 기재되었다. 본 발명의 일 실시예에 따르면, 반도체 장치는 절연층 내에 오목부를 포함하고, 상기 오목부의 하부에 금속 배선층을 포함하는 패터닝된 기판과, Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 이용한 열적 화학적 기상 증착 공정에 의해 상기 금속 배선층 상에 선택적으로 증착된 제1 Ru 금속막과, 상기 Ru 금속막 및 상기 절연층의 상부를 포함하는 상기 오목부 내에 형성된 베리어층과, 상기 오목부를 충진하는 평탄화된 Cu 벌크를 포함한다. 다른 실시예는 상기 베리어층 및 상기 오목부 내의 상기 제1 Ru 금속막 상에 제2 Ru 금속막을 더 포함한다. 또 다른 실시예는 공정 가스에 노출됨으로써 상기 평탄화된 Cu 벌크 상에 선택적으로 증착된 제3 Ru 금속막을 더 포함한다.
본 발명의 일 실시예에 따르면, 반도체 장치는 금속 배선층의 상부를 포함하는 오목부 내에 베리어층과, 상기 오목부를 충진하는 평탄화된 Cu 벌크와, Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 이용한 열적 화학적 기상 증착 공정에 의해 상기 평탄화된 Cu 벌크 상에 선택적으로 증착된 제1 Ru 금속막을 포함한다. 다른 실시예는 상기 오목부 내의 상기 베리어층 상에 제2 Ru 금속막을 더 포함한다.
본 발명의 실시예들은 Ru 금속을 선택적 증착을 반도체 장치들의 제조 과정에 부가하여 Cu 벌크 내의 전기적 물질 이동 및 스트레스 물질 이동을 향상시킬 수 있다.
본 발명과 이에 수반되는 많은 장점들은 이하의 상세한 설명들을 특히, 첨부된 도면들과 관련지어 고려하면서 참조하는 경우 명확히 이해될 것이다.
도 1a 및 도 1b는 본 발명의 실시예들에 따라 Cu 및 절연 물질들 상에 증착된 Ru 금속막의 두께를 증착 시간에 대한 함수로 나타낸 것이다.
도 2a 내지 도 2f는 본 발명의 실시예에 따른 이중 다마신 상호접속 구조에 선택적으로 증착된 Ru 금속막들을 부가하는 것을 단면도로 도식적으로 나타낸 것이다.
도 3은 본 발명의 실시예에 따라, Ru 금속막을 증착하는 열적 화학적 기상 증착(TCVD) 시스템을 도식적으로 나타낸 것이다.
도 4는 본 발명의 다른 실시예에 따라, Ru 금속막을 증착하는 또 다른 열적 화학적 기상 증착(TCVD) 시스템을 도식적으로 나타낸 것이다.
Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 이용한 열적 화학적 기상 증착 공정에 의해 Ru 금속막들을 선택적으로 증착하는 방법들은 다양한 실시예들에 의해 개시되며, 이러한 방법들은 절연성 표면에 비해 금속 표면에 대해 Ru 금속막의 증착에 있어서의 높은 선택성을 제공한다. Ru 금속막들은 Cu 금속 배선의 EM 및 SM 특성들을 향상시키기 위해, 상호접속 구조 내의 금속 배선층들과 Cu 벌크와 접촉하여 접착층으로 이용될 수 있다. 관련 기술에 대한 당업자는 상기 다양한 실시예들이 하나 이상의 특정한 세부 사항들을 제외하고 실시되거나, 다른 대체적 또는/및 부가적인 방법, 물질 또는 구성 요소와 함께 실시될 수도 있다는 것을 알 수 있을 것이다. 다른 경우로써, 공지된 구조, 물질 또는 작용들은 본 발명의 다양한 실시예들의 불분명한 예시를 피하기 위해 개시되지 않거나 상세히 기술되지 않았다. 이와 유사하게, 특정한 숫자들, 물질들 및 형태들은 설명의 목적을 위해 제시되며, 이에 따라 본 발명에 대한 충분한 이해가 제공될 수 있다. 나아가, 도면들에 나타나는 다양한 실시예들은 설명을 위한 하나의 예로써, 실제 척도를 필수적으로 나타낸 것이 아님이 이해되어야 할 것이다.
본 명세서의 "일 실시예" 또는 "실시예"는 실시예와 연계되어 설명되는 개개의 특징, 구조, 물질 또는 특질이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미하지만, 이들이 모든 실시예에 나타난다는 것을 의미하지는 않는다. 다시 말해, 본 명세서 전체에 나타나는 "일 실시예에서"나 "실시예에서"와 같은 구문은 본 발명의 동일한 실시예를 필수적으로 지칭하는 것이 아니다.
도 1a 및 도 1b는 본 발명의 실시예들에 따라 Cu 및 절연 물질들 상에 증착된 Ru 금속막의 두께를 증착 시간에 대한 함수로 나타낸 것이다. 도 1a에서는, Cu 및 제1 절연 물질(제1 층간 절연층, ILD1)를 포함하는 상이한 기판들이 205℃ 및 225℃의 기판 온도에서 Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스에 노출되었다. 도 1a는 205℃ 및 225℃ 모두에 대해 ILD1 상에 증착될 때보다 Cu 상에 증착될 때에 초기에 높은 선택성을 갖도록 Ru 금속이 증착된다는 것을 보여준다. 예를 들어, 15초의 증착(노출) 시간 동안 205℃에서는 6.3Å 두께의 Ru 금속막이 Cu 상에 형성되었고, 225℃에서는 9.3Å 두께의 Ru 금속막이 Cu 상에 형성되었다. 이와 비교하여, 같은 노출 시간 동안 ILD1 상에는 대략 1Å 이하의 두께의 Ru가 형성되었다. 이러한 Ru의 대략 1Å 이하의 측정 두께는 단층 또는 그 이하의 흡착된 Ru3(CO)12 전구체에 대응하는 것으로 생각된다. 공정 조건들은 100mTorr 압력의 공정 챔버, 100sccm의 유량을 갖는 CO 가스, 10sccm의 유량을 갖는 Ar 가스, 및 170-190℃의 기판 온도를 포함하였다.
도 1b는 Cu 및 제2 절연 물질(제2 층간 절연층, ILD2) 상의 Ru 금속의 선택적 증착을 나타낸다. 도 1a와 도 1b의 비교는 초기의 Ru 증착이 ILD1보다 ILD2 상에서 더욱 느리다는 것을 보여주는데, 이는 15초의 증착 시간 동안 ILD2 상에는 대략 0.5Å 이하의 두께의 Ru가 형성되었기 때문이다.
Ru3(CO)12 전구체 증기가 표면 상에 흡착됨으로써, 상기 Ru3(CO)12 전구체가 표면 상에 흡착된 Ru3(CO)x 및 CO 종으로 부분적으로 분해되게 되고, 뒤이어 흡착된 Ru3(CO)x가 Ru 금속 및 기판으로부터 탈착되는 CO로 더 분해되는 것으로 생각된다.
Ru3(CO)12(g) ⇔ Ru3(CO)x(ad)+(12-x)CO(ad) ⇒ 3Ru(s)+(12-x)CO(g) (1)
이론에 의해 한정되기를 바라지는 않지만, 현재의 발명자들은 Cu나 텅스텐(W)과 같은 금속 표면에 Ru 금속의 선택적인 증착이 관찰되는 것이, 금속 표면에 비해 절연성 표면 상에 흡착되는 CO의 농도가 높은 것에 적어도 일부가 기인한다고 생각하며, 이에 따라 흡착된 CO가 Ru3(CO)x와 재결합되어 상기 식 (1)의 Ru3(CO)12가 절연성 표면 상에 다시 형성되는 것을 선호한다. 금속 표면 상에 흡착된 CO의 농도가 낮을수록, 흡착된 Ru3(CO)x가 Ru 금속 및 CO로 절연성 표면에 비해 높은 비율로 분해되도록 한다.
본 발명의 실시예들은 패터닝된 기판들의 금속 표면 상에 Ru 금속막으로 선택적으로 증착하는 시스템 및 방법과, 이러한 Ru 금속막을 포함하는 반도체 장치들을 제공한다. 상기 패터닝된 기판들은 고 종횡비(high aspect ratio)를 갖는 오목부들을 포함할 수 있다. 본 발명의 일 실시예에 따르면, 이중 다마신 상호접속 구조는 패터닝된 기판에 형성된 트렌치 및 비아를 포함하고, 트렌치 및 비아는 측벽과 바닥을 포함한다. 비아는 대략 2:1 보다 크거나 같은 종횡비(깊이/너비)를 가질 수 있으며, 예를 들어 3:1, 4:1, 5:1, 6:1, 12:1, 15:1 또는 그 이상을 가질 수 있다. 비아는 대략 200nm 또는 그 이하의 너비를 가질 수 있으며, 예를 들어 150nm, 100nm, 65nm, 45nm, 32nm, 20nm, 또는 그 이하의 너비를 가질 수 있다. 한편, 본 발명의 실시예들은 이러한 종횡비 및 비아 너비들에 한정되지는 않으며, 다른 종횡비들 또는 비아 너비들이 사용될 수도 있다.
도 2a는 본 발명의 실시예에 따른 이중 다마신 상호접속 구조의 단면도를 도식적으로 나타낸다. 이중 다마신 상호접속 구조(306)은 절연체(300) 내에 제1 금속배선층(302)(예 : Cu 또는 W)을 포함한다. 절연체(300)는 예를 들어, SiO2, 플루오르화 실리콘 글래스(fluorinated silicon glass, FSG)와 같이 낮은 k를 갖는 절연 물질, 탄소가 도핑된 산화물, 폴리머, 또는 어떠한 다른 적합한 절연성 물질을 포함할 수 있다. 이중 다마신 상호접속 구조(306)는 절연체(304)가 식각되어 형성된 트렌치(308)와 비아(310)를 포함한다. 절연체(304)는 "필드(field)" 표면(314)(이중 다마신 상호접속 구조(306)의 주위 영역) 및 이중 다마신 상호접속 구조(306)의 내부 표면(316)을 포함한다. 비록 도시되지는 않았지만, 상호접속 구조는 예를 들어, 절연체(300) 및 절연체(304) 사이에 트렌치 에칭 정지층(trench etch stop layer) 및 비아 에칭 정지층(via etch stop layer)과, 제1 금속배선층(302)을 절연체(300)로부터 분리시키는 베리어층과 같은 추가적인 층들을 포함할 수 있다. 이중 다마신 상호접속 구조(306)는 당업자에게 알려진 표준적인 리소그래피 및 에칭 방법들을 사용하여 형성될 수 있다. 또한, 본 발명의 실시예들이 더 간단하거나 더 복잡한 이중 다마신 상호접속 구조들 및 금속배선층을 포함하는 다른 종류의 오목부들에도 적용될 수 있다는 것이 이해되어야 할 것이다.
본 발명의 실시예에 따르면, 도 2a의 이중 다마신 상호접속 구조를 형성한 이후에, 비아(310)의 하부의 제1 금속배선층(302)에는 Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 사용한 TCVD 공정에 의하여 제1 Ru 금속막(312)이 선택적으로 증착된다. 그 결과 형성되는 구조가 도 2b에 도식적으로 나타나 있다. 일 실시예에서는, 제1 Ru 금속막(312)의 두께가 2 내지 20Å이 되거나, 5 내지 15Å, 예를 들어 대략 10Å이 될 수 있다. 본 발명의 일 실시예에 따르면, 도 2a의 상기 이중 다마신 상호접속 구조는 Ru3(CO)12 전구체의 증기 및 CO 가스에 노출시키기에 앞서서, 노출된 표면(314,316)들을 흡착된 CO로 포화시키기 위해 CO 가스를 포함하는 전처리 가스에 의해 전처리될 수 있다. 상기 전처리 가스는 CO 가스를 포함하고, 선택적으로 Ar과 같은 비활성 가스를 포함한다. 상기 전처리 가스의 하나의 예는 순수한 CO로 구성된다. 다른 예로써, 상기 전처리 가스는 10:1 의 CO/Ar 혼합물을 포함한다.
도 2c는 이중 다마신 상호접속 구조(306)를 포함하는 패터닝된 기판 상에 증착된 베리어층(318)을 도식적으로 나타낸다. 베리어층(318)은 예를 들어, Ta 함유층(예 : Ta, TaC, TaN, 또는 TaCN, 또는 이들의 조합), Ti 함유층(예 : Ti, TiN, 또는 이들의 조합), 또는 W 함유층(예 : W, WN, 또는 이들의 조합)을 포함할 수 있다. 일 예에서, 베리어층(318)은 플라스마를 이용한 원자층 증착법(plasma enhanced atomic layer deposition, PEALD)에 의해 증착된 TaCN을 포함할 수 있으며, 이때 상기 원자층 증착법은 터티아리 아밀 이미도-트리스-디메틸아미도 탄탈룸{tertiary amyl imido-tris-dimethylamido tantalum, (Ta(NC(CH3)2C2H5)(N(CH3)2)3)} 및 H2에 교대로 노출시킴으로써 실시될 수 있다. 본 발명의 일 실시예에 따르면, 패터닝된 기판을 Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스에 노출시킴으로써, Cu 벌크를 충진하기 전에 베리어층(318) 상에 제2 Ru 금속막이 증착될 수 있다. 일 실시예에서, 제2 Ru 금속막의 두께는 10 내지 30Å이거나, 15 내지 25Å, 예를 들어 대략 20Å일 수 있다.
도 2d는 이중 다마신 상호접속 구조를 충진하는 Cu 벌크(320)를 도식적으로 나타낸다. Cu 벌크 증착 공정들은 회로 제조 분야에 관한 당업자에게 널리 알려졌으며, 예를 들어, 전기화학적 도금 공정 또는 무전해 도금 공정을 포함할 수 있다. 이중 다마신 상호접속 구조를 Cu 벌크로 충진한 후에는, 평탄화된 Cu 벌크(322)를 형성하기 위한 화학적 기계적 연마(chemical mechanical polishing, CMP) 공정이 실시될 수 있으며, 이는 과다 Cu를 Cu 벌크 충진물(320)로부터 제거함으로써 실시될 수 있다. 나아가, 도 2e에 도식적으로 나타난 것처럼, 평탄화 공정에서 베리어층(318)은 필드 표면(314)에서 제거될 수 있다.
본 발명의 실시예에 따르면, 도 2e의 이중 다마신 상호접속 구조를 형성한 후에는, 패터닝된 기판을 Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스에 노출시킴으로써 평탄화된 Cu 벌크(322) 상에 제3 Ru 금속막(324)을 선택적으로 증착한다. 이것은 도 2f에 도식적으로 나타난다. 일 실시예에서, 제3 Ru 금속막(324)의 두께는 2 내지 20Å이거나, 5 내지 15Å, 예를 들어 대략 10Å 일 수 있다. 본 발명의 일 실시예에 따르면, 도 2e의 Cu가 충진된 이중 다마신 상호접속 구조는 Ru3(CO)12 전구체의 증기에 노출되기 전에, 평탄화된 Cu 충진물(322)의 노출된 표면들과 필드 표면(314)들을 흡착된 CO로 포화시키기 위해 CO 가스를 포함하는 전처리 가스에 의해 전처리될 수 있다. 평탄화된 Cu 충진물(322) 상에 제3 Ru 금속막(324)을 선택적으로 증착한 후에, 도 2f에 도시된 부분적으로 제조된 반도체 장치의 후속 공정들이 진행된다.
본 발명의 추가적인 실시예들에 따르면, 제1 Ru 금속막(312)을 제1 금속배선층(302) 상에 선택적으로 증착하는 것, 또는 제3 Ru 금속막(324)을 평탄화된 Cu 벌크(322) 상에 선택적으로 증착하는 것은 도 2a 내지 도 2f에 도시된 집적 공정에서 생략될 수 있다.
도 3은 본 발명의 실시예에 따라, Ru3(CO)12 전구체의 증기 및 CO 가스로부터 Ru 금속막을 증착하는 열적 화학적 기상 증착(TCVD) 시스템을 나타낸다. 증착 시스템(1)은 Ru 금속막이 위에 형성될 패터닝된 기판(25)를 지지하기 위한 기판 홀더(20)를 갖는 공정 챔버(10)를 포함한다. 공정 챔버(10)는 증기 전구체 전달 시스템(40)을 통하여 금속 전구체 기화 시스템(50)과 연결된다.
공정 챔버(10)는 관(36)을 통해 진공 펌핑 시스템(38)과 더 연결되고, 진공 펌핑 시스템(38)은 기판(25) 상에 Ru 금속막을 형성하기에 적합하고, 금속 전구체 기화 시스템(50) 내의 Ru3(CO)12 전구체(52)가 기화되기에 적합한 압력으로 공정 챔버(10), 증기 전구체 전달 시스템(40), 및 금속 전구체 기화 시스템(50)을 비워내도록 구성된다.
도 3을 계속 참조하면, 금속 전구체 기화 시스템(50)은 Ru3(CO)12 전구체(52)를 저장하고, Ru3(CO)12 전구체(52)를 Ru3(CO)12 전구체(52)가 기화되기에 충분한 온도로 가열하고, Ru3(CO)12 전구체의 증기를 증기 전구체 전달 시스템(40)으로 인도하기 위해 구비된다. Ru3(CO)12 전구체(52)는 금속 전구체 기화 시스템(50)의 선택된 가열 조건 하에서 고체이다. 고체인 Ru3(CO)12 전구체(52)가 승화되기 위한 온도를 얻기 위해, 금속 전구체 기화 시스템(50)은 기화 온도를 제어하기 위해 구성된 기화 온도 제어 시스템(54)에 연결된다.
예를 들어, Ru3(CO)12 전구체(52)의 온도는 대략 40℃부터 대략 150℃ 사이로 상승될 수 있다. 또는, 기화 온도는 대략 60℃ 내지 대략 90℃로 유지될 수도 있다. Ru3(CO)12 전구체(52)는 승화를 일으키도록 가열되므로, Ru3(CO)12 전구체(52)의 증기가 형성되는 경우 이를 포획하기 위해 Ru3(CO)12 전구체(52)의 위 또는 Ru3(CO)12 전구체(52)를 통과하도록 CO 함유 가스가 흐른다. CO 함유 가스는 CO를 포함하고, 임의적으로 N2와 같은 비활성 캐리어 가스, 비활성(noble) 가스(즉, He, Ne, Ar, Kr, or Xe) 또는 이들의 조합을 포함한다. CO 가스가 존재하는 상태에서 Ru3(CO)12 전구체를 기화하는 것은, 패터닝된 기판으로의 Ru3(CO)12 전구체의 증기의 전달량이 제한되는 문제점을 줄일 수 있다. Ru3(CO)12 전구체의 증기가 형성되는 과정에서, Ru3(CO)12 전구체의 증기에 CO 가스를 부가함으로써 기화 온도가 상승된다. 상승된 온도는 Ru3(CO)12 전구체의 증기압을 증가시키며, 그 결과 Ru3(CO)12 전구체의 공정 챔버로 전달량이 증가되고, 이에 따라 패터닝된 기판(25) 상으로의 Ru 금속막의 증착 속도도 증가된다. Ru3(CO)12 전구체가 공정 챔버(10)로 전달되기 이전에 증기 전구체 전달 시스템(40) 내의 Ru3(CO)12 전구체가 너무 일찍 분해되는 것을 줄이기 위해 CO 가스를 사용하는 것은, Ru 금속막의 증착을 위한 Ru3(CO)12 전구체의 증기의 공정 챔버로의 효과적인 이동을 촉진하며, 이러한 내용은 2004년 11월 23일에 출원되고 발명의 명칭이 "메탈 카르보닐 전구체들로부터 금속 층들의 증착 속도를 증가시키는 방법(Method for Increasing Deposition Rates of Metal Layers from Metal-carbonyl Precursors)"인 미국 특허 출원 번호 10/996,145에 개시되어 있으며, 그 전체 내용은 본 명세서에 참조로서 포함된다.
일 예에서, 금속 전구체 기화 시스템(50)은 Ru3(CO)12 증기의 효과적인 기화 및 운반을 위한 멀티 트레이(multi-tray) 기화 시스템으로 구비될 수 있다. 예시적인 멀티 트레이 기화 시스템은 2004년 11월 29일에 출원되고 발명의 명칭이 "멀티 트레이 전구체막 기화 시스템 및 이를 포함하는 박막 증착 시스템(Multi-Tray Film Precursor Evaporation System and Thin Film Deposition System)"인 미국 특허 출원 번호 10/998,420에 기재되어 있다.
예를 들어, 가스 공급 시스템(60)은 상기 금속 전구체 기화 시스템(50)에 연결되고, 예컨대 Ru3(CO)12 전구체(52) 아래로 피드 라인(61)을 통하거나, Ru3(CO)12 전구체(52) 위로 피드 라인(62)을 통해 CO, 캐리어 가스 또는 이들의 혼합물을 공급하도록 구성된다. 또한, 가스 공급 시스템(60)은 금속 전구체 기화 시스템(50)의 하류인 증기 전구체 전달 시스템(40)에 연결되며, Ru3(CO)12 전구체(52)의 증기가 상기 증기 전구체 전달 시스템(40)에 진입할 때 또는 그 후에 피드 라인(63)을 통해 가스를 공급한다. 나아가, 피드 라인(63)은 패터닝된 기판(25)을 Ru3(CO)12 전구체의 증기 및 CO 가스에 노출시키기 전에, 패터닝된 기판(25)의 노출된 표면들을 흡착된 CO로 포화시키기 위해 패터닝된 기판(25)을 CO 가스를 포함하는 전처리 가스로 전처리하는 데에 이용될 수 있다.
비록 도시되지는 않았지만, 상기 가스 공급 시스템(60)은 캐리어 가스 소스, CO 가스 소스, 하나 이상의 제어 밸브들, 하나 이상이 필터들 및 유량 제어 장치(mass flow controller)를 포함할 수 있다. 예를 들어, CO 함유 가스의 유량은 대략 0.1 standard cubic centimeters per minute(sccm) 및 대략 1000 sccm 사이일 수 있다. 또는, CO 함유 가스의 유량은 대략 10 sccm 및 대략 500 sccm 사이일 수 있다. 더 나아가, CO 함유 가스의 유량은 대략 50 sccm 및 대략 200 sccm 사이일 수 있다. 본 발명의 실시예들에 따르면, CO 함유 가스의 유량은 대략 0.1 sccm 에서 대략 1000 sccm의 범위를 가질 수 있다. 또는, CO 함유 가스의 유량은 대략 1 sccm 및 대략 500 sccm 사이일 수 있다.
금속 전구체 기화 시스템(50)의 하류에서, Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스는 상기 증기 전구체 전달 시스템(40)을 통과하고 공정 챔버(10)에 연결된 증기 분배 시스템(30)을 통해 공정 챔버(10)로 진입할 때까지 흐른다. 증기 전구체 전달 시스템(40)은 증기 라인 온도를 조절하고, Ru3(CO)12 전구체 증기의 분해 및 Ru3(CO)12 전구체 증기의 압축을 방지하기 위해 증기 라인 온도 제어 시스템(42)에 연결될 수 있다. 증기 전구체 전달 시스템(40)은 예를 들어, 50℃ 및 100℃의 온도 사이에서 유지될 수 있다.
도 3을 계속 참조하면, 공정 챔버(10)의 부분을 이루며 이에 연결된 증기 분배 시스템(30)은, 증기 분배 플레이트(34)를 통과하여 패터닝된 기판(25) 위의 공정 영역(33)에 진입하기에 앞서서 증기를 분산시키는 증기 분배 플래넘(32)을 포함한다. 또한, 증기 분배 플레이트(34)는 상기 증기 분배 플레이트(34)의 온도를 조절하도록 구성된 분배 플레이트 온도 제어 시스템(35)에 연결될 수 있다.
Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스가 공정 챔버(10)의 공정 영역(33)에 진입하면, 상기 Ru3(CO)12 전구체의 증기는 패터닝된 기판(25)의 상승된 온도에 의해 기판의 표면에 흡착되자마자 열적으로 분해되며, 상기 패터닝된 기판(25) 상에는 Ru 금속막이 형성된다. 기판 홀더(20)는 기판 온도 제어 시스템(22)에 연결되며, 기판 홀더(20)는 패터닝된 기판(25)의 온도를 상승시키도록 구성된다. 예를 들어, 기판 온도 제어 시스템(22)은 패터닝된 기판(25)의 온도를 대략 500℃까지 상승시키도록 구성될 수 있다. 또한, 공정 챔버(10)는 챔버의 벽들의 온도를 제어하도록 구성된 챔버 온도 제어 시스템(12)에 연결될 수 있다.
도 3을 계속 참조하면, 증착 시스템(1)은 증착 시스템(1)의 작용을 동작 및 제어하도록 구성된 제어 시스템(80)을 더 포함할 수 있다. 제어 시스템(80)은 공정 챔버(10), 기판 홀더(20), 기판 온도 제어 시스템(22), 챔버 온도 제어 시스템(12), 증기 분배 시스템(30), 증기 전구체 전달 시스템(40), 금속 전구체 기화 시스템(50) 및 가스 공급 시스템(60)과 연결된다.
도 4는 본 발명의 실시예에 따라, Ru3(CO)12 전구체의 증기 및 CO 가스로부터 Ru 금속막을 증착하는 다른 TCVD 시스템을 도식적으로 나타낸다. 증착 시스템(100)은 위에 Ru 금속막이 형성될 패터닝된 기판(125)을 지지하는 기판 홀더(120)를 갖는 공정 챔버(110)를 포함한다. 공정 챔버(110)는, Ru3(CO)12 전구체(152)를 저장하고 기화시키도록 구성된 금속 전구체 기화 시스템(150)과, Ru3(CO)12 전구체(152)의 증기를 공정 챔버(110)로 이동시키도록 구성된 증기 전구체 전달 시스템(140)을 갖는 전구체 전달 시스템(105)에 연결될 수 있다.
공정 챔버(110)는 상부 챔버 섹션(111), 하부 챔버 섹션(112) 및 배기 챔버(113)를 포함한다. 하부 챔버 섹션(112)의 내부에는 개구(114)가 형성되며, 개구(114)에서 하부 챔버 섹션(112)이 배기 챔버(113)와 연결된다.
도 4를 계속 참조하면, 기판 홀더(120)는 처리될 패터닝된 기판(또는 웨이퍼)(125)을 지지하는 수평면을 제공한다. 상기 기판 홀더(120)는 배기 챔버(113)의 하부 영역에서 위로 연장된 실린더형 지지부재(122)에 의해 지지될 수 있다. 나아가, 기판 홀더(120)는 기판 홀더 온도 제어 시스템(128)과 연결된 히터(126)를 포함한다. 히터(126)는 예를 들어, 하나 이상의 저항성 가열 소자들을 포함할 수 있다. 또는, 히터(126)는 예를 들어, 텅스텐-할로겐 램프와 같은 방사성 가열 시스템을 포함할 수도 있다. 기판 홀더 온도 제어 시스템(128)은 하나 이상의 가열 소자들에 전원을 제공하기 위한 전원 소스와, 기판의 온도, 기판 홀더의 온도, 또는 둘 다 온도를 측정하기 위한 하나 이상의 온도 센서들과, 패터닝된 기판(125) 또는 기판 홀더(120)의 온도의 감시, 조정 또는 제어 중 적어도 하나를 수행하기 위해 구비된 제어 장치를 포함할 수 있다.
공정 진행 중에, 가열된 패터닝된 기판(125)은 Ru3(CO)12 전구체의 증기를 열적으로 분해시킬 수 있으며, Ru 금속막이 패터닝된 기판(125) 상에 증착되는 것을 가능하게 한다. 기판 홀더(120)는 원하는 Ru 금속막을 패터닝된 기판(125) 상에 증착하기에 적합한 소정의 온도로 가열된다. 또한, 챔버 온도 제어 시스템(121)에 연결된 히터(미도시)는 챔버의 벽들을 소정의 온도로 가열하기 위해 공정 챔버(110)의 벽들에 내장될 수 있다. 히터는 공정 챔버(110)의 벽들의 온도를 대략 40℃ 내지 대략 150℃, 또는 대략 40℃ 내지 대략 80℃로 유지할 수 있다. 압력계(미도시)는 공정 챔버의 압력을 측정하기 위해 사용된다. 본 발명의 실시예에 따르면, 상기 공정 챔버의 압력은 대략 1 mTorr 및 대략 1000 mTorr 사이일 수 있다. 또는 공정 챔버의 압력은 대략 10 mTorr 및 대략 200 mTorr 사이일 수 있다.
또한 도 4에 나타나듯이, 증기 분배 시스템(130)은 공정 챔버(110)의 상부 챔버 섹션(111)에 연결된다. 증기 분배 시스템(130)은 전구체의 증기를 하나 이상의 구멍(134)을 통하여 증기 분배 플래넘(132)으로부터 패터닝된 기판(125) 위의 공정 영역(133)으로 유입시키도록 구성된 증기 분배 플레이트(131)를 포함한다.
또한, 상부 챔버 섹션(111)에는 Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 증기 전구체 전달 시스템(140)으로부터 증기 분배 플래넘(132)으로 유입시키기 위한 개구(135)가 형성된다. 나아가, 식혀지거나 가열된 유체를 흘리도록 구성된 동심환형(concentric) 유체 채널들과 같은 온도 제어 요소(136)들이 증기 분배 시스템(130)의 온도를 제어하기 위해 제공되고, 이에 따라 Ru3(CO)12 전구체의 증기가 증기 분배 시스템(130) 내에서 분해 또는 압축되는 것을 방지할 수 있다. 일례로, 물과 같은 유체가 증기 분배 온도 제어 시스템(138)으로부터 이 유체 채널들로 공급될 수 있다. 증기 분배 온도 제어 시스템(138)은 유체 소스와, 열 교환기와, 유체의 온도, 증기 분배 플레이트의 온도 또는 둘 다의 온도를 제어하기 위한 하나 이상의 온도 센서들과, 증기 분배 플레이트(131)의 온도를 대략 20℃ 내지 대략 150℃로 제어하도록 구성된 제어 장치를 포함할 수 있다. 전구체가 상기 증기 분배 플레이트(131) 상에서 압축되는 것을 피하기 위해 Ru3(CO)12 전구체에 있어서, 증기 분배 플레이트(131)의 온도는 대략 65℃에 상응하거나 그보다 높은 온도로 유지될 수 있다.
도 4에 도시된 것처럼, 금속 전구체 기화 시스템(150)은 Ru3(CO)12 전구체(152)를 수용하고, Ru3(CO)12 전구체(152)의 온도를 상승시킴으로써 Ru3(CO)12 전구체(152)를 기화시키기 위해 구비된다. 여기서, "기화", "승화" 및 "증발"과 같은 용어는 변화가 예를 들어, 고체로부터 액체로부터 기체로 이루어지느냐, 고체로부터 기체로 이루어지느냐, 또는 액체로부터 기체로 이루어지느냐에 상관없이, 고체 또는 액체 전구체로부터 증기(기체)가 일반적으로 형성되는 것을 지칭하며, 상호 치환되어 사용될 수 있다. 전구체 히터(154)는 Ru3(CO)12 전구체(152)를 가열함으로써, 상기 Ru3(CO)12 전구체(152)가 원하는 Ru3(CO)12 전구체(152)의 증기압을 얻기 위한 온도를 유지하도록 제공된다. 전구체 히터(154)는 Ru3(CO)12 전구체(152)의 온도를 제어하기 위해 구비된 기화 온도 제어 시스템(156)에 연결된다. 예를 들어, 전구체 히터(154)는 Ru3(CO)12 전구체(152)의 온도를 대략 40℃ 내지 150℃로 조정하거나, 대략 60℃ 내지 대략 90℃로 조정하기 위해 구비될 수 있다.
Ru3(CO)12 전구체(152)가 증발(또는 승화)를 일으키기 위해 가열됨에 따라, Ru3(CO)12 전구체(152)의 증기가 형성되면 이를 포획하기 위해 CO 함유 가스가 Ru3(CO)12 전구체(152)의 위로, 또는 Ru3(CO)12 전구체(152)를 통과하여 흐를 수 있다. CO 함유 가스는 CO를 포함하고, 선택적으로 N2와 같은 비활성 캐리어 가스 또는 비활성(noble) 가스(예, He, Ne, Ar, Kr, or Xe)를 포함한다. 예를 들어, 가스 공급 시스템(160)은 상기 금속 전구체 기화 시스템(150)에 연결되고, 예컨대 Ru3(CO)12 전구체(152)의 위로, 또는 Ru3(CO)12 전구체(152)를 통과하도록 CO 가스를 흘리도록 구성된다. 비록 도 4에 도시되지는 않았지만, 가스 공급 시스템(160)은 금속 전구체(152)의 증기가 증기 전구체 전달 시스템(140)에 진입할 때 또는 그 이후에 CO 가스를 금속 전구체(152)의 증기에 공급하기 위해서 증기 전구체 전달 시스템(140)과도 연결될 수 있다. 이에 따라, 예를 들어 패터닝된 기판(125)을 Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스에 노출시키기에 앞서서, CO 가스를 포함하는 전처리 가스로 상기 패터닝된 기판(125)을 전처리하여 패터닝된 기판(125)의 노출면들을 포화시킬 수 있다.
가스 공급 시스템(160)은 비활성 캐리어 가스와, CO 가스와, 또는 이들의 혼합물과, 하나 이상의 제어 밸브들(162)과, 하나 이상의 필터들(164)과, 유량 제어 장치(mass flow controller)(165)를 포함하는 가스 소스(161)를 포함할 수 있다. 예를 들어, CO 함유 가스의 유량은 대략 0.1 sccm 내지 대략 1000 sccm의 범위를 가질 수 있다.
또한, 센서(166)가 금속 전구체 기화 시스템(150)으로부터의 총 가스 유량을 측정하기 위해 제공된다. 센서(166)는 예를 들어, 유량 제어 장치를 포함할 수 있으며, 공정 챔버(110)로 전달되는 Ru3(CO)12 전구체의 증기의 양은 센서(166) 및 유량 제어 장치(165)를 사용하여 결정될 수 있다. 또한, 센서(166)는 공정 챔버(110)를 향하는 가스 유량에서의 Ru3(CO)12 전구체의 농도를 측정하기 위한 광흡수 센서를 포함할 수 있다.
바이패스 라인(167)은 센서(166)의 하류에 위치할 수 있으며, 상기 바이패스 라인(167)은 증기 전구체 전달 시스템(140)을 배기 라인(116)에 연결할 수 있다. 바이패스 라인(167)은 증기 전구체 전달 시스템(140)을 비워내고, Ru3(CO)12 전구체의 증기 및 CO 가스의 공정 챔버(110)로의 공급을 안정화하기 위해 제공될 수 있다. 또한, 증기 전구체 전달 시스템(140)의 분기부의 하류에 위치한 바이패스 밸브(168)가 상기 바이패스 라인(167) 상에 제공될 수 있다.
도 4를 계속 참조하면, 증기 전구체 전달 시스템(140)은 제1 및 제2 밸브(141,142)를 각각 갖는 고 전도성 증기 라인을 포함한다. 또한, 증기 전구체 전달 시스템(140)은 히터(미도시)들을 통해 증기 전구체 전달 시스템(140)을 가열하도록 구비된 증기 라인 온도 제어 시스템(143)을 더 포함할 수 있다. 증기 라인들의 온도는 Ru3(CO)12 전구체의 증기가 증기 라인에서 압축되는 것을 피하기 위해 제어될 수 있다. 증기 라인들의 온도는 대략 20℃ 내지 대략 100℃, 또는 대략 40℃ 내지 대략 90℃로 제어될 수 있다.
나아가, 가스 공급 시스템(190)으로부터 CO 가스가 공급될 수 있다. 예를 들어, 가스 공급 시스템(190)은 증기 전구체 전달 시스템(140)과 연결되며, 상기 가스 공급 시스템(190)은 예를 들어, 증기 전구체 전달 시스템(140) 내, 예컨대, 밸브(141)의 하류의 Ru3(CO)12 전구체의 증기와 함께 CO 가스 또는 혼합물이 부가된 CO 가스를 포함하는 전처리 가스로 패터닝된 기판(125)을 전처리하도록 구성된다. 가스 공급 시스템(190)은 CO 가스 소스(191)와, 하나 이상의 제어 밸브들(192)과, 하나 이상의 필터들(194)과, 유량 제어 장치(195)를 포함할 수 있다. 일례로, 유량 제어 장치(195)는 CO 가스의 유량이 대략 0.1 sccm 내지 1000 sccm의 범위를 가지도록 할 수 있다.
유량 제어 장치(165,195)와 밸브들(162,192,168,141,142)은 제어 장치(196)에 의해 제어되며, 제어 장치(196)는 비활성 캐리어 가스, CO 가스 및 Ru3(CO)12 전구체의 증기의 공급, 차단 및 유량을 제어한다. 제어 장치(196)에 센서(166)도 연결되는데 센서(166)의 출력에 기초하여 제어 장치(196)는 유량 제어 장치(165)를 통하는 캐리어 가스의 유량을 제어할 수 있으며, 이를 통해 공정 챔버(110)로 향하는 Ru3(CO)12 전구체의 원하는 유량을 얻을 수 있다.
도 4에 도시된 것처럼, 배기 라인(116)은 배기 챔버(113)를 펌핑 시스템(118)과 연결한다. 진공 펌프(119)가 공정 챔버(110)를 원하는 진공의 정도로 비워내고, 공정이 진행되는 동안 상기 공정 챔버(110) 내의 가스질 종류들을 제거하기 위해 사용된다. 자동 압력 제어 장치(automatic pressure controller, APC)(115) 및 트랩(117)이 진공 펌프(119)와 연속하여 사용될 수 있다. 진공 펌프(119)는 펌핑 속도를 초당 500 리터(또는 그 이상)까지 올릴 수 있는 터보 분자 펌프(turbo-molecular pump, TMP)를 포함할 수 있다. 또는, 진공 펌프(119)는 드라이 러핑 펌프(dry roughing pump)를 포함할 수도 있다. 공정 진행 중에, 공정 가스가 공정 챔버(110) 내로 유입될 수 있으며, 챔버 압력은 자동 압력 제어 장치(115)에 의해 조정될 수 있다. 자동 압력 제어 장치(115)는 버터플라이 타입의 밸브 또는 게이트 밸브를 포함할 수 있다. 트랩(117)은 반응하지 않은 Ru3(CO)12 전구체 물질 및 부산물들을 공정 챔버(110)로부터 수거할 수 있다.
도 4에 도시된 것처럼, 공정 챔버(110) 내의 기판 홀더(120)를 다시 참조하면, 세 개의 기판 리프트 핀(127)들(두 개만 도시됨)이 패터닝된 기판(125)을 고정, 상승 및 하강시키기 위해 제공될 수 있다. 기판 리프트 핀(127)들은 플레이트(123)와 결합되며, 기판 홀더(120)의 상면의 아래로 하강될 수 있다. 구동 장치(129)는 예를 들어, 공기 실린더를 활용하여 플레이트(123)를 상승 및 하강하는 수단을 제공한다. 패터닝된 기판(125)은 공정 챔버(110)의 내부와 외부로 게이트 밸브(200) 및 챔버 피드쓰루 통로(202)를 통해 로봇 방식의 운반 시스템(미도시)에 의해 이동될 수 있으며, 기판 리프트 핀(127)들에 의해 수용될 수 있다. 패터닝된 기판(125)이 운반 시스템에 의해 수용되면, 기판 리프트 핀(127)들을 하강시킴으로써 기판 홀더(120)의 상면으로 하강될 수 있다.
도 4를 계속 참조하면, 증착 시스템 제어기(180)는 마이크로프로세서와, 메모리와, 증착 시스템(100)의 입력들과 통신하고 이들을 구동하기에 충분한 제어 전압을 발생하고, 상기 증착 시스템(100)의 출력을 감시할 수 있는 디지털 I/O 포트를 포함한다. 나아가, 증착 시스템 제어기(180)는 공정 챔버(110)와, 제어 장치(196), 증기 라인 온도 제어 시스템(143) 및 기화 온도 제어 시스템(156)을 포함하는 전구체 전달 시스템(105)과, 증기 분배 온도 제어 시스템(138)과, 진공 펌핑 시스템(118)과, 기판 홀더 온도 제어 시스템(128)과 연결되고 정보를 교환한다. 진공 펌핑 시스템(118)에서, 제어기(180)는 공정 챔버(110) 내부의 압력을 제어하기 위해 자동 압력 제어 장치(115)와 연결되고 정보를 교환한다. 메모리에 저장된 프로그램은 저장된 공정 절차에 따라 전술한 공정 시스템(100)의 구성 요소들을 제어하기 위해 활용된다.
제어기(180)는 메모리에 포함된 하나 이상의 명령들에 응답하여 하나 이상의 연속적인 동작(sequence)들을 실행하는 프로세서에 응답하여, 본 발명의 마이크로프로세서 기반의 공정 단계들의 일부 또는 전체를 수행하는 일반적인 용도의 컴퓨터 시스템으로 구현될 수 있다. 이러한 명령들은 하드 디스크 또는 이동성 미디어 드라이브와 같은 다른 컴퓨터 판독 가능한 매체로부터 제어 장치의 메모리에 의해 읽힐 수도 있다. 멀티 프로세싱 설비 내의 하나 이상의 프로세서들도 메인 메모리 내에 포함된 명령들의 연속적인 동작들을 실행하기 위한 제어 장치의 마이크로프로세서로 사용될 수 있다. 다른 실시예들에서는, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령들을 대신하거나 소프트웨어 명령들과 조합되어 사용될 수 있다. 즉, 실시예들은 어느 특정한 하드웨어 회로나 소프트웨어의 조합에 한정되지 않는다.
제어기(180)는 본 발명에 개시된 내용에 따라 프로그래밍된 명령들을 저장하고, 데이터 구조들, 테이블들, 기록들, 또는 본 발명을 구현하기 위해 필요한 다른 데이터들을 내포하기 위한 적어도 하나의 컴퓨터 판독 가능한 매체나 메모리, 예를 들어, 제어 장치 메모리를 포함한다. 컴퓨터 판독 가능한 매체의 예는 콤팩트 디스크, 하드 디스크, 플로피 디스크, 테이프, 광자기(magneto-optical) 디스크, PROM들(EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, 또는 다른 자기성 매체들, 콤팩트 디스크 (예 : CD-ROM), 또는 다른 광학 매체들, 펀치 카드, 페이퍼 테이프, 또는 구멍들의 패턴을 갖는 다른 물리적 매체들, 캐리어 웨이브(후술함), 또는 컴퓨터가 읽어들일 수 있는 다른 매체들이다.
본 발명은 제어기(180)의 제어, 본 발명을 구현하는 장치나 장치들의 구동, 또는/및 상기 제어 장치와 인간인 사용자와의 상호작용을 가능하게 하는 소프트웨어를 포함하며, 이 소프트웨어는 어느 하나의 또는 조합된 컴퓨터 판독 가능한 매체 상에 저장된다. 이러한 소프트웨어는 장치 드라이버, 운영 시스템, 개발 툴, 및 응용 소프트웨이들을 포함할 수 있으나, 이에 대해 한정하지는 않는다. 이러한 컴퓨터 판독 가능한 매체들은 본 발명을 구현되기 위해 실행되는 일부(프로세싱이 분할된 경우) 또는 전체 프로세싱을 실행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함할 수 있다.
본 발명의 컴퓨터 코드 장치들은 해석 가능하거나 실행 가능한 어떠한 코드 메카니즘도 될 수 있으며, 스크립트들, 해석 가능한 프로그램들, 동적 링크 라이브러리들(dynamic link libraries, DLLs), 자바 클래스들, 및 완전한 실행 프로그램들을 포함할 수 있으나, 이에 한정되지는 않는다. 나아가, 본 발명의 프로세싱의 일부는 더 나은 성능, 신뢰성, 또는/및 비용을 위해 분할될 수 있다.
여기서 사용된 "컴퓨터 판독 가능한 매체"라는 용어는 제어기(180)의 프로세서에 실행을 위해 명령들을 제공하는데에 참여하는 어떠한 매체라도 지칭한다. 컴퓨터 판독 가능한 매체는 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하는 많은 형식을 가질 수 있으나 이에 대해 한정하지는 않는다. 비휘발성 매체는 예를 들어, 광학 디스크, 자기성 디스크, 또는 하드 디스크나 이동성 미디어 드라이브와 같은 광자기 디스크를 포함한다. 휘발성 매체는 메인 메모리와 같은 동적 메모리를 포함한다. 나아가, 제어 장치의 프로세서의 실행을 위한 하나 이상의 명령들에 따른 하나 이상의 연속적인 동작들을 수행하기 위해 다양한 형식의 컴퓨터 판독 가능한 매체가 연관될 수 있다. 예를 들어, 상기 명령들은 원격 컴퓨터의 자기 디스크에 의해 초기에 수행될 수도 있다. 원격 컴퓨터는 본 발명의 일부 또는 전체를 구현하기 위한 명령들을 원격에 의해 동적 메모리 내로 로드하고, 상기 명령들을 네트워크를 통해 제어기(180)로 송신할 수 있다.
제어기(180)는 증착 시스템(100)에 대해 상대적으로 근접하게 위치하거나, 증착 시스템(100)에 대해 상대적으로 원거리에 위치할 수 있다. 예를 들어, 제어기(180)는 증착 시스템(100)과 적어도 하나의 직접 연결, 인트라넷, 인터넷 또는 무선 연결을 사용하여 데이터를 교환할 수 있다. 제어기(180)는 인트라넷에 예를 들어, 소비자의 입장(즉, 장치 제조자 등)에서 연결되거나, 예를 들어, 판매자의 입장(즉, 장비 제조자)에서 연결될 수 있다. 또한, 제어기(180)는 예를 들어 인터넷에 연결될 수도 있다. 나아가, 다른 컴퓨터(즉, 제어 장치, 서버 등)가 예를 들어 상기 제어기(180)와 데이터를 교환하기 위해 적어도 하나의 직접 연결, 인트라넷, 인터넷을 통하여 접근할 수 있다. 또한 당업자에게 이해될 수 있겠지만, 제어기(180)는 증착 시스템(100)과 무선 연결을 통하여 데이터를 교환할 수도 있다.
열적 화학적 기상 증착 공정에서 Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 사용하여 Ru 금속막들을 선택적으로 증착하기 위한 복수의 실시예들이 개시되었다. 본 발명의 실시예들의 앞선 설명들은 예시와 기술의 목적으로 제공되었으며, 본 발명을 개시된 해당 형식에 따라 총망라하거나 제한하려는 의도는 없다. 명세서 및 청구 범위는 설명의 목적으로 사용된 용어들을 포함할 뿐, 제한적 의미로 해석되어서는 안된다. 예를 들어, 여기서(청구 범위를 포함) 사용된 용어 "위(상)"는 패터닝된 기판 "위(상)"의 막이 제품 내에서 직접(directly) 위에 있거나 직접 접촉(immediate contact)하고 있음을 의미할 필요가 없다. 즉, 패터닝된 기판과 막 사이에는 제2 막 또는 다른 구조가 있을 수 있는 것이다.
상술한 설명들에 비추어 볼때, 관련 기술에 대한 당업자들은 많은 변형 및 변경이 가능하다는 것을 이해할 수 있으며, 도면들에 나타난 다양한 구성 요소들에 대한 다양한 균등한 조합들과 치환을 인식할 수 있을 것이다. 따라서, 본 발명의 범위는 본 상세한 설명에 의해 한정되지 않으며, 첨부된 청구항들에 의해 해석될 것이다.
300 : 절연체 302 : 제1 금속배선층
304 : 절연체 306 : 이중 다마신 상호접속 구조
308 : 트렌치 310 : 비아
312 : 제1 Ru 금속막 314 : 절연체의 필드 표면
316 : 이중 다마신 상호접속 구조의 내부 표면
318 : 베리어층 320 : Cu 벌크
322 : 평탄화된 Cu 벌크 324 : 제3 Ru 금속막

Claims (20)

  1. 반도체 장치를 제조하기 위한 방법으로서,
    절연층 내에 오목부를 포함하고, 상기 오목부의 하부에 금속배선층을 포함하는 패터닝된 기판을 증착 시스템의 공정 챔버 내에 준비하는 단계;
    Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 형성하는 단계;
    상기 패터닝된 기판을 상기 공정 가스에 노출시켜, 열적 화학적 기상 증착 공정에 의해 상기 금속배선층 상에 제1 Ru 금속막을 선택적으로 증착하는 단계;
    상기 제1 Ru 금속막의 위를 포함하는 상기 오목부 내에 베리어층을 증착하는 단계; 및
    상기 오목부를 Cu 벌크로 충진하는 단계를 포함하는 반도체 장치 제조방법.
  2. 제 1항에 있어서,
    상기 제1 Ru 금속막을 선택적으로 증착하기 전에,
    상기 패터닝된 기판을 CO 가스를 포함하는 전처리 가스로 전처리하는 단계를 더 포함하는 반도체 장치 제조방법.
  3. 제 1항에 있어서,
    상기 공정 가스를 형성하는 단계는,
    전구체 기화 시스템 내에서 고체 Ru3(CO)12 전구체를 대략 40℃ 및 대략 150℃ 사이의 온도로 가열하고, 상기 고체 Ru3(CO)12 전구체를 상기 온도로 유지하여, 상기 Ru3(CO)12 전구체의 증기를 형성하는 단계;
    상기 Ru3(CO)12 전구체의 증기가 형성되면 상기 증기를 상기 CO 가스로 포획하기 위해, 상기 가열이 이루어지는 동안 상기 CO 가스를 상기 전구체 기화 시스템 내의 상기 고체 Ru3(CO)12 전구체와 접촉하도록 흘리는 단계; 및
    상기 공정 가스를 상기 전구체 기화 시스템으로부터 상기 공정 챔버로 이동시키는 단계를 포함하는 반도체 장치 제조방법.
  4. 제 1항에 있어서,
    상기 베리어층은 Ta, TaN, TaC, TaCN, Ti, TiN, W, WN, 또는 이들의 조합을 포함하는 것인 반도체 장치 제조방법.
  5. 제 1항에 있어서,
    상기 베리어층을 형성한 후,
    상기 패터닝된 기판을 상기 공정 가스에 노출하여, 상기 베리어층 및 상기 제1 Ru 금속막 상에 제2 Ru 금속막을 증착하는 단계를 더 포함하는 반도체 장치 제조방법.
  6. 제 1항에 있어서,
    상기 Cu 벌크를 평탄화하는 단계로서, 상기 평탄화는 상기 베리어층을 상기 절연층의 필드 표면에서 더 제거하는 것인 Cu 벌크 평탄화 단계; 및
    상기 패터닝된 기판을 상기 공정 가스에 노출시켜 평탄화된 상기 Cu 벌크 상에 제3 Ru 금속막을 선택적으로 증착하는 단계를 더 포함하는 반도체 장치 제조방법.
  7. 제 1항에 있어서,
    상기 오목부는 하나 이상의 트렌치 및 하나 이상의 비아를 포함하는 것인 반도체 장치 제조방법.
  8. 반도체 장치를 제조하기 위한 방법으로서,
    절연층 내에 오목부를 포함하고, 상기 오목부의 하부에 금속배선층을 포함하는 패터닝된 기판을 증착 시스템의 공정 챔버 내에 준비하는 단계;
    상기 금속배선층의 위를 포함하는 상기 오목부 내에 베리어층을 증착하는 단계;
    상기 오목부를 Cu 벌크로 충진하는 단계;
    상기 Cu 벌크를 평탄화하는 단계로서, 상기 평탄화는 상기 베리어층을 상기 절연층의 필드 표면에서 더 제거하는 것인 Cu 벌크 평탄화 단계;
    Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 형성하는 단계; 및
    상기 패터닝된 기판을 상기 공정 가스에 노출시켜, 열적 화학적 기상 증착 공정에 의해 평탄화된 상기 Cu 벌크 상에 제1 Ru 금속막을 선택적으로 증착하는 단계를 포함하는 반도체 장치 제조방법.
  9. 제 8항에 있어서,
    상기 제1 Ru 금속막을 선택적으로 증착하기 전에,
    상기 패터닝된 기판을 CO 가스를 포함하는 전처리 가스로 전처리하는 단계를 더 포함하는 반도체 장치 제조방법.
  10. 제 8항에 있어서,
    상기 공정 가스를 형성하는 단계는,
    전구체 기화 시스템 내에서 고체 Ru3(CO)12 전구체를 대략 40℃ 및 대략 150℃ 사이의 온도로 가열하고, 상기 고체 Ru3(CO)12 전구체를 상기 온도로 유지하여, 상기 Ru3(CO)12 전구체의 증기를 형성하는 단계;
    상기 Ru3(CO)12 전구체의 증기가 형성되면 상기 증기를 상기 CO 가스로 포획하기 위해, 상기 가열이 이루어지는 동안 상기 CO 가스를 상기 전구체 기화 시스템 내의 상기 고체 Ru3(CO)12 전구체와 접촉하도록 흘리는 단계; 및
    상기 공정 가스를 상기 전구체 기화 시스템으로부터 상기 공정 챔버로 이동시키는 단계를 포함하는 반도체 장치 제조방법.
  11. 제 8항에 있어서,
    상기 베리어층은 Ta, TaN, TaC, TaCN, Ti, TiN, W, 또는 WN을 포함하는 것인 반도체 장치 제조방법.
  12. 제 8항에 있어서,
    상기 베리어층을 형성한 후,
    상기 패터닝된 기판을 상기 공정 가스에 노출하여, 상기 베리어층 상에 제2 Ru 금속막을 증착하는 단계를 더 포함하는 반도체 장치 제조방법.
  13. 제 8항에 있어서,
    상기 오목부는 하나 이상의 트렌치 및 하나 이상의 비아를 포함하는 것인 반도체 장치 제조방법.
  14. 절연층 내에 오목부를 포함하고, 상기 오목부의 하부에 금속배선층을 포함하는 패터닝된 기판;
    Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 이용한 열적 화학적 기상 증착 공정에 의해 상기 금속배선층 상에 선택적으로 증착된 제1 Ru 금속막;
    상기 제1 Ru 금속막 및 상기 절연층의 위를 포함하는 상기 오목부 내에 형성된 베리어층; 및
    상기 오목부를 충진하는 평탄화된 Cu 벌크를 포함하는 반도체 장치.
  15. 제 14항에 있어서,
    상기 오목부 내의 상기 베리어층 및 상기 제1 Ru 금속막 상에 형성된 제2 Ru 금속막을 더 포함하는 반도체 장치.
  16. 제 14항에 있어서,
    상기 공정 가스에 노출시킴으로써 상기 평탄화된 Cu 벌크 상에 선택적으로 증착된 제3 Ru 금속막을 더 포함하는 반도체 장치.
  17. 제 14항에 있어서,
    상기 오목부는 하나 이상의 트렌치 및 하나 이상의 비아를 포함하는 반도체 장치.
  18. 절연층 내에 오목부를 포함하고, 상기 오목부의 하부에 금속배선층을 포함하는 패터닝된 기판;
    상기 금속배선층의 위를 포함하는 상기 오목부 내에 형성된 베리어층;
    상기 오목부를 충진하는 평탄화된 Cu 벌크; 및
    Ru3(CO)12 전구체의 증기 및 CO 가스를 포함하는 공정 가스를 이용한 열적 화학적 기상 증착 공정에 의해 상기 평탄화된 Cu 벌크 상에 선택적으로 증착된 제1 Ru 금속막을 포함하는 반도체 장치.
  19. 제 18항에 있어서,
    상기 오목부 내의 상기 베리어층 상에 형성된 제2 Ru 금속막을 더 포함하는 반도체 장치.
  20. 제 18항에 있어서,
    상기 오목부는 하나 이상의 트렌치 및 하나 이상의 비아를 포함하는 것인 반도체 장치.
KR1020107006378A 2007-09-11 2008-09-09 루테늄의 선택적 증착을 반도체 장치의 제조 과정에 통합하는 반도체 장치 제조방법 및 반도체 장치 KR101506755B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/853,393 2007-09-11
US11/853,393 US7829454B2 (en) 2007-09-11 2007-09-11 Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
PCT/IB2008/003805 WO2009060320A2 (en) 2007-09-11 2008-09-09 Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device

Publications (2)

Publication Number Publication Date
KR20100113475A true KR20100113475A (ko) 2010-10-21
KR101506755B1 KR101506755B1 (ko) 2015-03-27

Family

ID=40430967

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107006378A KR101506755B1 (ko) 2007-09-11 2008-09-09 루테늄의 선택적 증착을 반도체 장치의 제조 과정에 통합하는 반도체 장치 제조방법 및 반도체 장치

Country Status (6)

Country Link
US (1) US7829454B2 (ko)
JP (1) JP5406191B2 (ko)
KR (1) KR101506755B1 (ko)
CN (1) CN101965635B (ko)
TW (1) TWI387051B (ko)
WO (1) WO2009060320A2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) * 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
DE102009021488A1 (de) * 2009-05-15 2010-12-16 Globalfoundries Dresden Module One Llc & Co. Kg Verbessertes Elektromigrationsverhalten von Kupferleitungen in Metallisierungssystemen von Halbleiterbauelementen durch Legierung von Oberflächen
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8232200B1 (en) 2011-03-18 2012-07-31 International Business Machines Corporation Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
EP2584588B1 (en) * 2011-10-21 2017-10-04 Imec Method of forming MIM capacitor with Ru-comprising oxygen diffusion barrier
JP6257217B2 (ja) * 2013-08-22 2018-01-10 東京エレクトロン株式会社 Cu配線構造の形成方法
KR102321209B1 (ko) * 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
US9524935B2 (en) * 2015-05-13 2016-12-20 Globalfoundries Inc. Filling cavities in an integrated circuit and resulting devices
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
JP7066929B2 (ja) 2015-06-05 2022-05-16 東京エレクトロン株式会社 インターコネクトのためのルテニウムメタルによるフィーチャ充填
US9768063B1 (en) * 2016-06-30 2017-09-19 Lam Research Corporation Dual damascene fill
US9947590B1 (en) * 2016-10-14 2018-04-17 Globalfoundries Inc. Method, apparatus, and system for using a cover mask for enabling metal line jumping over MOL features in a standard cell
WO2018125052A1 (en) * 2016-12-27 2018-07-05 Intel Corporation Selective area deposition of metal layers from hetero-pentadienyl metal complex precursors
TWI790320B (zh) * 2017-12-16 2023-01-21 美商應用材料股份有限公司 釕的選擇性原子層沉積
US10403564B2 (en) * 2017-12-30 2019-09-03 Intel Corporation Dual-damascene zero-misalignment-via process for semiconductor packaging
US10818557B2 (en) 2018-07-03 2020-10-27 Globalfoundries Inc. Integrated circuit structure to reduce soft-fail incidence and method of forming same
JP2020043139A (ja) * 2018-09-06 2020-03-19 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11164780B2 (en) * 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851895A (en) 1985-05-06 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Metallization for integrated devices
US4929468A (en) 1988-03-18 1990-05-29 The United States Of America As Represented By The United States Department Of Energy Formation of amorphous metal alloys by chemical vapor deposition
US4938999A (en) 1988-07-11 1990-07-03 Jenkin William C Process for coating a metal substrate by chemical vapor deposition using a metal carbonyl
US5171610A (en) 1990-08-28 1992-12-15 The Regents Of The University Of Calif. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films
US5314727A (en) 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US5359787A (en) 1993-04-16 1994-11-01 Air Products And Chemicals, Inc. High purity bulk chemical delivery system
CA2206217C (en) 1997-05-27 2003-01-07 Miroslav Milinkovic Nickel carbonyl vapour deposition process
US6074945A (en) 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
EP1131475A1 (en) 1998-11-03 2001-09-12 Chemical Vapour Deposition Systems Inc. Nickel carbonyl vapour deposition apparatus and process
US6319832B1 (en) 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
US6303809B1 (en) 1999-12-10 2001-10-16 Yun Chi Organometallic ruthenium and osmium source reagents for chemical vapor deposition
US6440495B1 (en) 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6444263B1 (en) * 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
KR100366639B1 (ko) 2001-03-23 2003-01-06 삼성전자 주식회사 다공성 산화막 플러그에 의한 저저항 컨택 형성방법 및이를 이용한 반도체 장치의 형성방법
JP4160277B2 (ja) 2001-06-29 2008-10-01 株式会社東芝 半導体装置の製造方法
KR100727372B1 (ko) 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
US6420583B1 (en) 2001-09-27 2002-07-16 Praxair Technology, Inc Methods of synthesizing ruthenium and osmium compounds
US6713373B1 (en) 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
JP3992588B2 (ja) 2002-10-23 2007-10-17 東京エレクトロン株式会社 成膜方法
US6989321B2 (en) 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US7107998B2 (en) * 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20050110142A1 (en) 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7285308B2 (en) 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7279421B2 (en) 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
JP2006179599A (ja) 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
KR100613388B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 다마신법을 이용한 구리 배선층을 갖는 반도체 소자 및 그형성 방법
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7288479B2 (en) 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US7396766B2 (en) 2005-03-31 2008-07-08 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US20070059502A1 (en) 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
JP2007043018A (ja) * 2005-08-05 2007-02-15 Toshiba Corp 半導体装置
US7713876B2 (en) 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7215006B2 (en) * 2005-10-07 2007-05-08 International Business Machines Corporation Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US7439624B2 (en) 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
US8034406B2 (en) * 2006-09-26 2011-10-11 Tokyo Electron Limited Integrated substrate processing in a vacuum processing tool
US20080081464A1 (en) 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7592257B2 (en) * 2007-05-14 2009-09-22 Tokyo Electron Limited Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming
US7884018B2 (en) * 2007-06-21 2011-02-08 International Business Machines Corporation Method for improving the selectivity of a CVD process

Also Published As

Publication number Publication date
WO2009060320A3 (en) 2009-08-06
CN101965635A (zh) 2011-02-02
US7829454B2 (en) 2010-11-09
JP5406191B2 (ja) 2014-02-05
JP2010539698A (ja) 2010-12-16
TW200913146A (en) 2009-03-16
WO2009060320A2 (en) 2009-05-14
CN101965635B (zh) 2014-02-12
US20090065939A1 (en) 2009-03-12
KR101506755B1 (ko) 2015-03-27
TWI387051B (zh) 2013-02-21

Similar Documents

Publication Publication Date Title
KR101506755B1 (ko) 루테늄의 선택적 증착을 반도체 장치의 제조 과정에 통합하는 반도체 장치 제조방법 및 반도체 장치
US7776740B2 (en) Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7473634B2 (en) Method for integrated substrate processing in copper metallization
TWI436428B (zh) 釕金屬覆蓋層之形成方法
US7432195B2 (en) Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US20120252210A1 (en) Method for modifying metal cap layers in semiconductor devices
KR101069299B1 (ko) 패터닝된 기판상에 루테늄 금속층을 형성하기 위한 방법
JP5683038B2 (ja) 成膜方法
US8076241B2 (en) Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
KR20070083871A (ko) 금속 카르보닐 전구체로부터 금속 층이 증착하는 속도를증가시키는 방법
WO2007040704A1 (en) Method for integrating a ruthenium layer with bulk copper in copper metallization
WO2008042691A2 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180302

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190305

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200302

Year of fee payment: 6