KR20100053574A - 플루오르화된 조성물을 사용하여 오염을 제거하는 방법 - Google Patents

플루오르화된 조성물을 사용하여 오염을 제거하는 방법 Download PDF

Info

Publication number
KR20100053574A
KR20100053574A KR1020107004004A KR20107004004A KR20100053574A KR 20100053574 A KR20100053574 A KR 20100053574A KR 1020107004004 A KR1020107004004 A KR 1020107004004A KR 20107004004 A KR20107004004 A KR 20107004004A KR 20100053574 A KR20100053574 A KR 20100053574A
Authority
KR
South Korea
Prior art keywords
substrate
composition
photoresist
ions
ion implantation
Prior art date
Application number
KR1020107004004A
Other languages
English (en)
Inventor
에릭 디 올슨
필립 지 클라크
Original Assignee
쓰리엠 이노베이티브 프로퍼티즈 컴파니
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쓰리엠 이노베이티브 프로퍼티즈 컴파니 filed Critical 쓰리엠 이노베이티브 프로퍼티즈 컴파니
Publication of KR20100053574A publication Critical patent/KR20100053574A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

이온 주입 영역을 갖는 기판으로부터 오염을 제거하는 방법이 기술된다. 본 방법은 플루오르화된 용매 및 공용매를 포함하는 조성물을 기판으로부터 오염을 제거하는 데 도움이 되기에 충분한 양으로 기판에 적용하는 단계를 포함한다. 오염물이 제거될 때, 기판 상의 금속 패턴 또는 다른 요구되는 특징부는 남아 있는다. 또한, 오염을 제거하기 위한 조성물은 사용자 또는 기판에 유해하지 않다(즉, 불연성 및/또는 비부식성임).

Description

플루오르화된 조성물을 사용하여 오염을 제거하는 방법{METHOD FOR REMOVING CONTAMINATION WITH FLUORINATED COMPOSITIONS}
반도체 제조는 전통적으로 2개의 공정, 즉 전공정(front end of line, FEOL) 및 후공정(back end of line, BEOL)으로 분류되어 왔다. FEOL 공정은 트랜지스터, 접점, 및 금속 플러그의 형성을 포함한다. BEOL 공정은 반도체 소자를 가로질러 신호를 전달하는 데 사용되는 상호접속부의 형성을 포함한다.
전통적으로, FEOL은 무-금속 공정으로서 인식되며, 이는 전형적으로 게이트(gate) 구조를 위한 필름의 침착 및 패턴화 그리고 이온 주입(ion implanting)을 포함한다. 이온 주입은 기판(substrate)에 도펀트를 첨가하여 소스(source) 영역 및 드레인(drain) 영역을 생성한다. 폴리실리콘으로 제조된 게이트가 소스와 드레인 사이의 전자 전달을 조절하기 위한 릴레이로서 사용된다. 반도체 산업이 증가된 조밀화 및 소형화를 지향함에 따라, 반도체 게이트 크기가 감소되고 있으며, 폴리실리콘의 전기적 특성은 폴리실리콘이 그러한 더 작은 크기의 게이트에 있어서 덜 바람직하게 한다. 기술이 발전함에 따라, 반도체 산업은 폴리실리콘을 대체하는 신규한 게이트 재료, 예를 들어 금속을 지향하고 있다.
금속 게이트 제작에서는, 웨이퍼가 산화규소와 같은 절연체로 코팅된다. 그리고 나서, 코팅된 웨이퍼 상에 금속 침착물이 패턴화된다. 다음으로, 이온이 웨이퍼 상에 주입되어, 웨이퍼의 전기적 특성을 변경시키는데, 예를 들어 소스 및 드레인을 생성한다. 전형적으로, 이온은 포토레지스트로 제조될 수 있는 마스크를 사용하여 특정 영역으로 주입된다. 마스크는 블랭킷(blanket)으로서 작용하며, 이온이 웨이퍼 표면에 충돌할 때 마스크에 의해 덮인 특징부는 이온으로부터 보호된다. 그 후에, 이온-오염된 마스크가 제거되어, 소스 영역 및 드레인 영역과 금속 게이트를 갖는 기판을 얻는다.
오염물의 제거는 반도체 소자 성능, 소자 수율 및 신뢰성을 위해 중요하다. 금속, 금속 산화물, 에칭 잔류물, 또는 중합체 잔류물의 입자 또는 미세 입자(sub-particle)와 같은 오염물이 소스와 드레인 사이에서 전기적 단락을 생성할 수 있거나, 개구 또는 공극을 초래하여 금속 상호접속부에서 높은 저항률을 생성할 수 있다. 회로가 설계된 대로 작동하게 하기 위하여, FEOL 공정 및 BEOL 공정 동안에 오염 제거가 필요하다.
건식 화학적 방법 및 습식 수성 화학적 방법을 포함하는, 폴리실리콘 게이트의 제조 동안의 오염물(예를 들어, 이온-오염된 마스크)을 제거하는 많은 방법들이 당업계에 알려져 있다.
웨이퍼를 손상시키지 않으면서 웨이퍼로부터 오염을 제거하는 것은 난제일 수 있다. 예를 들어, 거친 화학적 처리에 민감하고 쉽게 제거될 수 있는 이온 주입 영역 또는 금속 침착물과 같은 인접한 영역을 손상시킴이 없이 원치않는 표면을 제거하는 것이 곤란할 수 있다. 많은 이용가능한 조성물들이 가연성 및/또는 부식성이기 때문에, 광범위한 용도에 대해 안정한 세정 조성물을 식별해내는 것이 또한 곤란할 수 있다.
기판 상의 금속 패턴 또는 다른 요구되는 특징부는 그대로 유지하면서 오염물을 제거하도록 하는, 이온 주입 영역을 포함하는 기판으로부터 오염을 제거하는 것에 대한 필요성이 존재한다. 오염을 제거하기 위한 오염제거(decontamination) 조성물이 사용자 또는 기판에 유해하지 않도록 하는(즉, 불연성 및/또는 비부식성인), 이온 주입 영역을 갖는 기판으로부터 오염을 제거하는 것에 대한 필요성이 또한 존재한다.
일 실시 형태에서, 플루오르화된 용매 및 공용매를 포함하는 조성물을 사용하여 이온 주입 영역을 포함하는 기판으로부터 오염을 제거하는 방법이 기술된다.
다른 실시 형태에서, 웨이퍼를 포토레지스트로 코팅하는 단계, 기판을 이온에 노출시키는 단계, 및 포토레지스트 코팅을 플루오르화된 용매 및 공용매를 포함하는 조성물로 제거하는 단계를 포함하는 방법이 기술된다.
상기의 요약은 본 발명의 각각의 개시된 실시 형태 또는 모든 구현예를 기술하고자 하는 것은 아니다. 하기 발명의 상세한 설명이 예시적인 실시 형태를 보다 구체적으로 예시한다.
본 발명의 실시 형태는 예로서 그리고 비제한적으로 첨부 도면에서 예시된다.
<도 1a 내지 도 1d>
도 1a 내지 도 1d는 트랜지스터 제작 공정 동안에 공정중(in-process) 집적회로의 단면 부분의 개략도.
<도 2>
도 2는 이온 주입 영역 및 상호접속 층을 갖는 공정중 집적회로의 단면 부분의 개략도.
본 발명은 플루오르화된 용매 및 공용매를 포함하는 조성물을 사용하여 오염을 제거하는 것에 관한 것이다. 더욱 구체적으로, 본 발명은 집적회로 및 다른 소형 반도체 구성요소와 같은 이온 주입 영역을 갖는 기판으로부터 오염(예를 들어, 포토레지스트)을 제거하는 것에 관한 것이다.
오염제거 조성물
본 발명에서는, 플루오르화된 용매 및 공용매의 오염제거 조성물을 사용하여 기판으로부터 오염물을 제거할 수 있다. 우선, 오염제거 조성물이 기술될 것이다.
공용매는 특정 용도를 위해 오염제거 조성물의 용해능 특성을 변경 또는 향상시키도록 선택될 수 있다. 공용매는 플루오르화되거나 플루오르화되지 않을 수 있으며, 알코올, 에테르, 알칸, 알켄, 아민, 사이클로알칸, 에스테르, 케톤, 할로알켄, 할로방향족, 방향족, 실록산, 하이드로클로로카본, 및 이들의 조합, 더 바람직하게는, 알코올, 에테르, 알칸, 알켄, 할로알켄, 사이클로알칸, 에스테르, 방향족, 할로방향족, 하이드로클로로카본, 하이드로플루오로카본, 및 이들의 조합, 가장 바람직하게는, 일부 실시 형태에서, 알코올, 에테르, 알칸, 알켄, 할로알켄, 사이클로알칸, 에스테르, 방향족, 할로방향족, 및 이들의 조합을 포함할 수 있다.
사용될 수 있는 공용매의 대표적인 예는 1-메톡시 2-프로판올, 다이프로필렌 글리콜, 프로필렌 글리콜 아세테이트, 에틸렌 글리콜 다이아세테이트, 1,2-프로판다이올 모노메틸 에테르 아세테이트, 다이프로필렌 글리콜 모노메틸 에테르 트랜스다이클로로에틸렌, 트라이플루오로에탄올, 펜타플루오로프로판올, 헥사플루오로아이소프로판올, 헥사플루오로부탄올, 메탄올, 에탄올, 아이소프로판올, t-부틸 알코올, 메틸 t-부틸 에테르, 메틸 t-아밀 에테르, 1,2-다이메톡시에탄, 사이클로헥산, 2,2,4-트라이메틸펜탄, n-데칸, 테르펜 (예를 들어, a-피넨, 캄펜, 및 리모넨), 트랜스-1,2-다이클로로에틸렌, 시스-1,2-다이클로로에틸렌, 메틸사이클로펜탄, 데칼린, 메틸 데카노에이트, t-부틸 아세테이트, 에틸 아세테이트, 다이에틸 프탈레이트, 2-부타논, 메틸 아이소부틸 케톤, 나프탈렌, 톨루엔, p-클로로벤조트라이플루오라이드, 트라이플루오로톨루엔, 비스(트라이플루오로메틸)벤젠, 헥사메틸 다이실록산, 옥타메틸 트라이실록산, 메틸렌 클로라이드, 클로로사이클로헥산, 1-클로로부탄, 1,1-다이클로로-1-플루오로에탄, 1,1,1-트라이플루오로-2,2-다이클로로에탄, 1,1,1,2,2-펜타플루오로-3,3-다이클로로프로판, 1,1,2,2,3-펜타플루오로-1,3-다이클로로프로판, 및 이들의 조합, 더 바람직하게는, 1-메톡시-2-프로판올, 에틸렌 글리콜 다이아세테이트, 1, 2-프로판다이올 모노메틸 에테르 아세테이트, 다이프로필렌 글리콜 모노메틸 에테르, 및 이들의 조합을 포함한다.
플루오르화된 용매를 오염제거 조성물에 첨가하여, 예를 들어, 공용매의 인화성을 감소시킬 수 있다. 이론에 의해 제한되는 것은 아니지만, 플루오르화된 용매는 또한 오염제거 조성물의 표면 장력을 감소시키는 데 도움이 될 수 있다. 플루오르화된 용매는 부분적으로 플루오르화된 용매를 포함할 수 있다. 부분적으로 플루오르화된 용매는 하이드로플루오로폴리에테르, 하이드로클로로플루오로에테르, 분리형(segregated) 및 비분리형 하이드로플루오로에테르, 하이드로플루오로케톤, 플루오로케톤, 하이드로플루오로알칸, 및 이들의 조합, 더 바람직하게는, 분리형 및 비분리형 하이드로플루오로에테르, 하이드로플루오로알칸, 및 이들의 조합을 포함할 수 있다.
대표적인 플루오르화된 용매는 메틸 노나플루오로부틸 에테르, 메틸 노나플루오로아이소부틸 에테르, 에틸 노나플루오로부틸 에테르, 에틸 논플루오로아이소부틸 에테르, 3-에톡시-1,1,1,2,3,4,4,5,5,6,6,6-도데카플루오로-2-트라이플루오로메틸-헥산, 1,1,1,2,3,3-헥사플루오로-4-(1,1,2,3,3,3-헥사플루오로-프로폭시)-펜탄, 1,1,1,2,2,3,4,5,5,5-데카플루오로-3-메톡시-4-(트라이플루오로메틸)-펜탄, 1,1,2,2-테트라플루오로-1-(2,2,2-트라이플루오로에톡시)-에탄, 1,1,1,2,3,4,4,5,5,5-데카플루오로펜탄, 및 이들의 조합을 포함할 수 있다.
공용매 및 플루오르화된 용매는 생성된 오염제거 조성물이 (예를 들어, ASTM D-3278-96 e-1에 따라 측정될 때) 발화점을 갖지 않도록 하는 공용매 및 플루오르화된 용매의 백분율로 사용될 수 있다. 공용매의 전형적인 범위는 1% 내지 95%, 10% 내지 80%, 30% 내지 75%, 30% 내지 50%, 70% 내지 85%, 또는 심지어 85% 내지 90% (w/w) (중량/중량)일 수 있다. 플루오르화된 용매의 전형적인 범위는 99% 내지 5%, 90% 내지 20%, 70% 내지 25%, 70% 내지 50%, 30% 내지 15%, 또는 심지어 15% 내지 10% (w/w)일 수 있다.
일 실시 형태에서, 플루오르화된 용매 및 공용매를 포함하는 오염제거 조성물은 공비혼합물 또는 공비혼합물-유사물일 수 있다. 공비혼합물 조성물은 각각의 개별적인 용매 성분들보다 더 높은 최대 비등점, 또는 이들보다 더 낮은 최저 비등점을 나타낸다. 공비혼합물-유사 조성물은 각각의 개별적인 용매 성분들보다 높거나, 각각의 개별적인 용매 성분들의 비등점보다 낮은 온도에서 비등한다. 공비혼합물 조성물은 물질들의 특정 혼합물에 대해 공비혼합물-유사 조성물의 범위에 포함된다.
특정 공비혼합물-유사 조성물에서 플루오르화된 용매 및 공용매의 농도는 대응하는 공비 조성물과 실질적으로 다를 수 있으며, 이러한 허용가능한 변동의 크기는 공용매에 좌우된다. 일부 실시 형태에서, 공비혼합물-유사 조성물은 주위 압력에서 플루오르화된 용매 및 공용매 사이에 형성되는 공비혼합물이 포함하는 것과 본질적으로 동일한 농도의 플루오르화된 용매 및 공용매를 포함한다. 일부 실시 형태에서, 공비혼합물-유사 조성물은 시간에 따른 용해력(solvent power)에 있어서 유의한 변화를 나타내지 않는다. 전형적으로, 공비혼합물 및 공비혼합물-유사 조성물은 개별적인 성분 용매들의 특성의 일부를 유지하며, 이는 조합된 특성으로 인해 개별적인 성분들을 뛰어 넘어 성능 및 유용성을 향상시킬 수 있다.
공비혼합물 또는 공비혼합물-유사 조성물은 1,1,1,2,2,3,4,5,5,5-데카플루오로-3-메톡시-4-트라이플루오로메틸-펜탄 및 1-메톡시-2-프로판올, 또는 1,1,1,2,3,3-헥사플루오로-4-(1,1,2,3,3,3-헥사플루오로-프로폭시)-펜탄 및 1-메톡시-2-프로판올, 또는 1-에톡시-노나플루오로부탄 및 1-메톡시-2-프로판올을 포함할 수 있다(그 개시 내용이 본 명세서에 참고로 포함된, 본 출원과 동일자로 출원된, 대리인 관리번호 63286US002 (오웬스(Owens) (미국 특허 출원 제11/782,783호) 참조).
플루오르화된 용매 및 공용매에 더하여, 공비혼합물-유사 조성물의 형성을 방해하지 않는 한, 후술되는 것과 같은 다른 화합물들이 공비혼합물-유사 조성물에 첨가될 수 있다.
일부 실시 형태에서, 오염제거 조성물은 하나보다 많은 플루오르화된 용매를 포함할 수 있다. 다른 실시 형태에서, 오염제거 조성물은 하나보다 많은 공용매를 포함할 수 있다.
플루오르화된 용매 및 공용매를 포함하는 오염제거 조성물은 균질성이거나 불균질성일 수 있다. 불균질성 오염제거 조성물은 사용 전 및/또는 사용 동안에 교반되거나 초음파처리되어 실질적으로 균질한 혼합물을 달성할 수 있다.
일부 실시 형태에서, 오염제거 조성물은, 플루오르화된 용매 및 공용매에 더하여, 다른 첨가제를 포함할 수 있다. 첨가제는 부식 억제제, 계면활성제, 윤활제, 산, 및 이들의 조합을 포함할 수 있다. 첨가제는 소량으로, 바람직하게는 10,000 ppm 미만, 1,000 ppm 미만 또는 심지어 100 ppm 미만으로 존재할 수 있다. 일부 실시 형태에서, 부식 억제제, 예를 들어, 벤조트라이아졸 (BTA) 또는 요산을 오염제거 조성물에 첨가하여 금속의 부식을 억제한다. 일부 실시 형태에서, 추가의 계면활성제 (예를 들어, 2차 알코올 에톡실레이트, 플루오르화된 화합물, 또는 퍼플루오로알킬 설폰아미도 화합물) 또는 용매 (예를 들어, 아이소프로필 알코올)를 오염제거 조성물에 첨가하여, 예를 들어 물, 오물, 또는 코팅 재료와 같은 물질의 분산 또는 용해도를 개선하고/하거나 기판 표면의 습윤 능력을 개선할 수 있다. 일부 실시 형태에서, 소량의 윤활성 첨가제 (예를 들어, 퍼플루오로폴리에테르 윤활제 또는 플루오로중합체)를 오염제거 조성물에 첨가하여, 예를 들어 윤활 특성을 향상시킬 수 있다. 또 다른 실시 형태에서, 산 용액을 오염제거 조성물에 첨가하여, 예를 들어 규소 또는 산화규소 표면을 에칭할 수 있다. 산 용액 (예를 들어, 플루오르화수소산 및/또는 질산)은 수성 또는 무수성일 수 있다.
특정 응용에 바람직한 경우, 오염제거 조성물은 하나 이상의 용해된 또는 분산된 기체, 액체, 또는 고체 첨가제 (예를 들어, 이산화탄소 기체, 산화제, 킬레이팅제, 계면활성제, 안정제, 산화방지제, 부식 억제제 또는 활성탄)를 추가로 포함할 수 있다.
또한, 일 실시 형태에서, 오염제거 조성물은 비-수성 또는 본질적으로 비-수성일 수 있다. 본질적으로 비-수성은 오염제거 조성물이 약 10,000 ppm 미만, 약 1,000 ppm 미만 또는 심지어 약 100 ppm 미만의 물을 포함함을 의미한다.
오염제거 조성물은 불연성, 비부식성일 수 있으며, 기판 상의 금속 침착물과 같은 요구되는 특징부에 유해한 영향을 주지 않으면서 오염을 제거할 수 있다.
불연성 오염제거 조성물은 안전성 및 비용 관계로 인해 반도체 소자의 제조에 바람직하다. 불연성은 ASTM D-3278-96 e-1, D56-05 "소규모 밀폐 컵 장치에 의한 액체의 발화점에 대한 표준 시험 방법(Standard Test Method for Flash Point of Liquids by Small Scale Closed-Cup Apparatus)"과 같은 표준 방법을 사용하여 평가될 수 있다.
비부식성 오염제거 조성물은 사용자 및/또는 기판, 예를 들어 금속 또는 금속 산화물에 대해 부식성이 아닌 오염제거 조성물이다.
반도체 제작 공정
지금까지 오염제거 조성물을 설명하였으며, 트랜지스터 제작 공정을 도시하는 도 1a 내지 도 1d, 및 상호접속 층을 갖는 공정중 집적회로를 도시하는 도 2를 사용하여 반도체 제작 공정이 설명될 것이다. 후술되는 도면은 예시적인 목적을 위한 것이며 단지 예에 의한 것이다.
트랜지스터 제작 공정의 예시적인 개략도가 도 1a 내지 도 1d에 도시되어 있다. 도 1a에서, 웨이퍼(20)가 절연체(30)로 코팅된다. 절연체 코팅된 웨이퍼 상에 금속 침착물(40)이 패턴화된다. 이어서, 포토레지스트(50)를 적용한 후에, 리소그래피 공정이 이어진다. 포토레지스트를 현상하여, 포토레지스트의 표면(51) 및 베어(bare) 웨이퍼의 표면(21)을 갖는 공정중 집적회로(10)를 남긴다.
도 1b에서, 도 1a에 도시된 공정중 집적회로(10)가 이온(60)에 노출되어, 공정중 집적회로(10')를 얻는다. 공정중 집적회로(10')는 이온 주입 영역(22, 24), 및 이온 주입 포토레지스트(52)를 포함한다. 이온 주입 포토레지스트(52)는 사용된 이온 주입 방법 및 조건에 따라 완전히 또는 부분적으로 이온이 주입될 수 있다. 이온 주입 후에, 이하에서 더 상세하게 기술되는 오염 제거 공정을 통해 이온 주입 포토레지스트(52)가 공정중 집적회로(10')로부터 제거되어, 공정중 집적회로(10")를 얻는다 (도 1c). 공정중 집적회로(10")는 금속 침착물(40) 및 이온 주입 영역(22, 24)을 포함한다.
이온 주입 및 이온 주입 포토레지스트의 제거 후에, 금속 플러그(34, 36, 38)가 이온 주입 영역(22, 24), 및 금속 침착물(40) 상에 제작되어, 공정중 집적회로(10"')를 얻는다 (도 1d). 금속 플러그 (예를 들어, 텅스텐)는 접점으로서 작용하여, 트랜지스터를 후속 층 (예를 들어, 상호접속 층)에 접속시킨다. 금속 플러그(34, 36, 38)의 지지 및 절연을 위해 절연 재료(42, 44, 46, 48)가 제작된다.
이어서, 공정중 집적 회로(10"') 상에 상호접속 층이 제작되어, 상호접속 층(18)을 갖는 공정중 집적회로(16)를 얻을 수 있다. 이온 주입 영역(22, 24), 금속 침착물(40), 금속 플러그(34, 36, 38), 및 상호접속 층(18)을 갖는 공정중 기판의 일부분을 도시하는 개략도가 도 2에 도시되어 있다. 공지의 제작 기술 (예를 들어, 리소그래피, CMP (화학 기계적 평탄화), 박막 침착, 박막 에칭, 및 이온 주입)을 사용하여, 금속 상호접속부(60, 64)가 금속 플러그(34, 38) 상에 제작된다. 금속 상호접속부는 트랜지스터들을 함께 접속하는 전기 배선으로서 작용한다. 지지 및 절연을 위해서 금속 상호접속부(60, 64) 둘레에 절연 재료(70, 72, 74)가 제작된다. 상호접속 층(18)의 상부에 추가의 상호접속 층들을 제작하여 트랜지스터들을 함께 접속하고 최종적으로는 경로 - 경로는 회로를 외부 소자, 예를 들어 회로 기판에 접속함 -에 접속하도록 제작 기술이 사용된다.
트랜지스터 및 상호접속 층을 제작하는 한 가지 방식이 위에서 기술되었다. 물론, 특정 제작 방법 및 공정 단계가 본 발명에 결정적인 것은 아니다. 개시된 오염제거 조성물 및 공정은 많은 반도체 소자에서 사용되어, 이온 주입 영역을 포함하는 기판으로부터 오염물을 제거할 수 있다.
재료
다양한 실시 형태에서, 기판으로부터 오염물이 제거된다. 기판은 반도체 또는 다른 소형 구성요소 또는 소자의 제조에 사용되는 물품 - 예를 들어, 웨이퍼 또는 칩을 포함함 - 을 포함할 수 있다. 기판은 규소, SOI(silicon on insulator), 게르마늄, 갈륨비소, 인화갈륨, 인화인듐(InP), 다른 III-V 및 II-VII족 화합물 반도체, 다른 복합 합금, 및 다른 적합한 기판을 포함할 수 있다.
기판은 예를 들어 경질 마스크 및 산화물 층, 금속 층 및 포토레지스트 층을 포함한 다양한 층으로 코팅(전체적으로 코팅, 부분적으로 코팅, 또는 적어도 부분적으로 코팅)될 수 있다.
산화물 층 및 경질 마스크는 이산화규소, 질화규소, 비정질 규소, 비정질 탄소, 테트라에틸오르토실리케이트(TEOS), 폴리실리콘, 및 고밀도 플라즈마(HDP)를 포함할 수 있다.
금속 및 합금 침착물 또는 층이 사용되어 기판 상에 금속 게이트를 형성할 수 있다. 금속 및 합금은 알루미늄, 텅스텐, 텅스텐 규화물, 탄탈륨, 탄탈륨 질화물, 티타늄, 티타늄 질화물, 티타늄 규화물, 코발트, 코발트 규화물, 니켈, 니켈 규화물, 백금,백금 규화물, 하프늄, 하프늄 규산염, 지르코늄, 몰리브덴, 루테늄, 바나듐, 팔라듐, 및 이들의 조합, 더 바람직하게는 텅스텐을 포함할 수 있다.
포토레지스트 층은 네거티브 톤(tone) 및 포지티브 톤 포토레지스트를 포함할 수 있다. 네거티브 톤 포토레지스트는 아크릴 네거티브 톤을 포함할 수 있다. 포지티브 톤 포토레지스트는 다이아지드 나프토퀴논(DNQ) 포지티브 톤 및 화학적으로 증폭된 포지티브 톤 레지스트, g-라인, i-라인, 심자외선(DUV), 193 ㎚, 248 ㎚, 및 극자외선(EUV)을 포함할 수 있다.
금속 및 합금 침착물 또는 층이 사용되어, 트랜지스터를 상호접속 층에 접속하는 플러그를 형성할 수 있다. 금속 및 합급은 텅스텐, 알루미늄 및 이들의 조합을 포함할 수 있다.
금속 및 합금 침착물 또는 층이 사용되어 상호접속 층의 상호접속부를 형성할 수 있다. 금속 및 합금은 알루미늄, 텅스텐, 텅스텐 규화물, 탄탈륨, 탄탈륨 질화물, 티타늄, 티타늄 질화물, 티타늄 규화물, 코발트, 코발트 규화물, 니켈, 니켈 규화물, 백금, 백금 규화물, 하프늄, 지르코늄, 구리, 몰리브덴, 루테늄, 바나듐, 팔라듐, 및 이들의 조합, 더 바람직하게는 구리, 알루미늄 및 이들의 조합을 포함할 수 있다.
상호접속 층의 절연 재료 및 금속 플러그들 사이의 절연 재료는 낮은 유전 상수(낮은 k 유전성) 재료, 예를 들어 불소-도핑된 이산화규소 (예를 들어, 플루오르화된 실리카 유리); 탄소-도핑된 이산화규소 또는 유기-규산염 유리 (예를 들어, 미국 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼즈, 인크.(Applied Materials, Inc.)로부터의 블랙 다이아몬드(Black Diamond)™, 네덜란드 빌트호벤 소재의 에이에스엠 인터내셔널 엔.브이.(ASM International, N.V.)로부터의 오로라(Aurora)™, 및 미국 캘리포니아주 새너제이 소재의 노벨러스 시스템즈, 인크.(Novellus Systems, Inc.)로부터의 코랄(Coral)™ ); 유전 상수를 낮출 상기 필름들 중 임의의 필름 내로 기공을 도입하는 다공성 이산화규소; 및 스핀-온(spin-on) 유기 중합체성 유전체 (예를 들어, 폴리이미드, 폴리노르보르넨, 벤조사이클로부텐, 및 폴리테트라플루오로에틸렌(PTFE))을 포함할 수 있다.
이온 주입
기판에 대한 이온의 주입은 기판의 특성을 변경시키기 위해 사용될 수 있는데, 예를 들어 재료를 도핑하여 비전도성 재료를 전도성으로 만들기 위해 이온이 사용될 수 있다. 주입 동안에, 기판의 표면 아래에 이온을 매설하기에 충분히 높은 에너지에서 이온이 기판을 향해 가속된다. 일반적으로, 이온 주입 동안의 표면의 개질은 이온 에너지, 이온 플럭스, 및 사용되는 이온의 유형에 좌우된다. 이온 주입은 고선량 주입 및 저선량 주입으로 분류될 수 있다. 고선량 이온 주입 응용은 전형적으로 약 1 × 1015 이온/㎠ 초과의 선량을 특징으로 한다. 저선량 주입 응용은 전형적으로 약 1 × 1014 이온/㎠ 미만의 선량을 특징으로 한다.
이온 주입은 전형적으로 빔라인(beamline)-기반 주입 및 플라즈마-기반 주입으로 분류된다. 빔라인 이온 주입에서는, 이온 스트림이 이온 공급원으로부터 추출된다. 이온이 가속되고 빔으로 포커싱되며, 빔은 표적을 가로질러 스캐닝되거나 래스터링(rastering)된다. 빔라인 이온 주입의 유형에는 중간 전류, 고전류 및 고에너지가 포함된다. 다른 기술은 플라즈마-기반 이온 주입이다. 플라즈마-기반 이온 주입에서는, 플라즈마와 기판 사이에 전압 바이어스(bias)가 배치된다. 플라즈마 중의 이온은 플라즈마를 가로질러 가속되고, 이온이 주입될 기판에 충돌한다. 플라즈마 침지 이온 주입(plasma immersion ion implantation, PIII), 플라즈마 공급원 이온 주입(plasma source ion implantation, PSII), 플라즈마 도핑(PLAD), 및 이온 샤워를 포함하는 몇몇 형태의 플라즈마-기반 주입 방법이 있다.
집적회로 제작에 있어서, 도핑을 위해 선택된 이온은 전도성 특성을 갖는다. 전형적으로 도핑을 위해 사용되는 이온은 비소 이온, 인 이온, 붕소 이온, 이불화붕소 이온, 인듐 이온, 안티몬 이온, 게르마늄 이온, 규소 이온, 질소 이온, 수소 이온, 헬륨 이온, 및 이들의 혼합물이다. 더욱 구체적으로, N-타입 도핑을 위해서는, 전형적으로 비소 이온 및/또는 인 이온이 사용되며, P-타입 도핑을 위해서는, 전형적으로 붕소 이온이 사용된다.
이온 주입 영역은 증가된 이온 농도를 갖는 특정 영역이다. 기판의 이온 주입 영역은 기판의 일부분을 가로질러 감소하는 이온 농도 구배를 가질 수 있는데, 예를 들어 기판 상의 이온 주입 영역은 기판 표면에서 증가된 이온 농도를 가질 수 있으며, 기판 표면으로부터의 거리에 기초하여, 아마도 이온 농도가 더 이상 변화하지 않는 기판 내의 소정 지점까지 이온 농도가 감소한다. 기판은 뚜렷한 이온 경계, 즉 갑자기 종료하는 높은 이온 농도를 갖는 이온 주입 영역을 가질 수 있다. 또한, 기판은 농도 구배 및 뚜렷한 이온 경계 둘 모두를 갖는 이온 주입 영역을 가질 수 있다.
오염물 제거
이온 주입 후에, 기판은 예를 들어 이온 주입 영역, 금속 침착물, 및 이온 주입 포토레지스트를 포함할 수 있다. 이어서, 기판은 기판으로부터 오염을 제거하는 데 도움이 되기에 충분한 양의 오염제거 조성물과 접촉될 수 있다. 즉, 오염제거 조성물의 양은 오염물이 적어도 부분적으로 용해 및/또는 제거되지만, 기판 상의 금속 패턴과 같은 요구되는 특징부는 실질적으로 악영향을 받지 않도록 하는 것이다.
본 발명에서, 오염물은 기판 상의 원치않는 물질을 말한다. 예를 들어, 경량의 탄화수소 오염물과 같은 물질; 광유 및 그리스(grease)와 같은 고분자량 탄화수소 오염물; 퍼플루오로폴리에테르 및 클로로트라이플루오로에틸렌 올리고머(유압 유체, 윤활제)와 같은 플루오로탄소 오염물; 실리콘 오일 및 그리스; 땜납 플럭스; 미립자; 및 정밀 세정, 전자 세정 및 금속 세정시 직면하게 되는 기타 물질이 오염물로서 여겨질 수 있다. 본 발명의 다양한 실시 형태는 또한 탄화수소 오염물, 플루오로탄소 오염물, 포토레지스트, 미립자, 및 물의 제거에 특히 유용하다.
오염을 제거하는 수단으로서, 와이핑(wiping), 딥핑(dipping), 분무, 기계적 교반, 메가소닉 또는 초음파 세정 등이 단독으로 또는 조합으로 채용될 수 있다. 오염제거 조성물은 임의의 공지 수단에 의해 적용될 수 있다. 예를 들어, 오염제거 조성물 내로의 기판의 소킹(soaking), 오염제거 조성물 내로의 기판의 딥핑, 기판 상으로의 오염제거 조성물의 분무, 기판 상으로의 오염제거 조성물의 적하(dripping) 및 기판의 회전(spinning), 회전하는 기판 상으로의 오염제거 조성물 스트림의 적용, 오염제거 조성물의 시트를 통한 기판의 통과, 오염제거 조성물 증기에 대한 기판의 노출 및 이들의 조합.
플루오르화된 용매 및 공용매를 사용한 오염제거에 더하여, 이러한 오염제거 조성물은 다른 기술과 함께 사용할 수 있는데, 예를 들어 이온 주입 영역을 갖는 기판이 또한 건식 화학적 방법에 노출될 수 있다. 예를 들어, 오염제거 조성물 및 애싱(ashing) (예를 들어, 산소 플라즈마 애싱)이 조합으로 사용되어 기판을 오염제거할 수 있다. 일 실시 형태에서, 예를 들어 이온 주입 포토레지스트로 오염되고 이온 주입 영역을 갖는 기판은 적어도 부분적으로 애싱(즉, 애싱 또는 부분적으로 애싱)될 수 있고, 이어서 기판은 플루오르화된 용매 및 공용매의 오염제거 조성물과 접촉될 수 있다. 다른 실시 형태에서, 예를 들어, 이온 주입 포토레지스트로 오염되고 이온 주입 영역을 갖는 기판은 오염제거 조성물과 접촉될 수 있고, 이어서 기판은 적어도 부분적으로 애싱될 수 있다. 또 다른 실시 형태에서, 예를 들어 이온 주입 포토레지스트로 오염되고 이온 주입 영역을 갖는 기판은 오염물이 적어도 부분적으로 용해 및/또는 제거될 때까지 오염제거 조성물과의 반복적인 접촉 및 건식 화학적 방법 (예를 들어, 애싱)을 사용하여 오염제거될 수 있다. 예를 들어, 이온 주입 포토레지스트로 오염되고 이온 주입 영역을 갖는 기판은 오염제거 조성물과 접촉될 수 있고, 이어서 기판은 적어도 부분적으로 애싱되고 나서 다시 오염제거 조성물과 접촉되고 다시 적어도 부분적으로 애싱될 수 있다.
일 실시 형태에서, 오염은 FEOL 공정 동안에 제거된다. 예를 들어, 기판의 표면에서 이온 주입 영역을 포함하는 기판으로부터 오염이 제거된다.
일 실시 형태에서, 포토레지스트는 기판의 제조 동안에 마스크로서 사용된다. 포토레지스트는 웨이퍼와 같은 기판 위에 코팅되고, 패턴화되며, 현상된다. 이어서, 포토레지스트로 코팅된 기판의 적어도 일부분을 도핑하는 이온이 기판에 주입된다. 패턴화된 포토레지스트는 이온이 주입될 수 있는 곳을 한정하는 마스크로서 작용한다. 이온으로 도핑한 후에, 플루오르화된 용매 및 공용매의 조성물을 사용하여, 이온이 주입된 포토레지스트의 적어도 일부분이 제거된다.
다른 실시 형태에서, 금속 게이트가 기판 상에 제작된다. 금속 침착물 (예를 들어, 텅스텐, 구리 또는 알루미늄, 바람직하게는 텅스텐)이 기판 상에 패턴화된다. 이어서, 포토레지스트가 기판에 적용된 후, 리소그래피 공정이 이어진다. 포토레지스트가 현상되어, 포토레지스트의 패턴, 금속, 및 하부의 규소 웨이퍼를 남긴다. 이어서, 기판이 이온 주입에 노출되는데, 여기서 이온은 포토레지스트 및 기판 표면의 적어도 일부분 내로 주입된다. 주입 후에, 이온 주입 포토레지스트의 적어도 일부분이 이어서 기판으로부터 제거되어, 이온 도핑된 웨이퍼를 남기며, 이는 이제 패턴화된 금속 사이의 전기 접점으로서 작용할 수 있다.
또 다른 실시 형태에서, 오염은 BEOL 공정 동안에 제거된다. 예를 들어, 기판의 표면에 있지 않은 이온 주입 영역을 포함하는 기판으로부터 오염이 제거된다.
다른 실시 형태에서, 상호접속 층이 제공된다. 주입 및 기판으로부터의 이온 주입 포토레지스트의 제거 후에, 상호접속 층이 기판 상에 제작된다. 절연체 재료 및 금속 상호접속부를 포함하는 상호접속 층을 제작하기 위하여 표준 기술 (리소그래피, CMP, 박막 침착, 박막 에칭, 및 이온 주입)이 사용된다. 다중 상호접속 층이 또한 기판 상에 제작될 수 있다.
다른 실시 형태에서, 물품이 제공된다. 물품은 이온 주입 영역을 포함하며, 적어도 플루오르화된 용매 및 공용매의 조성물을 사용하여 오염제거된다(적어도 하나의 오염물을 적어도 부분적으로 제거함). 물품은 반도체 제작의 산물이며 집적회로를 포함할 수 있다.
본 발명의 이점 및 실시 형태들은 하기 실시예에 의해 추가로 예시되지만, 이들 실시예에 인용된 특정 재료 및 그 양뿐만 아니라 기타 조건 및 상세 사항도 본 발명을 부당하게 제한하는 것으로 해석되어서는 안된다. 모든 재료는 다르게 언급되거나 명백하지 않다면, 구매가능하거나 당업자에게 공지되어 있다.
실시예
실시예 1 내지 실시예 15 및 비교예 C1 내지 비교예 C3
포토레지스트 재료로 코팅되고, 패턴화되고, 현상되고, 이어서 1 KeV(킬로 전자 볼트) 미만의 에너지를 사용하는 저선량 이온 주입 공정에 노출된 규소 웨이퍼를 절단하여 크기가 약 0.5 ㎝ × 0.5 ㎝ 내지 약 2 ㎝ × 2 ㎝ 범위인 시험 샘플을 생성하였다.
하기 실험에 사용된 재료의 설명이 표 1에 나타나 있다.
Figure pct00001
작은 비이커 (크기가 50 ㎖ 내지 250 ㎖의 범위)에서 하기 표 2에 따라 중량/중량 (w/w) 기준으로 플루오르화된 용매 및 공용매의 조성물을 제조하였다. 조성물을 담은 비이커를 자기 교반 핫 플레이트에 놓고 조성물을 테플론(Teflon)(등록상표) 교반 막대로 교반하였다.
전술된 바와 같은 시험 샘플을 일회용 플라스틱 집게를 사용하여 조성물에 침지시키고 소정 기간 동안 제위치에 유지하였다. 알루미늄 포일을 사용하여 비이커의 상부를 덮어서 증발 및 오염을 최소화하였다. 달리 표시되지 않는다면, 모든 실시예는 주위 온도 (대략 25℃)에서 시험하였다. 승온에서 시험한 실시예는 핫 플레이트에서 가열하였다. 유리 온도계를 비이커에 넣고 시험 동안에 조성물의 온도를 측정하는 데 사용하였다. 스톱워치를 사용하여 노출 시간을 측정하였다.
지정된 노출 시간에 시험 샘플을 조성물에서 꺼내었다. 노출 후에, 시험 샘플을 실험에 사용된 플루오르화된 용매만을 담은 별도의 비이커에 딥핑함으로써 헹구었다. 비교예를 위해, HFE 7100을 사용하여 순수 NMP 및 순수 1-프로판올을 헹구었고, HFE 7300을 사용하여 순수 PM 및 순수 PMA를 헹구었다.
압축 공기를 사용하여 시험 샘플을 건조시켯고 육안으로 시각적으로 검사하여 제거된 포토레지스트의 양을 결정하였다. 제거된 포토레지스트의 양을 낮음 (일부 제거되었으나 50% 미만), 중간 (대략 50% 제거됨), 높음 (50% 초과로 제거되었으나 완전히 깨끗하지는 않음) 및 완전 (완전한 제거)으로서 정량적으로 등급을 매겼다. 제거된 저선량 이온 주입 포토레지스트의 결과가 하기 표 2에 나타나 있다.
Figure pct00002
실시예 16 내지 실시예 25 및 비교예 C5 내지 비교예 C6
시험 샘플이 100 KeV 미만의 에너지를 사용하는 고선량 이온 주입 공정에 노출된 패턴화된 포토레지스트를 갖는 점을 제외하고는 실시예 16 내지 실시예 25 및 비교예 C5 내지 비교예 C6을 전술된 바와 같이 시험하였다. 제거된 고선량 이온 주입 포토레지스트의 결과가 하기 표 3에 나타나 있다.
Figure pct00003
실시예 26 내지 실시예 30
실시예 26 내지 실시예 30을 하기와 같이 시험하였다. 80% (w/w) PM의 조성물을 하기 플루오르화된 용매들 각각을 사용하여 제조하였다: HFE 7100, HFE 7200, HFE 7300, TFTE, 및 DDFP. 각각의 조성물 (30 g)을 스냅-탑 플라스틱 캡(snap-top plastic cap)을 갖는 작은 유리 바이알에 넣었다. 1 제곱센티미터 시험 샘플 (전술된 고선량 이온 주입 시험 샘플)을 포토레지스트 측을 위로 하여 바이알의 바닥에 두고 뚜껑을 닫았다. 바이알을 정지(무혼합 또는 무교반) 상태로 60분 동안 유지하였다. 시험 샘플을 바이알에서 꺼내고, 물로 헹구고 공기 건조하였다. 제거된 고선량 이온 주입 포토레지스트의 결과가 하기 표 4에 나타나 있다.
Figure pct00004
텅스텐에 대한 반응성
하기 표 5에 나타낸 바와 같이, 규소 웨이퍼를 (대략 300 옹스트롬 두께로) 텅스텐으로 도금한 다음, 주위 온도에서 장시간 동안 조성물에 노출시켰다.
Figure pct00005
노출 후에, 텅스텐 도금된 웨이퍼를 금속 용해에 대해서 광학 현미경 (옵티포트(Optiphot), 미국 뉴욕주 멜빌 소재의 니콘(Nikon)) 하에 100X 배율에서 검사하였다. 금속 용해의 어떠한 징후도 관찰되지 않았다.
인화성 시험
하기의 예외를 가지고 ASTM D-3278-96 e-1 "소규모 밀폐 컵 장치에 의한 액체의 발화점"에 따라, 플루오르화된 용매 및 공용매의 조성물을 인화성에 대해 시험하였다. 사용된 밀폐 컵 장치는 세타플래시 시리즈 7 플러스 오토매틱 램프 플래시 포인트 테스터(Setaflash Series 7 Plus Automatic Ramp Flash Point Tester), 모델 72000-0 (영국 서레이 소재의 스탄호프-세타(Stanhope-Seta))였다. 이러한 자동 인화점 시험 장치는 인화점의 정확성을 위하여 p-자일렌 기준 표준물을 사용하여 적어도 연 1회 보정한다. 시험을 위해, 샘플을 자동 인화점 시험 장치의 컵에 부가하였다. 인화점 측정을 위한 시작 온도는, 알려져 있다면, 성분의 최저 인화점에 기초하였다(예를 들어, 조성물이 PM을 포함하는 경우, PM은 인화점이 약 31.1℃ (88℉)여서, 첫번째 인화점 시험을 약 31.1℃ (88℉)에서 수행하였다). 그렇지 않다면, 인화점 분석은 약 20℃ (68℉) (예를 들어, 실온)에서 시작하였다. 1분 경과 후에, 슬라이드가 자동으로 개방되었고 시험 불꽃을 가하였다. 기기에 의해 불꽃이 검출되었고 시각적으로 확인되었다. 불꽃이 관찰되지 않은 경우, 기기가 자동적으로 1.1℃/분 (2℉/분)으로 램핑(ramping)하도록 설정되었다. 1.1℃ (2℉)로 상향 램핑한 후에, 기기를 그 온도에서 1분 동안 유지하였고, 슬라이드를 개방하였고 불꽃을 집어넣었다. 기기는 계속 램핑하여 1분 동안 평형을 이루었고, 불꽃이 검출될 때까지 또는 샘플이 분해된 것으로 보일 때까지 중 어느 것이든 먼저인 것까지 매 1.1℃ (2℉)마다 인화점을 취하였다. 온도가 37.7℃ (100℉) 또는 60℃ (140℉)에 도달했을 때, 분해가 일어나는 것으로 보였다. 기기에 의해서 불꽃이 검출되면, 새로운 샘플을 컵에 넣고, 기기에 의해 검출된 인화점까지 가열하고 인화점을 기기에 의해 그리고 시각적으로 확인하였다. 인화점이 관찰되지 않으면, 앞서 논의된 바와 같이 샘플이 자동적으로 램핑되었다. 일단 인화점이 좁혀지면, 각각의 인화점 시험을 위한 새로운 샘플을 사용하고 온도를 수동으로 올리고 낮춰서 인화점을 더욱 좁혔다. 인화점은 이중 측정의 평균으로서 1℃ (1℉)까지 반올림하여 보고하였다. 일반적으로, 분석자가 재료를 소진하지 않았다면, 인화점은 2개의 새로운 샘플에 대한 인화점의 시각적 관찰로 확인되었다. 보고된 인화점 결과를 97.9 ㎪에서의 기압에 대해 보정하였다. 실시예 33 내지 실시예 40 및 C11 내지 C12의 인화점이 표 6에 나타나 있다. "없음"은 인화점이 확인되지 았았음을 나타낸다.
Figure pct00006
표 2, 표 3, 및 표 4에 나타난 바와 같이, 플루오르화된 용매 및 공용매의 오염제거 조성물은 웨이퍼로부터 고선량 및 저선량 이온 주입 포토레지스트 둘 모두를 제거할 수 있었다. 이들 표에 나타난 바와 같이, 용매 선택, 성분의 중량 퍼센트, 및 노출 조건이 이온 주입 포토레지스트의 제거에 영향을 줄 수 있다. 오염제거 조성물은 광학 현미경 하의 평가에 기초하여 텅스텐에 대해 반응성이 아닌 것으로 보이며, ASTM D-327-96 e-1에 따른 밀페 컵 인화점 시험에 기초하여 불연성이었다.
본 발명의 범주 및 사상으로부터 벗어나지 않고도 본 발명에 대한 예견가능한 변형 및 변경이 당업자에게 명백하게 될 것이다. 본 발명은 예시 목적으로 본 출원에서 설명된 실시 형태로 제한되어서는 안된다. 각각의 개별적인 간행물 또는 특허가 특정적으로 그리고 개별적으로 참고로 포함되는 것으로 나타내어지는 것처럼, 모든 간행물 및 특허들이 동일한 정도로 본 명세서에 포함되어 참고된다.

Claims (20)

  1. 이온 주입 영역을 갖는 기판으로부터 오염을 제거하는 방법으로서,
    플루오르화된 용매 및 공용매를 포함하는 조성물을 기판으로부터 오염을 제거하는 데 도움이 되기에 충분한 양으로 기판에 적용하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 플루오루화된 용매가 하이드로플루오로에테르 및 하이드로플루오로알칸 중 적어도 하나를 포함하는 방법.
  3. 제2항에 있어서, 하이드로플루오로에테르는 메틸 노나플루오로부틸 에테르, 메틸 논플루오로아이소부틸 에테르, 에틸 노나플루오로부틸 에테르, 에틸 논플루오로아이소부틸 에테르, 3-에톡시-1,1,1,2,3,4,4,5,5,6,6,6-도데카플루오로-2-트라이플루오로메틸-헥산, 1,1,1,2,3,3-헥사플루오로-4-(1,1,2,3,3,3-헥사플루오로-프로폭시)-펜탄, 1,1,1,2,2,3,4,5,5,5-데카플루오로-3-메톡시-4-(트라이플루오로메틸)-펜탄, 및 1,1,2,2-테트라플루오로-1-(2,2,2-트라이플루오로에톡시)-에탄 중 적어도 하나를 포함하는 방법.
  4. 제3항에 있어서, 하이드로플루오로알칸은 1,1,1,2,3,4,4,5,5,5-데카플루오로펜탄인 방법.
  5. 제1항에 있어서, 공용매는 알코올, 에테르, 알칸, 알켄, 할로알켄, 사이클로알칸, 에스테르, 방향족 및 할로방향족 중 적어도 하나를 포함하는 방법.
  6. 제1항에 있어서, 공용매는 1-메톡시-2-프로판올, 에틸렌 글리콜 다이아세테이트, 1,2-프로판다이올 모노메틸 에테르 아세테이트, 또는 다이프로필렌 글리콜 모노메틸 에테르 중 적어도 하나를 포함하는 방법.
  7. 제1항에 있어서, 플루오르화된 용매는 메틸 노나플루오로부틸 에테르이고, 공용매는 에틸렌 글리콜 다이아세테이트인 방법.
  8. 제1항에 있어서, 조성물은 공비혼합물 또는 공비혼합물-유사 조성물인 방법.
  9. 제1항에 있어서, 조성물은 부식 억제제, 계면활성제, 윤활제, 산, 또는 이들의 조합을 추가로 포함하는 방법.
  10. 제9항에 있어서, 산은 플루오르화수소산 및/또는 질산을 포함하는 방법.
  11. 제9항에 있어서, 계면활성제는 플루오로계면활성제를 포함하는 방법.
  12. 제1항의 방법에 의해 처리된 물품.
  13. 적어도 일부분이 포토레지스트로 코팅된 기판을 제공하는 단계;
    포토레지스트로 코팅된 기판의 적어도 일부분에 이온을 주입하여, 이온 주입 영역 및 이온이 주입된 포토레지스트의 적어도 일부분을 갖는 기판을 얻는 단계;
    이온이 주입된 포토레지스트의 적어도 일부분을 플루오르화된 용매 및 공용매를 포함하는 조성물을 사용하여 제거하는 단계
    를 포함하는 방법.
  14. 제13항에 있어서, 금속 또는 합금을 기판 상에 침착시키는 단계를 추가로 포함하는 방법.
  15. 제14항에 있어서, 금속은 텅스텐을 포함하는 방법.
  16. 제13항에 있어서, 이온 주입 영역 및 이온이 주입된 포토레지스트의 적어도 일부분을 갖는 기판을 적어도 부분적으로 애싱(ashing)하는 단계를 추가로 포함하는 방법.
  17. 제13항에 있어서, 이온은 비소 이온, 붕소 이온, 및 갈륨 이온 중 적어도 하나를 포함하는 방법.
  18. 제13항에 있어서, 이온이 주입된 포토레지스트의 적어도 일부분을 제거한 후에, 절연체 재료 및 금속 상호접속부를 포함하는 상호접속 층을 기판 상에 제공하는 단계를 추가로 포함하는 방법.
  19. 제18항에 있어서, 절연체 재료는 낮은 유전 상수 재료를 포함하는 방법.
  20. 제18항에 있어서, 금속 상호접속부는 구리를 포함하는 방법.
KR1020107004004A 2007-07-25 2008-05-06 플루오르화된 조성물을 사용하여 오염을 제거하는 방법 KR20100053574A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/782,766 2007-07-25
US11/782,766 US20090029274A1 (en) 2007-07-25 2007-07-25 Method for removing contamination with fluorinated compositions

Publications (1)

Publication Number Publication Date
KR20100053574A true KR20100053574A (ko) 2010-05-20

Family

ID=40281693

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107004004A KR20100053574A (ko) 2007-07-25 2008-05-06 플루오르화된 조성물을 사용하여 오염을 제거하는 방법

Country Status (7)

Country Link
US (1) US20090029274A1 (ko)
EP (1) EP2179440A4 (ko)
JP (1) JP2010534358A (ko)
KR (1) KR20100053574A (ko)
CN (1) CN101779275A (ko)
TW (1) TW200913046A (ko)
WO (1) WO2009014791A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101126268B1 (ko) * 2010-09-30 2012-03-20 주식회사 삼한 씨원 표면오염 억제 기능이 있는 황토벽돌 제조방법
KR20170046085A (ko) * 2015-10-20 2017-04-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자 층 증착 방법 및 그에 의한 구조물
KR20170046088A (ko) * 2015-10-20 2017-04-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자층 성막 방법 및 그 구조체
KR20190132615A (ko) * 2015-10-20 2019-11-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자층 증착 방법들 및 그것의 구조물들

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120286192A1 (en) 2011-05-12 2012-11-15 3M Innovative Properties Company Azeotrope-like compositions with 1,1,1,3,3-pentafluorobutane
WO2013074622A1 (en) 2011-11-14 2013-05-23 Orthogonal, Inc. Method for patterning an organic material using a non-fluorinated photoresist
US9104104B2 (en) * 2013-04-24 2015-08-11 Orthogonal, Inc. Method of patterning a device
US10767143B2 (en) * 2014-03-06 2020-09-08 Sage Electrochromics, Inc. Particle removal from electrochromic films using non-aqueous fluids
US10017713B2 (en) * 2014-09-11 2018-07-10 3M Innovative Properties Company Fluorinated surfactant containing compositions
CN104387831B (zh) * 2014-11-27 2017-05-10 孙更生 一种基于聚硅氧烷的注射针涂层用溶剂组合物
CN105199859B (zh) * 2015-09-17 2018-03-27 惠州学院 一种半导体表面清洗剂及其制备方法
CN105238567B (zh) * 2015-10-10 2017-11-17 泉州市福达科技咨询有限公司 一种环保型含氟清洗剂及其制备方法
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10879212B2 (en) * 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
CN108301011B (zh) * 2017-12-25 2020-08-11 博罗县东明化工有限公司 压铸铝合金清洗剂及其制备方法
WO2019208354A1 (ja) * 2018-04-27 2019-10-31 日本ゼオン株式会社 Euvリソグラフィ用ポジ型レジスト組成物およびレジストパターン形成方法
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN113675083B (zh) * 2021-10-25 2021-12-21 江山季丰电子科技有限公司 暴露绝缘体上硅器件有源区的方法、应用和失效分析方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5925611A (en) * 1995-01-20 1999-07-20 Minnesota Mining And Manufacturing Company Cleaning process and composition
US5897809A (en) * 1996-05-30 1999-04-27 E. I. Du Pont De Nemours And Company Decafluoropentane compositions
FR2759090B1 (fr) * 1997-02-04 1999-03-05 Atochem Elf Sa Compositions de nettoyage ou de sechage a base de 1,1,1,2,3,4,4,5,5,5-decafluoropentane
US5861064A (en) * 1997-03-17 1999-01-19 Fsi Int Inc Process for enhanced photoresist removal in conjunction with various methods and chemistries
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
SG77710A1 (en) * 1998-09-09 2001-01-16 Tokuyama Corp Photoresist ashing residue cleaning agent
JP2001100436A (ja) * 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US6755871B2 (en) * 1999-10-15 2004-06-29 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
JP2001196373A (ja) * 2000-01-13 2001-07-19 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6310018B1 (en) * 2000-03-31 2001-10-30 3M Innovative Properties Company Fluorinated solvent compositions containing hydrogen fluoride
JP2001284581A (ja) * 2000-03-31 2001-10-12 Toshiba Corp 半導体装置とその製造方法
KR100540525B1 (ko) * 2000-04-26 2006-01-11 다이킨 고교 가부시키가이샤 세정용 조성물
AU2001278890A1 (en) * 2000-07-10 2002-01-21 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
WO2002019406A1 (fr) * 2000-09-01 2002-03-07 Tokuyama Corporation Solution de nettoyage destinee a l'elimination de residus
JP2003122028A (ja) * 2001-10-17 2003-04-25 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
TWI315301B (en) * 2002-03-06 2009-10-01 Asahi Glass Co Ltd Solvent composition
US6699829B2 (en) * 2002-06-07 2004-03-02 Kyzen Corporation Cleaning compositions containing dichloroethylene and six carbon alkoxy substituted perfluoro compounds
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20040058551A1 (en) * 2002-09-23 2004-03-25 Meagley Robert P. Fluorous cleaning solution for lithographic processing
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
KR100511590B1 (ko) * 2003-01-30 2005-09-02 동부아남반도체 주식회사 반도체 소자 및 그의 제조 방법
US7071154B2 (en) * 2003-12-18 2006-07-04 3M Innovative Properties Company Azeotrope-like compositions and their use
US20050227482A1 (en) * 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US7632756B2 (en) * 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
US8044009B2 (en) * 2005-04-04 2011-10-25 Avantor Performance Materials, Inc. Compositions for cleaning ion implanted photoresist in front end of line applications
JP2008537343A (ja) * 2005-04-15 2008-09-11 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101126268B1 (ko) * 2010-09-30 2012-03-20 주식회사 삼한 씨원 표면오염 억제 기능이 있는 황토벽돌 제조방법
KR20170046085A (ko) * 2015-10-20 2017-04-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자 층 증착 방법 및 그에 의한 구조물
KR20170046088A (ko) * 2015-10-20 2017-04-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자층 성막 방법 및 그 구조체
KR20190132615A (ko) * 2015-10-20 2019-11-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 원자층 증착 방법들 및 그것의 구조물들
US10658488B2 (en) 2015-10-20 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US10854725B2 (en) 2015-10-20 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US10923576B2 (en) 2015-10-20 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof

Also Published As

Publication number Publication date
EP2179440A4 (en) 2011-03-09
JP2010534358A (ja) 2010-11-04
EP2179440A1 (en) 2010-04-28
US20090029274A1 (en) 2009-01-29
WO2009014791A1 (en) 2009-01-29
TW200913046A (en) 2009-03-16
CN101779275A (zh) 2010-07-14

Similar Documents

Publication Publication Date Title
KR20100053574A (ko) 플루오르화된 조성물을 사용하여 오염을 제거하는 방법
TWI274968B (en) Composition for stripping and cleaning and use thereof
US7119052B2 (en) Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
JP5876948B2 (ja) 配線工程操作のために有効な剥離剤溶液
KR20210095845A (ko) 에칭제 용액 및 이를 사용하는 방법
KR101799602B1 (ko) 레지스트 스트리핑 조성물 및 전기 소자의 제조 방법
JP5124447B2 (ja) デバイス基板の洗浄方法
TWI494711B (zh) 光阻剝離組合物及用於製備電子裝置之方法
US20070149430A1 (en) Formulation for removal of photoresist, etch residue and BARC
CN111356759B (zh) 剥离剂溶液和使用剥离剂溶液的方法
US20070054823A1 (en) Removal of post etch residues and copper contamination from low-K dielectrics using supercritical CO2 with diketone additives
JP2007535697A (ja) イオン注入されたフォトレジストを除去するための非フッ化物含有超臨界流体組成物
KR20040104519A (ko) 반도체 기질 세정용 pH 버퍼 조성물
WO2000002238A1 (en) Post etch cleaning composition and process for dual damascene system
JP2007128064A (ja) 残留物を除去するための水性洗浄組成物及びそれを使用する方法
TW200400258A (en) Process and composition for removing residues from the microstructure of an object
KR20070117624A (ko) 기판 공정 적용시의 이온 주입 포토레지스트 세척용 조성물
TWI500760B (zh) 以酸,有機溶劑為主之多用途微電子清潔組合物
JP7322704B2 (ja) コバルト、アルミナ、層間絶縁膜、窒化シリコンのダメージを抑制した組成液及びこれを用いた洗浄方法
US20050137103A1 (en) Stripper for cured negative-tone isoprene-based photoresist and bisbenzocyclobutene coatings
KR20230124487A (ko) 린스액, 기판의 처리 방법, 및 반도체 소자의 제조 방법
Venkataraman Electrochemical studies in fluoride based solutions for semiconductor processing applications
Jung et al. Improved Cleaning Process for Etch Residue Removal in an Advanced Copper/low-k Device without the use of DMAC (dimethylacetamide)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application