KR20100017426A - 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치 - Google Patents

플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20100017426A
KR20100017426A KR1020097024758A KR20097024758A KR20100017426A KR 20100017426 A KR20100017426 A KR 20100017426A KR 1020097024758 A KR1020097024758 A KR 1020097024758A KR 20097024758 A KR20097024758 A KR 20097024758A KR 20100017426 A KR20100017426 A KR 20100017426A
Authority
KR
South Korea
Prior art keywords
plasma
chamber
gas
pretreatment
processing
Prior art date
Application number
KR1020097024758A
Other languages
English (en)
Other versions
KR101477831B1 (ko
Inventor
마사키 사노
슈우이치 이시즈카
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100017426A publication Critical patent/KR20100017426A/ko
Application granted granted Critical
Publication of KR101477831B1 publication Critical patent/KR101477831B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

플라즈마 질화 처리에 있어서 기판에 형성된 산화막의 질화 처리를 행하기에 앞서서 챔버 내의 전처리를 행하는 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법은, 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 챔버 내에 산화 플라즈마를 생성하는 공정(단계 1)과, 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 챔버 내에 질화 플라즈마를 생성하는 공정(단계 2)을 포함한다.

Description

플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치{METHOD FOR PRETREATING INNER SPACE OF CHAMBER IN PLASMA NITRIDATION, PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS}
본 발명은, 예컨대 게이트 절연막의 질화 처리와 같은 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치에 관한 것이다.
최근, LSI의 고집적화, 고속화의 요청 때문에 LSI를 구성하는 반도체 소자의 디자인 룰이 점점 미세화되고 있고, 그에 따라 CM0S 디바이스에 있어서는, 게이트 절연막에서의 SiO2 용량 환산 막두께의 EOT(Equivalent Oxide Thickness)의 저감이 요구되고 있다. 게이트 절연막의 EOT의 저감에는, 산화막에 대하여 질화 처리를 실시하는 것이 유효하고, 그 방법으로서 매엽식의 플라즈마 질화 처리가 알려져 있다(예컨대, 일본 특허 공개 제2000-260767호 공보, 일본 특허 공개 제2000-294550호 공보).
이러한 질화 처리시의 질소 농도의 편차가 생기면, EOT나 Vth 시프트 등의 트랜지스터의 전기 특성의 편차의 요인으로 되어, 반도체 장치의 제조 수율이 저하되기 때문에, 질소 농도의 균일성에 대한 요구는 엄격한 것으로 되고 있어, 반도체 웨이퍼의 면내는 물론, 웨이퍼간의 질화 농도의 편차가 작은 것이 요구된다. 이 때문에, 질화 처리의 조건을 극력 제어하여 반도체 웨어의 면내 및 면간의 균일한 질화 처리를 행하는 것이 시도되고 있다.
그런데, 이러한 매엽식의 플라즈마 질화 처리를 행할 때에는, 파티클 대책이나, 챔버 내의 상태 조절을 위해서, 챔버 내에서 베어 웨이퍼(bare wafer)를 처리하는 경우가 있지만, 그 직후에 산화막을 갖는 실제 웨이퍼를 삽입하여 처리를 행하면 질소 농도가 크게 상승해 버린다. 또한, 산화막의 질화 처리를 행한 후, 장치를 아이들링(idling) 상태로 하여, 다시 질화 처리를 행할 때에는, 최초의 웨이퍼의 질소 농도는 약간 낮아지게 된다.
따라서, 단지 압력이나 온도, 가스 유량비 등의 프로세스 조건을 엄밀하게 제어했다고 하더라도, 웨이퍼간의 질소 농도의 편차를 해소할 수 없는 것이 현재 상태이다.
본 발명의 목적은, 게이트 산화막의 질화와 같은 산화막의 질화 처리에 있어서, 기판간의 질소 농도의 편차를 억제할 수 있는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법을 제공하는 것에 있다.
본 발명의 다른 목적은, 그와 같은 전처리를 포함하는 플라즈마 처리 방법, 및 플라즈마 처리 장치를 제공하는 것에 있다.
본 발명의 제 1 관점에 의하면, 플라즈마 질화 처리에 있어서 기판에 형성된 산화막의 질화 처리를 행하기 앞서서 챔버 내의 전처리를 행하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법으로서, 상기 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법이 제공된다.
상기 제 1 관점에서, 상기 산소를 함유하는 처리 가스는 O2 가스를 포함하고, 상기 질소를 함유하는 처리 가스는 N2 가스를 포함하는 것으로 할 수 있다. 구체적으로는, 상기 산화 플라즈마는, O2 가스, N2 가스 및 희가스로 이루어지는 처리 가스를 플라즈마화함으로써 생성되고, 상기 질화 플라즈마는, N2 가스 및 희가스로 이루어지는 처리 가스를 플라즈마화함으로써 형성되도록 할 수 있다. 또한, 상기 산화 플라즈마를 생성한 후, 상기 질화 플라즈마를 생성하도록 할 수 있다. 또한, 상기 챔버 내의 기판 탑재대에 더미 기판을 탑재한 상태에서 상기 산화 플라즈마 및 질화 플라즈마를 형성하도록 하는 것이 바람직하다. 또한, 상기 산화 플라즈마의 생성 시간보다, 상기 질화 플라즈마의 생성 시간 쪽이 긴 것이 바람직하다.
본 발명의 제 2 관점에 의하면, 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는 전처리를 실시하는 단계와, 그 후, 상기 챔버 내의 기판 탑재대에 산화막을 갖는 피처리 기판을 탑재하고, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 산화막에 플라즈마 질화 처리를 실시하는 단계를 포함하는 플라즈마 처리 방법이 제공된다.
상기 제 2 관점에서, 상기 플라즈마 질화 처리를 실시하는 단계에서, 상기 질소를 함유하는 처리 가스는 N2 가스를 포함하는 것으로 할 수 있다.
또한, 상기 제 2 관점에서, 전처리에 관해서는, 상기 제 1 관점과 마찬가지의 조건을 채용할 수 있다.
본 발명의 제 3 관점에 의하면, 피처리 기판을 수용하는 챔버와, 상기 챔버 내에 처리 가스를 공급하는 처리 가스 공급 기구와, 상기 챔버 내를 배기하는 배기 기구와, 상기 챔버 내에서 플라즈마를 형성하는 플라즈마 형성 기구와, 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는 전처리를 실시하는 단계와, 그 후, 상기 챔버 내의 기판 탑재대에 산화막을 갖는 피처리 기판을 탑재하고, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 산화막에 플라즈마 질화 처리를 실시하는 단계가 행해지도록 제어하는 제어 기구를 구비하는, 플라즈마 처리 장치가 제공된다.
본 발명의 제 4 관점에서는, 컴퓨터 상에서 동작하여, 플라즈마 처리 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은, 실행시에, 플라즈마 질화 처리에 있어서 기판에 형성된 산화막의 질화 처리를 행하기에 앞서서 챔버 내의 전처리를 행하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법으로서, 상기 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법이 행해지도록, 컴퓨터에 상기 플라즈마 처리 장치를 제어시키는 기억 매체가 제공된다.
본 발명의 제 5 관점에서는, 컴퓨터 상에서 동작하여, 플라즈마 처리 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은, 실행시에, 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는 전처리를 실시하는 단계와, 그 후, 상기 챔버 내의 기판 탑재대에 산화막을 갖는 피처리 기판을 탑재하고, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 산화막에 플라즈마 질화 처리를 실시하는 단계를 포함하는 플라즈마 처리 방법이 행해지도록, 컴퓨터에 상기 플라즈마 처리 장치를 제어시키는 기억 매체가 제공된다.
본 발명자들은 상기 목적을 달성하도록 검토를 거듭한 결과, 산화막의 질화 처리에 있어서는, 질화 처리를 반복함으로써, 질소와 치환한 산소가 챔버 내로 배출되어, 약간의 재산화를 포함하는 처리로 되어, 산화막의 질화 농도가 순수한 질화 처리의 경우보다 낮은 질소 농도로 정상 상태로 되지만, 베어 웨이퍼와 같은 산화막이 없는 기판에서는 이러한 산소의 배출이 없기 때문에, 정상 상태보다 질소 농도가 높아지는 것, 및, 산화막의 질화 처리를 행한 후, 장치를 아이들 상태로 함으로써, 처리 용기 내의 잔류물 등의 영향에 의해 질화력이 저하되는 것을 추정하였다. 그리고, 이러한 경우에, 챔버 내에서 산소 함유 가스에 의한 산화 플라즈마를 생성함으로써 챔버 내의 산소 농도를 조정하고, 또한 챔버 내에서 질소 함유 가스에 의한 질화 플라즈마를 생성함으로써 챔버 내의 분위기를 안정화시키고, 챔버 내의 분위기를, 산화막을 질화 처리하고 있는 상태에 가까운 분위기로 함으로써, 기판간에서의 산화막의 질소 농도의 편차를 억제할 수 있는 것을 발견하여, 상기 구성의 본 발명을 완성하기에 이르렀다.
본 발명에 의하면, 플라즈마 질화 처리에 앞서서, 챔버 내에 산화 플라즈마를 생성하는 것과, 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는 전처리를 행함으로써, 챔버 내의 분위기를, 산화막을 질화 처리하고 있는 상태에 가까운 분위기로 할 수 있어, 기판간의 산화막의 질소 농도의 편차를 억제할 수 있다.
또한, 본 발명에 있어서, 산화 플라즈마란 산소를 함유하는 가스를 여기하여 형성된 산화력을 갖는 플라즈마를 말하고, 질화 플라즈마란 질소를 함유하는 가스를 여기하여 형성된 질화력을 갖는 플라즈마를 말한다.
도 1은 본 발명 방법의 실시에 적합한 플라즈마 처리 장치의 일례를 나타내는 개략적인 단면도.
도 2는 평면 안테나 부재의 구조를 나타내는 도면.
도 3은 본 발명에 따른 전처리 방법을 나타내는 모식도.
도 4는 전처리 단계와 플라즈마 질화 처리 단계를 포함하는 플라즈마 처리를 나타내는 흐름도.
도 5는 종래의, 베어 실리콘 웨이퍼를 질화 처리한 후, 바로 산화막 웨이퍼를 질화 처리한 경우와, 산화막 웨이퍼를 처리하여, 진공 유지로 장치 아이들(idle)한 분위기 상태에서, 산화막을 질화 처리한 경우에 있어서의, 산화막 중의 N 농도의 추이를 나타내는 그래프.
도 6은 본 발명의 일실시형태의, 베어 실리콘 웨이퍼를 질화 처리한 후, 및 산화막 웨이퍼를 처리하여, 진공 유지로 장치 아이들한 분위기 상태에서, 산화 플라즈마 및 질화 플라즈마에 의해 전처리를 행하고, 그 후 산화막을 질화 처리한 경우에 있어서의, 산화막 중의 N 농도의 추이를 나타내는 그래프.
도 7은 종래의, 베어 실리콘 웨이퍼를 질화 처리한 후에, 및 산화막 웨이퍼를 처리하여, 진공 유지로 장치 아이들한 분위기 상태에서, 질화 처리를 행할 때에, 질화 처리에 앞서서, 전처리를 행하지 않은 경우, 및 산화 플라즈마를 5초, 7초, 9초 조사하고 다음에 질화 플라즈마를 조사하는 전처리를 행한 경우의 N 농도의 웨이퍼간 편차를 나타내는 도면.
도 8은 본 발명의 일실시형태의, 베어 실리콘 웨이퍼를 질화 처리한 후, 및 산화막 웨이퍼를 처리하여, 진공 유지로 장치 아이들한 분위기 상태에서, 산화 플라즈마를 9초 조사하고 다음에 질화 플라즈마를 105초 조사하는 전처리를 행하고, 그 후 질화 처리를 행한 경우의 N 농도의 추이를 나타내는 그래프.
이하, 도면을 참조하면서, 본 발명의 실시 형태에 대해서 설명한다.
도 1은 본 발명의 질화 처리 장치에 있어서의 챔버 내의 전처리 방법의 적용이 가능한 플라즈마 처리 장치의 일례를 모식적으로 나타내는 단면도이다. 이 플라즈마 처리 장치는, 복수의 슬롯을 갖는 평면 안테나, 특히 RLSA(Radial Line Slot Antenna; 래디얼 라인 슬롯 안테나)로써 처리실 내에 마이크로파를 도입하여 플라즈마를 발생시킴으로써, 고밀도이고 저전자 온도의 마이크로파 플라즈마를 발생시킬 수 있는 RLSA 마이크로파 플라즈마 처리 장치로서 구성되어 있다.
이 플라즈마 처리 장치(100)는 기밀하게 구성되고, 접지된 대략 원통 형상의 챔버(1)를 갖고 있다. 챔버(1)의 바닥벽(1a)의 대략 중앙부에는 원형의 개구부(1O)가 형성되어 있고, 바닥벽(1a)에는 이 개구부(10)와 연통하고, 아래쪽을 향해서 돌출하는 배기실(11)이 마련되어 있다.
챔버(1) 내에는 피처리 기판인 반도체 웨이퍼(이하, 「웨이퍼」라고 기재함) W를 수평으로 지지하기 위한 AlN 등의 세라믹스로 이루어지는 서셉터(2)(탑재대)가 마련되어 있다. 이 서셉터(2)는, 배기실(11)의 바닥부 중앙으로부터 위쪽으로 연 장되는 원통 형상의 AlN 등의 세라믹스로 이루어지는 지지 부재(3)에 의해 지지되어 있다. 서셉터(2)의 외연부에는 웨이퍼 W를 가이드하기 위한 가이드 링(4)이 마련되어 있다. 또한, 서셉터(2)에는 저항 가열형의 히터(5)가 마련되어 있고, 이 히터(5)는 히터 전원(6)으로부터 급전됨으로써 서셉터(2)를 가열하고, 그 열로 피처리체인 웨이퍼 W를 가열한다. 이때, 예컨대 실온부터 800℃까지의 범위에서 처리 온도가 제어가능하게 되어 있다.
서셉터(2)에는, 웨이퍼 W를 지지하여 승강시키기 위한 웨이퍼 지지핀(도시하지 않음)이 서셉터(2)의 표면에 대하여 돌몰(突沒)가능하게 마련되어 있다.
챔버(1)의 내주에는, 석영으로 이루어지는 원통 형상의 라이너(7)가 마련되어 있다. 또한, 서셉터(2)의 외주측에는, 챔버(1) 내를 균일 배기하기 위해서, 다수의 배기 구멍(8a)을 갖는 석영제의 배플 플레이트(8)가 환상으로 마련되고, 이 배플 플레이트(8)는 복수의 지주(9)에 의해 지지되어 있다.
챔버(1)의 측벽에는 환상을 이루는 가스 도입 부재(15)가 마련되어 있고, 균등하게 가스 방사 구멍이 형성되어 있다. 이 가스 도입 부재(15)에는 가스 공급계(16)가 접속되어 있다. 가스 도입 부재는 샤워 형상으로 배치해도 좋다. 이 가스 공급계(16)는 Ar 가스 공급원(17), N2 가스 공급원(18), O2 가스 공급원(19)을 갖고 있고, 이들 가스가 각각 가스 라인(20)을 통해서 가스 도입 부재(15)에 도달하여, 가스 도입 부재(15)의 가스 방사 구멍으로부터 챔버(1) 내에 균일하게 도입된다. 가스 라인(20)의 각각에는, 유량 제어기(21) 및 그 앞뒤에 개폐 밸브(22)가 마련되어 있다.
상기 배기실(11)의 측면에는 배기관(23)이 접속되어 있고, 이 배기관(23)에는 고속 진공 펌프를 포함하는 배기 장치(24)가 접속되어 있다. 그리고, 이 배기 장치(24)를 작동시킴으로써 챔버(1) 내의 가스가, 배기실(11)의 공간(11a) 내로 균일하게 배출되어, 배기관(23)을 통해서 배기된다. 이에 의해 챔버(1) 내를 소정의 진공도, 예컨대 0.133Pa까지 고속으로 감압하는 것이 가능하게 되어 있다.
챔버(1)의 측벽에는, 플라즈마 처리 장치(100)에 인접한 반송실(도시하지 않음)과의 사이에서 웨이퍼 W의 반입출을 행하기 위한 반입출구(25)와, 이 반입출구(25)를 개폐하는 게이트 밸브(26)가 마련되어 있다.
챔버(1)의 상부는 개구부로 되어 있고, 이 개구부의 주연부를 따라서 환상의 지지부(27)가 챔버(1) 내에 돌출하여 마련되어 있다. 이 지지부(27)에, 유전체, 예컨대 석영이나 Al2O3 등의 세라믹스로 이루어지고, 마이크로파를 투과시키는 마이크로파 투과판(28)이 밀봉 부재(29)를 통해서 기밀하게 마련되어 있다. 따라서, 챔버(1) 내는 기밀하게 유지된다.
마이크로파 투과판(28)의 위쪽에는, 서셉터(2)와 대향하도록, 원판 형상의 평면 안테나 부재(31)가 마련되어 있다. 이 평면 안테나 부재(31)는 챔버(1)의 측벽 상단에 걸려서 멈춰져 있다. 평면 안테나 부재(31)는, 예컨대 8인치 크기의 웨이퍼 W에 대응하는 경우에는, 직경이 300~400mm, 두께가 0.1~수mm(예컨대 1mm)인 도전성 재료로 이루어지는 원판이다. 구체적으로는, 예컨대 표면이 은 또는 금 도 금된 구리판 또는 알루미늄판으로 이루어지고, 다수의 마이크로파 방사 구멍(32)(슬롯)이 소정의 패턴으로 관통해서 형성된 구성으로 되어 있다. 이 마이크로파 방사 구멍(32)은, 예컨대 도 2에 나타내는 바와 같이 긴 형상을 이루는 것이 쌍을 이루고, 전형적으로는 쌍을 이루는 마이크로파 방사 구멍(32)끼리가 「T」자 형상으로 배치되고, 이들 쌍이 복수, 동심원 형상으로 배치되어 있다. 마이크로파 방사 구멍(32)의 길이나 배열 간격은, 마이크로파의 파장(λg)에 따라 결정되고, 예컨대 마이크로파 방사 구멍(32)의 간격은, λg/4으로부터 λg로 되도록 배치된다. 또한, 도 2에서는, 동심원 형상으로 형성된 인접하는 마이크로파 방사 구멍(32)끼리의 간격을 Δr로 나타내고 있다. 또한, 마이크로파 방사 구멍(32)은 원형상, 원호 형상 등의 다른 형상이어도 좋다. 또한, 마이크로파 방사 구멍(32)의 배치 형태는 특별히 한정되지 않고, 동심원 형상 외에, 예컨대, 나선 형상, 방사 형상으로 배치할 수도 있다.
이 평면 안테나 부재(31)의 상면에는, 진공보다 큰 1 이상의 유전율을 갖는 예컨대 석영, 폴리테트라플루오로에틸렌, 폴리이미드 등의 수지로 이루어지는 지파재(遲波材)(33)가 마련되어 있다. 이 지파재(33)는, 진공중에서는 마이크로파의 파장이 길어지기 때문에, 마이크로파의 파장을 짧게 하여 플라즈마를 조정하는 기능을 갖고 있다. 또한, 평면 안테나 부재(31)와 마이크로파 투과판(28) 사이, 또한, 지파재(33)와 평면 안테나 부재(31) 사이는, 각각 밀착시켜서 배치할 수 있지만, 이격시켜서 배치해도 좋다.
챔버(1)의 상면에는, 이들 평면 안테나 부재(31) 및 지파재(33)를 덮도록, 예컨대 알루미늄이나 스테인레스강, 구리 등의 금속재로 이루어지는 실드 덮개(34)가 마련되어 있다. 챔버(1)의 상면과 실드 덮개(34)는 밀봉 부재(35)에 의해 밀봉되어 있다. 실드 덮개(34)에는 냉각수 유로(34a)가 형성되어 있고, 거기에 냉각수를 통류시킴으로써, 실드 덮개(34), 지파재(33), 평면 안테나 부재(31), 마이크로파 투과판(28)을 냉각하여, 변형이나 파손을 방지할 수 있도록 되어 있다. 또한, 실드 덮개(34)는 접지되어 있다.
실드 덮개(34)의 상벽의 중앙에는 개구부(36)가 형성되어 있고, 이 개구부에는 도파관(37)이 접속되어 있다. 이 도파관(37)의 단부에는, 매칭 회로(38)를 사이에 두고 마이크로파 발생 장치(39)가 접속되어 있다. 이에 의해, 마이크로파 발생 장치(39)에서 발생한, 예컨대 주파수 2.45GHz의 마이크로파가 도파관(37)을 통해서 상기 평면 안테나 부재(31)로 전파되도록 되어 있다. 또한, 마이크로파의 주파수로서는, 8.35GHz, 1.98GHz 등을 이용할 수도 있다.
도파관(37)은, 상기 실드 덮개(34)의 개구부(36)로부터 위쪽으로 연장해서 나오는 단면 원형상의 동축 도파관(37a)과, 이 동축 도파관(37a)의 상단부에 모드 변환기(40)를 통해서 접속된 수평 방향으로 연장되는 직사각형 도파관(37b)을 갖고 있다. 직사각형 도파관(37b)과 동축 도파관(37a) 사이의 모드 변환기(40)는, 직사각형 도파관(37b) 내를 TE 모드로 전파하는 마이크로파를 TEM 모드로 변환하는 기능을 갖고 있다. 동축 도파관(37a)의 중심에는 내도체(41)가 연장되어 존재하고 있고, 이 내도체(41)의 하단부는 평면 안테나 부재(31)의 중심에 접속 고정되어 있다. 이에 의해, 마이크로파는 동축 도파관(37a)의 내도체(41)를 통해서 평면 안테 나 부재(31)로 균일하게 효율적으로 전파된다.
플라즈마 처리 장치(100)의 각 구성부, 예컨대 히터 전원(6), 유량 제어기(21), 개폐 밸브(22), 배기 장치(24), 게이트 밸브(26), 마이크로파 발생 장치(39) 등은 마이크로세서(컴퓨터)를 구비한 프로세스 제어기(50)에 접속되어 제어되는 구성으로 되어 있다. 또한, 프로세스 제어기(50)에는 온도 센서로서의 열전대(12)도 접속되어 있고, 이 열전대(12)의 신호에 근거하여 히터 전원(6)을 제어한다.
프로세스 제어기(50)에는, 조작자가 플라즈마 처리 장치(100)를 관리하기 위해서 명령의 입력 조작 등을 행하는 키보드나, 플라즈마 처리 장치(100)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어지는 사용자 인터페이스(51)가 접속되어 있다.
또한, 프로세스 제어기(50)에는, 플라즈마 처리 장치(100)에서 실행되는 각종 처리를 프로세스 제어기(50)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라서 플라즈마 처리 장치(10)의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉 처리 레시피가 저장된 기억부(52)가 접속되어 있다. 처리 레시피는 기억부(52) 내의 기억 매체에 기억되어 있다. 기억 매체는, 하드 디스크나 반도체 메모리이어도 좋고, CDROM, DVD, 플래시 메모리 등의 휴대성인 것이어도 좋다. 또한, 다른 장치로부터, 예컨대 전용 회선을 통해서 처리 레시피를 적절히 전송시키도록 해도 좋다.
그리고, 필요에 따라, 사용자 인터페이스(51)로부터의 지시 등에 의해 임의 의 처리 레시피를 기억부(52)로부터 호출하여 프로세스 제어기(50)에 실행시킴으로써, 프로세스 제어기(50)의 제어 하에서, 플라즈마 처리 장치(100)에서의 소망하는 처리가 행해진다.
기억부(52)의 기억 매체에는, 플라즈마 질화 처리 레시피와 전처리 레시피가 저장되어 있다. 플라즈마 질화 처리 레시피는 웨이퍼 W에 형성된 산화막의 플라즈마 질화 처리를 실시하기 위한 것이고, 전처리 레시피는 플라즈마 질화 처리를 행하기 전의 타이밍에서 산화막의 질소 농도 제어를 위한 챔버(1) 내의 분위기를 제어하기 위한 것이다.
다음에, 이와 같이 구성된 플라즈마 처리 장치(100)의 동작에 대해서 설명한다. 플라즈마 처리 장치(100)에 있어서 게이트 절연막 등의 산화막의 플라즈마 질화 처리를 행하는 경우에는, 먼저, 게이트 밸브(26)를 열어서 반입출구(25)로부터 웨이퍼 W를 챔버(1) 내로 반입하여, 서셉터(2) 상에 탑재한다.
그리고, 가스 공급계(16)의 Ar 가스 공급원(17) 및 N2 가스 공급원(18)으로부터, Ar 가스 및 N2 가스를 소정의 유량으로 가스 도입 부재(15)를 통해서 챔버(1) 내로 도입하여, 소정의 처리 압력으로 유지한다. 이때의 조건으로서는, 처리 가스의 유량이, Ar 가스: 100~5000mL/min(sccm), 바람직하게는 1000~3000mL/min(sccm), N2 가스: 10~1000mL/min(sccm), 바람직하게는 10~200mL/min(sccm)의 범위, 챔버내 처리 압력이 6,7~266.7Pa의 범위가 예시된다. 또한, 처리 온도는 100~500℃의 범위가 예시된다.
그리고, 마이크로파 발생 장치(39)로부터의 마이크로파를, 매칭 회로(38)를 거쳐서 도파관(37)으로 유도한다. 마이크로파는 직사각형 도파관(37b), 모드 변환기(40), 및 동축 도파관(37a)을 순차적으로 지나서 평면 안테나 부재(31)에 공급된다. 마이크로파는 직사각형 도파관(37b) 내에서는 TE 모드로 전파하고, 이 TE 모드의 마이크로파는 모드 변환기(40)에서 TEM 모드로 변환되어, 동축 도파관(37a) 내를, 평면 안테나 부재(31)를 향해서 전파되고, 평면 안테나 부재(31)로부터 마이크로파 투과판(28)을 지나서 챔버(1) 내에서의 웨이퍼 W의 위쪽 공간으로 방사된다. 이 조사된 마이크로파에 의해, Ar 가스, N2 가스가 플라즈마화되고, 이 플라즈마에 의해 웨이퍼 W에 형성된 게이트 절연막 등의 산화막에 질화 처리가 실시된다. 이때의 마이크로파의 파워는 500~5000W, 바람직하게는 1000~3000W가 예시된다. 이 플라즈마 질화 처리는 기억부(52)의 기억 매체에 저장되어 있는 플라즈마 질화 처리 레시피에 근거하여 행해진다.
이와 같이 형성된 마이크로파 플라즈마는, 밀도가 대략 1×1010~5×1012/cm3 또는 그 이상, 전자 온도가 0.5~2eV 정도의 고밀도ㆍ저전자 온도 플라즈마이다. 이에 의해, 베이스로의 손상이 작고, 고정밀도의 질화 처리를 행할 수 있다. 특히, 이러한 저손상, 고정밀도의 질화 처리가 요구되는 게이트 절연막의 질화 처리에 유효하다.
그런데, 이러한 산화막의 플라즈마 질화 처리를 행할 때에는, 파티클 대책이나, 챔버 내의 상태 조절을 위해서, 챔버 내에서 산화막이 존재하지 않는 베어 웨 이퍼(아무것도 처리되어 있지 않은 웨이퍼)를 처리하는 경우가 있지만, 그 직후에 산화막을 갖는 실제 웨이퍼(기판)를 삽입하여 질화 처리를 행하면 산화막 중의 질소 농도가 크게 상승해 버린다. 또한, 장치 내에서 산화막의 질화 처리를 행한 후, 장치를 아이들링 상태에서 방치 후, 다시 그 장치 내에서 산화막을 질화 처리한 경우는, 최초의 여러매의 웨이퍼의 산화막 내의 질소 농도가 낮아진다. 이것은, 산화막의 질화 처리를 반복함으로써, 산화막의 질소 농도는 정상 상태(연속해서 질화 처리했을 때에 최초의 웨이퍼로부터 질소 농도가 대략 동일(제품 설명서 범위의 질소 농도)하게 된 상태)로 되지만, 최초의 여러매의 질소 농도의 이상값에 의해, 웨이퍼간(질화 처리한 웨이퍼와 질화 처리한 웨이퍼)의 산화막의 질소 농도의 편차가 커져 버리기 때문이다.
베어 웨이퍼에 의한 처리를 행한 후에 질소 농도가 상승하는 것은, 이하의 이유에 의한다. 즉, 통상의 산화막의 질화 처리에 있어서는, 산화막의 산소가 활성 질소와 치환해 배출되어, 처리 공간에 산소가 존재하기 때문에, 질화 처리의 과정에서 약간의 재산화를 포함하는 처리로 되어 산화막의 질소 농도가 정상 상태의 질화 처리의 경우보다 낮은 질소 농도로 정상 상태로 된다. 이에 반하여, 베어 웨이퍼에서는 산화막이 없기 때문에, 이러한 산소의 배출이 생기지 않아, 최초의 여러매가 정상 상태보다 질소 농도가 높아지는 것에 의한다. 또한, 장치를 아이들링 상태로 함으로써 질소 농도가 저하되는 것은, 처리 용기 내의 분위기가 정상 상태의 질화 처리 분위기(충분한 질소의 래디컬 및 이온이 존재하는 상태)로 되어 있지 않기 때문에, 질화력이 저하되는 것에 의한다.
그래서, 본 실시형태에서는, 로트 개시 전이나 베어 웨이퍼 처리 직후 등의 적절한 타이밍에서, 실제 웨이퍼의 질화 처리에 앞서서, 챔버 내의 분위기를 정상 상태의 질화 처리 분위기의 상태로 조정하는 전처리를 행한다.
구체적으로는, 도 3에 나타내는 바와 같이, 최초로 챔버(1) 내에서 산소를 함유하는 처리 가스에 의한 산화 플라즈마를 생성하고(단계 1), 이에 의해 챔버 내의 산소 농도를 조정하고, 또한 챔버(1) 내에서 질소를 함유하는 처리 가스에 의한 질화 플라즈마를 생성하며(단계 2), 이에 의해 챔버 내의 분위기를 안정화시켜서, 챔버(1) 내를 산화막의 질화 처리를 행하고 있는 상태(정상 상태의 질화 처리 분위기)에 가까운 상태로 조정한다. 즉, 챔버(1) 내에 산화 플라즈마를 생성함으로써, 최초의 여러매의 웨이퍼의 산화막 중의 질소 농도를 저하시킬 수 있고, 한편, 챔버(1) 내에 질화 플라즈마를 생성함으로써, 산화막의 질소 농도를 상승시킬 수 있기 때문에, 이것들을 조합해서 분위기 조정을 행함으로써, 산화막의 질소 농도를 정상 상태의 질소 농도로 조정할 수 있다. 여기서, 산소를 함유하는 처리 가스로서는 02 가스를 포함하는 것, 질소를 함유하는 처리 가스로서는 N2 가스를 포함하는 것을 적합하게 이용할 수 있다.
이하, 이러한 전처리에 대해서 구체적으로 설명한다.
먼저, 게이트 밸브(26)를 열어서 반입출구(25)로부터 더미 웨이퍼를 챔버(1) 내로 반입하여, 서셉터(2) 상에 탑재한다. 이것은 서셉터(2)를 보호하기 위한 것이며, 필수는 아니다.
그리고, 가스 공급계(16)의 Ar 가스 공급원(17), N2 가스 공급원(18) 및 O2 가스 공급원(19)으로부터, 각각 Ar 가스, N2 가스 및 O2 가스를 소정의 유량으로 가스 도입 부재(15)를 통해서 챔버(1) 내로 도입하여 소정의 처리 압력으로 유지하고, 마이크로파 발생 장치(39)로부터의 마이크로파를, 질화 처리시와 마찬가지로, 평면 안테나 부재(31)를 통해서 챔버(1) 내에서의 웨이퍼 W의 위쪽 공간으로 방사하여 산화 플라즈마를 형성한다. 이때의 조건으로서는, 처리 가스의 유량이, Ar 가스: 100~5000mL/min(sccm), 바람직하게는 100~2000mL/min(sccm), N2 가스: 1~100mL/min(sccm), 바람직하게는 1~20mL/min(sccm), O2 가스: 10~1000mL/min(sccm), 바람직하게는 10~200mL/min(sccm)의 범위, 챔버내 처리 압력이 6.7~266.7Pa의 범위라는 조건이 예시된다. 또한, 처리 온도는 100~500℃, 바람직하게는 400~500℃의 범위가 예시된다. 또한, 마이크로파의 파워는 500~3000W(0.25~1.54W/cm2), 바람직하게는 1000~3000W(0.51~1.54W/cm2)가 예시된다. 이 산화 플라즈마를 소정 시간 생성함으로써, 이러한 전처리 전의 챔버(1) 내의 상태에 관계없이, 챔버(1) 내를 소정의 산소 농도로 할 수 있다. 이때의 산화 플라즈마 생성 시간은 1~60초, 바람직하게는 5~10초 정도의 짧은 시간이 좋다. 그 이상 길게 하면, 반대로 산소 분위기가 강해져서, 질화 처리 시간이 길어져 버린다.
다음에, O2 가스 공급원(19)으로부터의 O2 가스의 공급을 정지하고, Ar 가스 공급원(17) 및 N2 가스 공급원(18)으로부터의 Ar 가스 및 N2 가스를 소정의 유량으 로 가스 도입 부재(15)를 통해서 챔버(1) 내에 도입하여 소정의 처리 압력으로 유지하고, 마이크로파 발생 장치(39)로부터의 마이크로파를, 질화 처리시와 마찬가지로, 평면 안테나 부재(31)를 통해서 챔버(1) 내에서의 웨이퍼 W의 위쪽 공간으로 방사하여 질화 플라즈마를 형성한다. 이때의 조건으로서는, 처리 가스의 유량이, Ar 가스: 100~6000mL/min(sccm), 바람직하게는 100~2000mL/min(sccm), N2 가스: 10~1000mL/min(sccm), 바람직하게는 10~200mL/min(sccm)의 범위, 챔버내 처리 압력이 6.7~266.7Pa의 범위가 예시된다. 또한, 처리 온도는 100~500℃, 바람직하게는 400~500℃의 범위가 예시된다. 또한, 마이크로파의 파워는 500~3000W(0.25~1.54W/cm2), 바람직하게는 1000~3000W(0.51~1.54W/cm2)가 예시된다. 이러한 질화 플라즈마를 소정 시간, 예컨대 50~600초, 바람직하게는 100~200초 정도의 기간 생성함으로써, 챔버(1) 내의 분위기를 안정화시킬 수 있다. 이 이상 길어지면 질소 분위기가 강해져서 질소 농도가 높아지는 경향이 있고, 이 이상 짧아지면 산소 분위기가 강해져서 질소 농도가 낮아지는 경향이 있다.
이와 같이 산화 플라즈마의 생성과 질화 플라즈마의 생성에 의해, 챔버(1) 내의 분위기를, 산화막을 연속적으로 질화 처리하고 있을 때와 마찬가지의 상태로 할 수 있다.
이 때문에, 다음에 산화막의 질화 처리를 행할 때에는, 그 전의 챔버(1) 내의 상태에 관계없이(즉 베어 웨이퍼의 처리를 행했는지 또는 장치의 아이들링을 행했는지에 상관없이), 산화막의 질소 농도를 정상 상태와 거의 동일한 값으로 할 수 있다.
이러한 전처리는, 기억부(52)의 기억 매체에 저장되어 있는 전처리 조건 레시피에 근거하여 행해진다. 전처리 조건 레시피는, 미리 최적의 산화 플라즈마 조건 및 질화 플라즈마 조건을 파악해 놓고, 그 조건으로 되도록 설정된다. 전처리 조건 레시피가 종료하면, 본 질화 처리 조건 레시피가 시작된다.
다음에, 상기 전처리와 본 질화 처리를 포함하는 플라즈마 처리의 전체의 흐름에 대해서, 도 4의 흐름도를 참조하여 설명한다.
최초로, 전처리 단계를 실시한다.
전처리 단계에서는, 먼저, 챔버(1) 내에 더미 웨이퍼를 반입하여, 서셉터(2) 상에 탑재한다(단계 11). 이어서, 챔버(1) 내를 진공 흡인하면서 챔버(1) 내에 산소를 함유하는 가스, 예컨대 Ar 가스, N2 가스, O2 가스를 도입하여, 소정의 진공 분위기로 한다(단계 12). 그 후, 챔버(1) 내에 마이크로파를 도입해서 산소를 함유하는 가스를 여기하여, 챔버(1) 내에 산화 플라즈마를 형성한다(단계 13). 이에 의해, 챔버(1) 내에 산소 분위기가 형성된다. 이 산소 분위기를 유지하고 있는 동안, 배기 장치(24)에 의해 챔버(1) 내에서 여분의 산소가 배출된다. 그 후, 챔버(1) 내를 진공 흡인하면서 챔버(1) 내에 질소를 함유하는 가스, 예컨대 Ar 가스, N2 가스를 도입한다(단계 14). 또한, 산화 플라즈마시에, Ar 가스, N2 가스, O2 가스를 이용한 경우에는, O2 가스의 공급을 정지하는 것만으로 Ar 가스 및 N2 가스를 포함하는 분위기를 형성할 수 있다. 그 후, 챔버(1) 내에 마이크로파를 도입해서 질소를 함유하는 가스를 여기하여, 챔버(1) 내에 질화 플라즈마를 형성한다(단계 15). 이에 의해, 챔버(1) 내에 질소 분위기가 형성된다. 이 질소 분위기를 유지하고 있는 동안, 배기 장치(24)에 의해 챔버(1) 내로부터 여분의 질소가 배출된다. 소정 시간 질화 플라즈마를 형성한 후, 챔버(1)로부터 더미 웨이퍼를 반출한다(단계 16). 이상으로 전처리 단계가 종료한다.
다음에, 플라즈마 질화 처리 단계를 실시한다.
플라즈마 질화 처리 단계에서는, 먼저, 챔버(1) 내에 산화막을 갖는 웨이퍼(산화막 웨이퍼)를 반입한다(단계 17). 이어서, 챔버(1) 내를 진공 흡인하면서 챔버(1) 내에 질소를 함유하는 가스, 예컨대 Ar 가스, N2 가스를 도입한다(단계 18). 그 후, 챔버(1) 내에 마이크로파를 도입해서 질소를 함유하는 가스를 여기하여, 챔버(1) 내에 플라즈마를 형성한다(단계 19). 그리고, 이 플라즈마에 의해, 웨이퍼의 산화막에 대하여 플라즈마 질화 처리를 실시한다(단계 20). 이 플라즈마 질화 처리를 행하고 있는 동안, 챔버(1) 내는 항상 배기 장치(24)에 의해 진공으로 된다. 소정 시간 플라즈마 질화 처리를 행한 후, 챔버(1)로부터 산화막 웨이퍼를 반출한다(단계 21). 이상으로 플라즈마 질화 처리 단계가 종료한다.
다음에, 본 발명을 확인한 실험에 대해서 설명한다.
먼저, 도 1의 플라즈마 처리 장치에서, 종래의 방법으로서, 베어 실리콘 웨이퍼를 5장 질화 처리한 후, 바로 질소 농도 측정용의 산화막(SiO2)이 형성된 산화막 웨이퍼 15장을 질화 처리하고, 그 중 1, 3, 5, 10, 15장번째의 질소 농도를 XPS(X-ray Photoelectron Spectroscopy)로써 측정하였다. 이때의 질화 처리 조건은, 챔버내 압력: 20Pa, 가스 유량: AT/N2=500/50(mL/min(sccm)), 마이크로파 파워: 1450W, 온도: 400℃, 시간: 27초로 하였다. 또한, 산화막의 막두께는 6nm로 하였다.
또한, 장치 내에서 산화막 웨이퍼 25장을 질화 처리하고, 진공 유지 상태에서 장치 아이들을 70시간 계속한 후, 상기와 같은 조건으로 산화막 웨이퍼 15장을 질화 처리하고, 그 중 1, 3, 5, 10, 15장번째의 질소(N) 농도를 XPS로써 측정하였다.
그때의 N 농도의 추이를 도 5에 나타낸다. 또한, 이들 질화 처리 후의 N 농도의 평균값, N 농도 변동의 범위, N 농도의 편차를 표 1에 나타낸다. 이것들로부터 명백한 바와 같이, 베어 실리콘 웨이퍼의 질화 처리 후에 있어서는, 1번째장의 N 농도가 매우 높고, 매수가 진행함에 따라서 감소하는 경향으로 되어, N 농도의 면간(웨이퍼간)의 N 농도 변동의 범위는 2.097atm%로 매우 큰 것으로 되었다. 또한, 장치 아이들 후에 대해서는, 1번장째의 웨이퍼의 N 농도가 다소 낮고, 그 후 5장 정도의 처리를 지나서 정상의 N 농도로 되었다. 이때의 N 농도의 면간(웨이퍼간)의 N 농도 변동의 범위(최대값-최소값)은 0.494atm%, 편차(범위/(2×평균값))으로 되어, 역시 허용값보다 큰 값으로 되었다.
Figure 112009073019812-PCT00001
다음에, 베어 실리콘 웨이퍼를 5장 질화 처리한 후, 및 산화막 웨이퍼 25장을 질화 처리하고, 진공 유지 상태에서 장치 아이들을 70시간 계속한 후, 상술한 산화막 웨이퍼의 질화 처리에 앞서서, 각각, 전처리로서 산소 함유 가스에 의한 산화 플라즈마를 5초, 계속해서 질소 함유 가스에 의한 질화 플라즈마를 135초 더 조사하는 처리를 행하였다. 이때에는, 서셉터의 손상을 방지하기 위해서 서셉터 상에 더미 웨이퍼로서 베어 실리콘 웨이퍼를 탑재하였다. 이러한 전처리의 조건은, 챔버내 압력: 20Pa, 마이크로파 파워: 1450W, 온도: 400℃로 하고, 가스 유량을, 산화 플라즈마 생성시에는, Ar/N2/O2=500/50/50(mL/min(sccm))로 하고, 질화 플라즈마시에는 Ar/N2=500/50(mL/min(sccm))으로 하였다. 그 후 전술한 질화 처리 조건으로 질화 처리한 산화막 웨이퍼 15장 중 1, 3, 5, 10, 15번장째의 질소 농도를 XPS로써 측정하였다. 또한, 전처리의 산화 플라즈마는, N2를 넣지 않고서 Ar과 O2 만으로도 좋고, 질화 플라즈마의 조건은 질화 처리 조건과 동일해도 좋다.
그때의 N 농도의 추이를 도 6에 나타낸다. 또한, 이들 질화 처리 후의 N 농도의 평균값, N 농도 변동의 범위, N 농도의 편차를 표 2에 나타낸다. 이것들로부터 명백한 바와 같이, 베어 실리콘 웨이퍼의 질화 처리 후 및 장치 아이들 후 중 어느것이나, N 농도의 추이는 안정되어 있고, N 농도의 면간(웨이퍼간)의 N 농도 변동의 범위는 0.2atm% 미만, 질소 농도의 편차는 1% 이하로 모두 매우 작은 것이 확인되었다. 이에 의해, 산화 플라즈마 및 질화 플라즈마에 의한 전처리의 유효성이 확인되었다.
Figure 112009073019812-PCT00002
다음에, 전처리의 조건을 최적화하기 위해서, 그 조건을 변화시킨 경우의 결과에 대해서 설명한다.
여기서는, 베어 실리콘 웨이퍼를 5장 질화 처리한 후, 및 산화막 웨이퍼 25장을 질화 처리하여, 진공 유지 상태에서 장치 아이들을 70시간 계속하고, 그 후, 전처리를 행하지 않고서 또는 이하에 나타내는 조건으로 전처리를 행한 후, 챔버내 압력: 20Pa, 가스 유량: Ar/N2=500/50(mL/min(sccm)), 마이크로파 파워: 1450W, 온도: 400℃, 시간: 27초의 플라즈마 조건으로 15장의 산화막 웨이퍼를 질화 처리하고, 그 중 1, 3, 5, 10, 15번장째의 질소 농도를 XPS로써 측정하여, 질소 농도의 편차(질소 농도 변동의 범위/2×평균값)를 구하였다. 또한, 여기서는, 질소 농도의 목표값을 13atm%으로 하였다. 그 결과를 도 7에 나타낸다. 도 7은 가로축에 전처리시의 질화 플라즈마의 질화 시간을 취하고, 세로축에 질소 농도의 편차를 취한 것으로, 전처리를 행하지 않은 경우, 전처리에 있어서 산화 플라즈마를 5초 조사한 경우, 산화 플라즈마를 7초 조사한 경우, 산화 플라즈마를 9초 조사한 경우를 나타낸다. 전처리의 조건은, 챔버내 압력: 20Pa, 마이크로파 파워: 1450W, 온도: 400℃로 하고, 가스 유량을, 산화 플라즈마 생성시에는, Ar/N2/O2=500/50/10(mL/min(sccm))으로 하고, 질화 플라즈마시에는, Ar/N2=500/50(mL/min(sccm))으로 하였다. 또한, 더미 웨이퍼로서는, 질화 처리를 50회 이상 반복한 베어 실리콘 웨이퍼를 이용하였다.
이 도면으로부터, 본 실험의 범위 내에서는 산화 플라즈마를 9초 조사한 후, 질화 플라즈마를 105초 조사하는 조건이 가장 질소 농도의 면간 편차가 작은 것이 확인되었다. 이때의 질소 농도의 추이를 도 8에 나타낸다. 이 도면에 나타내는 바와 같이 질소 농도의 변동이 매우 작고, 특히, 베어 실리콘 웨이퍼 처리 후의 질소 농도의 편차(질소 농도 변동의 범위/(2×평균치))는, 0.31%로 양호한 결과였다.
또한, 질소 농도의 편차의 허용 범위는 최대로도 ±2% 이내이며, 그 때문에 전처리 조건은 N2/O2:0.5~10, 바람직하게는 1~5의 범위, 산화 플라즈마에서의 처리 시간: 3~120초, 바람직하게는 5~120초, 질화 플라즈마에서의 처리 시간: 50~300초가 바람직한 범위로서 예시된다. 또한, 산화 플라즈마 처리 시간보다 질화 플라즈마 처리 시간이 긴 쪽이 보다 바람직하다. 또한, 질소 농도의 편차의 보다 바람직한 범위는 ±1% 이내이며, 그 때문에 전처리 조건은, N2/O2:0.5~10, 바람직하게는 1~5의 범위, 산화 플라즈마에서의 처리 시간: 5~10초, 바람직하게는 7~10초, 질화 플라즈마에서의 처리 시간: 90~150초, 바람직하게는 90~120초가 바람직한 범위로서 예시된다. 단, 전처리의 최적 조건은, 산화막의 막두께나 질화 처리의 조건에서 변동하기 때문에, 이들 조건에 따라서 미리 조건의 최적화를 행하여 전처리 레시피를 작성해 두는 것이 바람직하다. 또한, 상기 실험에서는 질소 농도 13atm%로 했지만, 적어도 5~30atm%의 범위에서 마찬가지의 효과를 얻을 수 있다.
또한, 본 발명은 상기 실시형태에 한정되지 않고, 여러 가지의 변형이 가능하다. 예컨대, 상기 실시형태에서는, 본 발명의 방법을 실시하는 장치로서 RLSA 방식의 플라즈마 처리 장치를 예로 들었지만, 이것에 한정되는 것은 아니다. 그러나, 본 발명은 상기 실시형태의 RLSA 방식이나, 유도 결합형 플라즈마(ICP)와 같은 안테나를 이용하는 플라즈마원을 갖는 플라즈마 처리 장치를 이용하는 경우에 특히 효과가 높다. 본 발명에 적용가능한 다른 플라즈마 방식으로서는, 예컨대 리모트 플라즈마 방식, ECR 플라즈마 방식, 표면 반사파 플라즈마 방식, 마그네트론 플라즈마 방식 등이 예시된다.
또한, 상기 실시형태에서는 게이트 절연막의 플라즈마 질화 처리를 예시했지만, 이것에 한정되지 않고, 예컨대, 플래시 메모리의 컨트롤 게이트와 플로팅 게이트 사이의 유전체막의 질화 처리 등, 다른 질화 처리에도 적용가능하다. 또한, 실리콘 산화막의 질화에 한정되지 않고, 산화 하프늄막이나 하프늄 실리케이트막과 같은 고유전체 산화막 등의 다른 산화막의 질화 처리에도 적용가능하다.
또한, 상기 실시형태에서는, 산화 플라즈마를 형성할 때에, O2 가스를 도입했지만, O2 가스에 한정되지 않고, N2O, NO, NO2 등의 다른 산소 함유 가스를 이용할 수 있다. 또한, 질화 플라즈마를 형성할 때에, N2 가스를 도입했지만, N2 가스에 한정되지 않고, NH3, MMH 등의 다른 질소 함유 가스를 이용할 수 있다.
본 발명은 각종 반도체 장치의 제조에 있어서, 게이트 절연막 등의 산화막의 질화 처리에 적합하다.

Claims (16)

  1. 플라즈마 질화 처리에 있어서 기판에 형성된 산화막의 질화 처리를 행하기에 앞서서 챔버 내의 전처리를 행하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법으로서,
    상기 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과,
    상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것
    을 포함하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법.
  2. 제 1 항에 있어서,
    상기 산소를 함유하는 처리 가스는 O2 가스를 포함하고, 상기 질소를 함유하는 처리 가스는 N2 가스를 포함하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법.
  3. 제 1 항에 있어서,
    상기 산화 플라즈마는, O2 가스, N2 가스 및 희가스로 이루어지는 처리 가스를 플라즈마화함으로써 생성되고, 상기 질화 플라즈마는, N2 가스 및 희가스로 이루어지는 처리 가스를 플라즈마화함으로써 형성되는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법.
  4. 제 1 항에 있어서,
    상기 산화 플라즈마를 생성한 후, 상기 질화 플라즈마를 생성하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법.
  5. 제 1 항에 있어서,
    상기 챔버 내의 기판 탑재대에 더미 기판을 탑재한 상태에서 상기 산화 플라즈마 및 질화 플라즈마를 형성하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법.
  6. 제 1 항에 있어서,
    상기 산화 플라즈마의 생성 시간보다, 상기 질화 플라즈마의 생성 시간 쪽이 긴, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법.
  7. 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는 전처리를 실시하는 단계와,
    그 후, 상기 챔버 내의 기판 탑재대에 산화막을 갖는 피처리 기판을 탑재하고, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 산화막에 플라즈마 질화 처리를 실시하는 단계
    를 포함하는 플라즈마 처리 방법.
  8. 제 7 항에 있어서,
    상기 전처리를 실시하는 단계에서, 상기 산소를 함유하는 처리 가스는 02 가스를 포함하고, 상기 질소를 함유하는 처리 가스는 N2 가스를 포함하는, 플라즈마 처리 방법.
  9. 제 7 항에 있어서,
    상기 전처리를 실시하는 단계에서, 상기 산화 플라즈마는, O2 가스, N2 가스 및 희가스로 이루어지는 처리 가스를 플라즈마화함으로써 형성되고, 상기 질화 플라즈마는, N2 가스 및 희가스로 이루어지는 처리 가스를 플라즈마화함으로써 생성되는, 플라즈마 처리 방법.
  10. 제 7 항에 있어서,
    상기 전처리를 실시하는 단계는, 상기 산화 플라즈마를 생성한 후, 상기 질화 플라즈마를 생성하는, 플라즈마 처리 방법.
  11. 제 7 항에 있어서,
    상기 플라즈마 질화 처리를 실시하는 단계에서, 상기 질소를 함유하는 처리 가스는 N2 가스를 포함하는, 플라즈마 처리 방법.
  12. 제 7 항에 있어서,
    상기 전처리를 실시하는 단계는, 상기 챔버 내의 기판 탑재대에 더미 기판을 탑재한 상태에서 상기 산화 플라즈마 및 질화 플라즈마를 형성하는, 플라즈마 처리 방법.
  13. 제 7 항에 있어서,
    상기 산화 플라즈마의 생성 시간보다, 상기 질화 플라즈마의 생성 시간 쪽이 긴, 플라즈마 처리 방법.
  14. 피처리 기판을 수용하는 챔버와,
    상기 챔버 내에 처리 가스를 공급하는 처리 가스 공급 기구와,
    상기 챔버 내를 배기하는 배기 기구와,
    상기 챔버 내에서 플라즈마를 생성하는 플라즈마 생성 기구와,
    챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는 전처리를 실시하는 단계와, 그 후, 상기 챔버 내의 기판 탑재대에 산화막을 갖는 피처리 기판을 탑재하고, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 산화막에 플라즈마 질화 처리를 실시하는 단계가 행 해지도록 제어하는 제어 기구
    를 구비하는, 플라즈마 처리 장치.
  15. 컴퓨터 상에서 동작하여, 플라즈마 처리 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은, 실행시에, 플라즈마 질화 처리에 있어서 기판에 형성된 산화막의 질화 처리를 행하기에 앞서서 챔버 내의 전처리를 행하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법으로서,
    상기 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과,
    상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것
    을 포함하는, 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법이 행해지도록, 컴퓨터에 상기 플라즈마 처리 장치를 제어시키는
    기억 매체.
  16. 컴퓨터 상에서 동작하여, 플라즈마 처리 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은, 실행시에, 챔버 내에 산소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 산화 플라즈마를 생성하는 것과, 상 기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 챔버 내에 질화 플라즈마를 생성하는 것을 포함하는 전처리를 실시하는 단계와,
    그 후, 상기 챔버 내의 기판 탑재대에 산화막을 갖는 피처리 기판을 탑재하고, 상기 챔버 내에 질소를 함유하는 처리 가스를 공급하여, 플라즈마화해서, 상기 산화막에 플라즈마 질화 처리를 실시하는 단계
    를 포함하는 플라즈마 처리 방법이 행해지도록, 컴퓨터에 상기 플라즈마 처리 장치를 제어시키는
    기억 매체.
KR1020097024758A 2007-05-29 2008-05-27 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치 KR101477831B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2007-141423 2007-05-29
JP2007141423 2007-05-29

Publications (2)

Publication Number Publication Date
KR20100017426A true KR20100017426A (ko) 2010-02-16
KR101477831B1 KR101477831B1 (ko) 2014-12-30

Family

ID=40075045

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097024758A KR101477831B1 (ko) 2007-05-29 2008-05-27 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치

Country Status (6)

Country Link
US (1) US20100239781A1 (ko)
JP (1) JP5390379B2 (ko)
KR (1) KR101477831B1 (ko)
CN (1) CN101681836B (ko)
TW (1) TW200913071A (ko)
WO (1) WO2008146805A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160122075A (ko) * 2015-04-13 2016-10-21 램 리써치 코포레이션 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염을 감소시키기 위한 시스템들 및 방법들

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130018823A (ko) * 2010-03-31 2013-02-25 도쿄엘렉트론가부시키가이샤 플라즈마 질화 처리 방법
JP2012216632A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理方法、及び素子分離方法
JP5918574B2 (ja) * 2012-03-08 2016-05-18 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6022785B2 (ja) * 2012-03-26 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
WO2015199111A1 (ja) 2014-06-25 2015-12-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102608048B1 (ko) * 2015-06-05 2023-11-30 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버
WO2019053925A1 (ja) * 2017-09-12 2019-03-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
CN110752147B (zh) * 2019-10-30 2021-11-26 上海华力微电子有限公司 基底的氮化处理方法
US20230073011A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Shutter disk for physical vapor deposition (pvd) chamber

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JP3428525B2 (ja) * 1998-12-28 2003-07-22 日本ビクター株式会社 記録情報再生装置
US6841008B1 (en) * 2000-07-17 2005-01-11 Cypress Semiconductor Corporation Method for cleaning plasma etch chamber structures
US7207339B2 (en) * 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
JP4680066B2 (ja) * 2004-01-28 2011-05-11 東京エレクトロン株式会社 基板処理装置の処理室清浄化方法、基板処理装置、および基板処理方法
KR100956466B1 (ko) * 2004-03-03 2010-05-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 컴퓨터 기억 매체
JP4720266B2 (ja) * 2005-04-08 2011-07-13 東京エレクトロン株式会社 成膜方法、成膜装置及びコンピュータプログラム
JP2006339253A (ja) * 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4361078B2 (ja) * 2006-11-20 2009-11-11 東京エレクトロン株式会社 絶縁膜の形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160122075A (ko) * 2015-04-13 2016-10-21 램 리써치 코포레이션 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염을 감소시키기 위한 시스템들 및 방법들

Also Published As

Publication number Publication date
WO2008146805A1 (ja) 2008-12-04
US20100239781A1 (en) 2010-09-23
JP5390379B2 (ja) 2014-01-15
CN101681836A (zh) 2010-03-24
KR101477831B1 (ko) 2014-12-30
TW200913071A (en) 2009-03-16
CN101681836B (zh) 2011-11-16
JPWO2008146805A1 (ja) 2010-08-19

Similar Documents

Publication Publication Date Title
KR101477831B1 (ko) 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치
KR100978966B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR101020334B1 (ko) 마이크로파 플라즈마 처리 장치
KR101122347B1 (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
KR100966927B1 (ko) 절연막의 제조 방법 및 반도체 장치의 제조 방법
KR101028625B1 (ko) 기판의 질화 처리 방법 및 절연막의 형성 방법
JP2007042951A (ja) プラズマ処理装置
KR101257985B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20090094033A (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
KR100936550B1 (ko) 석영제부재의 표면 처리 방법, 플라즈마 처리 장치 및 플라즈마 처리 방법
KR101063102B1 (ko) 실리콘 산화막의 형성 방법 및 형성 장치
KR101270875B1 (ko) 절연막의 개질 방법
KR101140694B1 (ko) 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
WO2011007745A1 (ja) マイクロ波プラズマ処理装置およびマイクロ波プラズマ処理方法
JP2010238739A (ja) プラズマ処理方法
JP2011029250A (ja) マイクロ波プラズマ処理装置およびマイクロ波プラズマ処理方法
KR101123538B1 (ko) 석영제부재
TW201214565A (en) Nitriding treatment method and nitriding treatment device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 6