KR20090068204A - 플라즈마에 의한 기판 식각 방법 및 장치 - Google Patents

플라즈마에 의한 기판 식각 방법 및 장치 Download PDF

Info

Publication number
KR20090068204A
KR20090068204A KR1020097002703A KR20097002703A KR20090068204A KR 20090068204 A KR20090068204 A KR 20090068204A KR 1020097002703 A KR1020097002703 A KR 1020097002703A KR 20097002703 A KR20097002703 A KR 20097002703A KR 20090068204 A KR20090068204 A KR 20090068204A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
active agent
etching
bias voltage
Prior art date
Application number
KR1020097002703A
Other languages
English (en)
Inventor
윌헬머스 마티즈스 마리 케셀스
마우리티우스 코넬리스 마리아 반 데 산덴
미시엘 알렉산터 블라우우
프레디 루즈붐
Original Assignee
테크니쉐 유니버시테이트 아인트호벤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 테크니쉐 유니버시테이트 아인트호벤 filed Critical 테크니쉐 유니버시테이트 아인트호벤
Publication of KR20090068204A publication Critical patent/KR20090068204A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32055Arc discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마에 의한 기판 식각 방법 및 장치에 있어서, 상기 플라즈마는 플라즈마 소스의 음극과 양극 사이의 실질적(substantially) 저-대기 압력(sub-atmospheric pressure)에서 생성 및 가속되며, 상기 플라즈마 소스는 상기 음극과 양극 사이에 위치한 적어도 하나의 전도성 층계형 플레이트(conductive cascaded plate)로 구성된 시스템 채널 내에 위치한다. 상기 플라즈마는 상기 플라즈마 소스로부터 처리 챔버(treatment chamber)로 릴리스되며(released), 상기 처리 챔버 내의 기판은 상기 플라즈마에 노출된다. 상기 처리 챔버는 진공 수준의 압력으로 감소되어 유지된다. 상기 노출 동안 교류 바이어스 전압이 상기 기판 및 상기 플라즈마 사이에 인가된다.
플라즈마, 기판, 식각

Description

플라즈마에 의한 기판 식각 방법 및 장치{METHOD AND DEVICE FOR ETCHING A SUBSTRATE BY MEANS OF A PLASMA}
본 발명은 플라즈마에 의한 기판 식각 방법에 관한 것으로, 플라즈마가 플라즈마 소스에 의해 생성되고, 상기 플라즈마에 의해 기판이 식각되는 방법에 관한 것이다.
물리학 및 화학에서, 플라즈마(Plasma)는 일반적으로 이온화된 가스(ionized gas)로서, 고체, 액체, 및 가스와 구별되는 물질의 상태를 말한다. "이온화(Ionized)"는 가스의 원자 또는 분자의 균형 상태에서 적어도 하나의 전자가 분리되는 것을 말한다. 자유 전하는 플라즈마를 전기적으로 동작하게 하여 전자기장에 강하게 반응한다. 또한, 자유 전하는 플라즈마를 화학적으로 매우 민감하게 만든다. 따라서, 기판에 반응이 이루어지지 않도록 하거나 반응 비율을 낮출 수 있는 특정 처리를 한다. 그에 따라, 실질적으로 불가능하거나 또는 매우 낮은 리액션 비율(reaction rate)인 플라즈마 처리가 기판상에 이루어질 수 있다. 오늘날의 반도체 기술 및 그 관련되는 분야의 주요 플라즈마 처리 방법에 의하면, 반응성 플라즈마를 도입함으로써, 화합물이 증착되어 기판의 표면에 매우 높은 수준의 정밀도, 디테일, 및 제어를 제공하여 산화(oxidized), 식각(etched), 조직 화(textured), 또는 변형할 수 있다.
종래의 방법은 RF 플라즈마를 사용한다. 일반적으로, 용량 결합 RF 플라즈마(Capacitively coupled RF plasmas) 및 유도 결합 RF 플라즈마(inductively coupled RF plasmas)의, 두 가지 RF 플라즈마 구성 방법이 있다. 용량 결합 플라즈마 시스템은 전력이 플라즈마에 결합되는 시스템이다. 도 1A는 종래기술에 따른 시스템의 구성을 설명하기 위한 도면이다. 하나는 접지이고 나머지 하나는 RF 파워 소스에 의해 인가되는 평면 전극의 사이에 플라즈마가 형성된다. 한편, 유도 결합 RF 플라즈마 시스템에서는 코일이 유전체 윈도우(electric window)를 통해 RF 파워와 결합된다. 도 1B는 플랫 코일(flat coil)을 구비한 유도 결합 플라즈마 시스템을 도시한 도면이다. 상기 양쪽의 시스템 구성에서의 처리 압력은 오픈(open)의 구성에 따라 플라즈마 소스 압력에 미달되거나 초과한다. 일반적인 플라즈마 시스템의 동작 환경 및 플라즈마 파라미터는 다음의 표 1과 같다.
Figure 112009008137923-PCT00001
반도체 장치는 사용시에 지속적으로 디멘젼(dimension)이 감소하므로, 지속적으로 정확도가 증가하여야 한다. 현재의 인쇄 기술(lithographic techniques)은 극 초미세(far sub-micron) 범주에 해당하며, 반도체 처리 과정에 사용되는 다른 기술(techniques)은 다음과 같다. 식각(etching)은 중요한 요소이다. 특히, 높은 패킹(packing) 밀도를 얻기 위해 기판의 트랜치(trench) 및 다른 틈(recesses)이 가파르게(steep) 식각되어야 하고, 보다 바람직하게는 보다 높은 정밀도를 위해 수직 벽의 형태이어야 하며, 웨이스트(waist) 측으로 표면의 최소 부분으로 식각되어야 한다. 이를 위하여, 식각 기술로서 습식 식각(wet etching)과 같은, 고 이방성(anisotropic) 또는 등방성(isotropic) 식각 기술이 필요하다. 상기와 같은 일반적인 플라즈마 기술은 특징 값(feature size)을 감소시키기 위한 수축된 이방성 벽(barrier)을 제공한다. 일반적인 플라즈마 기술은 상대적으로 이온화도(ionization degree) 및 유동(flux)이 부족한 문제가 있어서 상대적으로 처리율(process rate)이 낮으므로 비용상의 단점이 있다.
본 발명은 상기와 같은 종래 기술을 개선하기 위해 안출된 것으로서, 플라즈마 수단에 의해 기판을 국부적으로(localized) 식각하는 방법 및 장치를 제공하고자 한다.
또한, 본 발명은 주요 플라즈마 밀도에 의해 종래의 플라즈마 기술보다 보다 향상되며, 종횡성(aspect ratio) 및 처리율(process rates) 등에서보다 향상된 정확성 및 제어성을 제공하고자 한다.
상기와 같은 목적을 달성하기 위하여, 본 발명의 일례에 따른 플라즈마에 의한 기판 식각 방법은, 플라즈마 소스의 음극과 양극 사이의 실질적(substantially) 저-대기 압력(sub-atmospheric pressure)에서 플라즈마가 생성 및 가속되고, 상기 플라즈마 소스는 상기 음극과 양극 사이의 적어도 하나의 전도성 층계형 플레이트(conductive cascaded plate)로 구성된 적어도 하나의 시스템 채널 내에 위치하며, 상기 플라즈마는 수축된 통로 개구부(constricted passage opening)를 통하여 적어도 하나의 플라즈마 소스로부터 처리 챔버(treatment chamber) 측으로 릴리스되고(released), 상기 처리 챔버 내에서 기판(substrate)이 상기 플라즈마에 의해 식각 에이전트(etching agent)로 노출되고, 상기 처리 챔버는 진공 수준의 압력(near vacuum pressure)으로 감소되어 유지되며, 음의(negative) 교류 바이어스 전압(alternating bias voltage)이 상기 노출 동안 상기 기판 및 상기 플라즈마 사이에 인가된다.
본 발명의 일례에 따르면 플라즈마는, 적어도 하나의 층계형 플레이트(conductive cascaded plate) 시스템에 의한 음극(cathode) 및 양극(anode)의 사이에 형성되는(drawn)되는 층계형 아크(cascaded arc)에 의해 생성된다. 직류 전류는 상기 음극 및 양극 사이에 인가된다. 상기 생성된 플라즈마는 플라즈마 소스(plasma source)로부터 기판으로 유입된다. 상기 층계형 아크의 중심의 압력은 매우 효과적으로 플라즈마를 생성할 수 있는, 상대적으로 높은 저-대기 압력(sub-atmospheric pressure)이다. 이온화도(Ionization degree)는 5 내지 10 % 에 이를 수 있다. 이는 고밀도로서, 고 이온화된 플라즈마(ionized plasma)가 처리 챔버(treatment chamber)에 주입되어 기판 상에 퍼진다. 플라즈마의 확산(expanding) 속도는 매우 빠르므로, 거의 모든 식각 과정에 필요한 압력이 진공에 가까운 프로세스 압력에 도달하는 동안 이온화도는 동결된다. 본 발명의 일례에 따른 방법에서 사용되는 플라즈마 소스(Plasma source)의 특성은 다음의 표 2에서와 같다.
Figure 112009008137923-PCT00002
발명자는 보다 중요한 파라미터가 전자 온도(Electron temperature) 파라미터라는 것을 인지하고 있다. 본 발명의 일례에 따른 특정 플라즈마 소스의 사용으로부터 생성되는 적절한 플라즈마의 전자 온도는 정확하고 상대적으로 제어하기 쉬운 이온(ion) 및 라디칼(radical) 운동(kinetics)을 가능하게 한다. 따라서, 이온/라디칼 에너지 및 방향(direction)과 같은, 기판 표면의 운동(kinetic) 플라즈마 특성은 적절한 바이어스 전압(bias voltage)의 인가에 의해 정확하게 조절(tailored)할 수 있다. 이는, 특히 기판 틈(recess)의 이방성(anisotropic) 국소 에칭에 유용하게 사용될 수 있다.
예를 들어, 이방성(anisotropic) 플라즈마 식각을 위해서는 기판 측으로의 수직(perpendicular) 이온 충격(ion bombardment)이 필요하다. 이는, 기판에 플라즈마에 비해 상대적으로 음의 바이어스 전위(negative bias potential)를 인가하여 발생시킬 수 있다. 상기와 같은 음의 바이어스 전위는 기판 측으로의 양으로 전하된 이온(positive charged ions)의 가속이 이루어지도록 한다. 교류 전위(alternating potential)는 전위의 부호에 따라서 전자를 끌어당기는 기판 또는 이온을 끌어당기는 기판에 인가된다. 전자는 상대적으로 무겁고 느린 이온에 비해 가벼워서 빠르게 이동하므로, 고주파수(MHZ)에서 교류 전위는, 기판으로의 전자의 시간 평균 유동(flux)이 이온의 시간 평균(time average)과 같아야 하는 시간 평균(time average)으로, 기판에 음의 전위(negative potential)을 생성한다. 따라서, 플라즈마 덮게 층(sheath layer)은 플라즈마 음으로 바이어스된(biassed) 기판의 사이에 형성된다. 덮게 층으로 유입되는 이온은, 이온 충격에 의해 야기되는 음의 바이어스된 기판 측으로 가속된다.
그럼에도, 교류 바이어스 신호의 시간 평균 전류(time average current)는 적어도, 네트(net) 전류가 기판을 통해 형성되지 않는 실질적으로 0(zero)이므로, 상기 기판에 이미 제공된 전기적 또는 기계적 특징에 해를 끼치지 않는다. 바이어스 전압은 적합한 소스를 사용하여 적합한 형태로 외부로부터(externally) 유기 된다(induced). 본 발명의 일례에 따르면 데미지로부터 기판을 보호하기 위하여, 상기 바이어스 전압의 적용에 의해, 상기 기판 및 접지 전위(ground potential) 간의 커패시터에 의해, 적어도 상기 교류 바이어스 전압이 인가된 직후 상기 기판은 직류 전류(direct electrical current)와 격리된다(isolated). 상기와 같은 격리는 기판을 통해 유기되어(drawn), 이미 상기 기판에 구성된 정밀 구조에 해를 끼치는 직류 전류를 막기 위한 것이다. 또한, 용량 결합 기판(capacity coupled substrate)은 바이어스 전압의 정밀 조정이 가능하다. 네트 전류(net current)가 유지되는 것은 좋지 않으므로, 직접적으로 바이어스 전압이 상대적으로 빠른 전자 및 상대적으로 느린 플라즈마의 엄격하게 제어 및 조절되는 이온/라디칼(ion/radical) 간의 이동성의 차이를 발생시킨다. 또한, 부전도성(nonconducting)의 기판의 의도하지 않은 충전은, 상기 후자에 의해 형성되는 전하 레벨 때문에 상기 기판에 결합되는 커패시터에 의해, 예방할 수 있다.
본 발명의 일례에 따르면 진동 바이어스 전압(oscillating bias voltage)이 상기 기판 및 상기 플라즈마 사이에 인가될 수 있다. 고주파수에서는 시간 평균 필드(time averaged field)에 가까운 이온 에너지를 생성하기 위하여, 이온이 덮게 층(sheath layer)을 가로지르기 위한 진동 구간(oscillation periods)이 필요하다. 상대적으로 낮은 라디오 주파수에서는 이온이 덮게 층을 통과하는 시간이 진동 구간에 비하여 짧다. 따라서, 이온의 최종 에너지는 이온이 상기 덮게 층에 들어가는 시간에 따라서 변화한다. 덮게 층의 전압이 높을 때 덮게 층으로 들어가는 이온은 상기 덮게 층의 전압이 낮을 때 덮게 층으로 들어가는 이온보다 고 이득 에너지(high gain energy)이다. 도 2의 우측은, 그에 따른 넓은 더블 피크(double-peaked) 이온 에너지 분산 함수(Ion Energy Distribution Function: IEDF)를 도시하고 있고, 도 2의 좌측은 인가되는 바이어스 전위(V)를 도시하고 있다.
덮게 층을 가로지르는데 이온에게 필요한 시간을 통과 시간(transit time)이라고 한다. 상기 통과 시간은 다음의 수학식 1에 의해 계산할 수 있다.
Figure 112009008137923-PCT00003
이때, s는 시간 평균 덮게 두께(time averaged sheath thickness),
Figure 112009008137923-PCT00004
은 이온 중량, Vs는 덮게 층에서의 평균 전위 강하(average potential drop)이다.
도 2의 Vdc는 바이어스의 진동(oscillations) 중의 플라즈마 및 기판 전위의 평균을 나타낸다. 넓은 더블 피크(double-peaked) 영역은
Figure 112009008137923-PCT00005
로 정의 될 수 있고, 반대로
Figure 112009008137923-PCT00006
일 때 이온 에너지 분산 함수(IEDF)는 좁아질 수 있으며, 이때
Figure 112009008137923-PCT00007
는 바이어스 사이클(cycles)의 주기 길이를 나타낸다.
상대적으로 좁은 이온 에너지 분산 함수(IEDF)를 얻기 위하여, 본 발명의 일례에 따르면 100 kHz 에서 100 MHZ 사이의 주파수 및 최대 500 V의 진폭을 갖는 고주파 교류 바이어스 전압이 인가되며, 특히 10 V 에서 250 V 사이의 진폭을 갖는다. 덮게 층(sheath layer)의 두께는 일반적으로 플라즈마의 원하는 동작 방향을 얻기에 충분히 작은, 수십 밀리미터에서 수 밀리미터의 두께를 가진다.
도 2에 도시된 바와 같이, 진동(oscillating)하는 바이어스 전압에 의해 유기되는 이온 에너지 분산 함수(IEDF)는 완벽한 싱글 피크(single peaked)가 아니다. 주파수에 따라서 좁거나 또는 보다 넓은 더블 피크(double-peaked) 이온 에너 지 분산 함수(IEDF)가 인가된다. 이온 에너지 분산 함수(IEDF)는 매우 높은 고주파에서만 싱글 피크(single peaked)에 가깝게 된다. 본 발명의 일례에서는 고밀도(high-density) 플라즈마로서 확산 열 플라즈마(expanding thermal plasma)가 사용되고, 싱글 피크(single peaked)에 가까운 이온 에너지 분산 함수(IEDF)를 얻기 위한 주파수는 불가능하다고 할 수 있을 정도인 30HMz 이상이다. 이와 같은 문제점을 해결하기 위하여, 본 발명의 일례에 따르면, 펄스(pulsed) 바이어스 전압이 상기 기판 및 상기 플라즈마 사이에 인가되며, 상기 기판 및 접지 전위 간의 커패시터에 의해, 상기 기판은 직류 전류와 전기적으로 격리된다. 이때, 인가되는 파형은 조정이 가능하여 기판상의 전위는 대체로 일정하다. 도 3은 기판에서의 펄스 전위(pulsed potential) 및 그에 따른 이온 에너지를 도시하고 있다.
진동(oscillating) 바이어스 전압 일 때와 마찬가지로, 시간 평균 전류(time average current)는 이온의 시간 평균 유동(flux)이 전자의 시간 평균 유동과 일치함을 나타내는 0(zero)이다. 이를 달성하기 위해, 양으로 대전된 이온을 끌어당겨 빠르게 이동하는 전자를 잠시 수집하기 위하여, 플라즈마에 대한 전체 음의 기판 전위에도 불구하고 상대적으로 짧은 양의 펄스가 초과 적용된다. 상기 과정 동안, 특히 바이어스 전압의 직류 전류(DC) 성분을 차단하기 위해, 기판 및 접지 전위 사이에 커패시터(capacitor)를 연결하여, 기판이 직류 전류(DC)에 대해 격리된다(isolated). 이온 전류는 상기 커패시터를 충전하지만 느리게 점차 감소하여 전압이 커패시터 상의 전위 차를 보상한다. 커패시터의 충전 용량은 사용 가능한 최소 주파수의 측정 감소량과 함께 한다. 본 발명의 일례에서 사용되는 주파수는 수 백 kHz의 범위 내에 해당한다. 실리콘 식각 과정에서, 펄스 바이어스 전압은 실리콘 이산화물(silicon dioxide)을 통해 실리콘의 식각 플라즈마의 식각 선택도(selectivity)를 더욱 향상 시킬 수 있다.
또한, 본 발명의 일례에 따르면 플라즈마를 도입하여(aid) 기판을 식각하는 장치를 제공할 수 있다. 본 발명의 일례에 따른 식각 장치는, 플라즈마를 생성하는 적어도 하나의 플라즈마 소스를 포함하고, 음극(cathode) 및 양극(anode)를 구비하고, 적어도 하나의 전도성 병렬 플레이트로 구성된 시스템에 의해 격리되며, 상기 음극 및 양극 사이의 적어도 하나의 실질적으로 곧은(substantial straight) 플라즈마 채널, 상기 플라즈마를 릴리스하기 위해 적어도 하나의 플라즈마 채널과 개구 소통(open communication)하는 수축된 릴리스 개구부(constricted release opening), 상기 릴리스 개구부로부터 상기 플라즈마를 수신하는 처리 챔버(treatment chamber), 및 상기 처리 챔버 내에서 상기 기판을 고정하기 위한 기판 홀더(substrate holder)를 포함하고, 상기 기판 홀더는, 상기 기판 홀더 및 상기 플라즈마 간에 교류 바이어스 전압을 인가할 수 있는 전압 소스에 연결된다.
도 1A 및 도 1B는 종래의 기술에 따른 플라즈마의 도입에 의하여 기판을 식각하기 위한 장치의 플라즈마를 도시한 도면이다.
도 2는 본 발명의 일례에 따른 진동하는(oscillating) RF 바이어스 전위(좌측) 및 그에 따른 더블 피크 이온 에너지(double peaked ion energy)(우측)를 도시한 그래프이다.
도 3은 본 발명의 일례에 따른 펄스(pulsed) RF 바이어스 전위(좌측) 및 그에 따른 싱글 피크 이온 에너지(single peaked ion energy)(우측)를 도시한 그래프이다.
도 4는 본 발명의 일례에 따른 플라즈마의 도입에 의해 기판을 식각하기 위한 장치의 플라즈마 소스(plasma source)를 도시한 도면이다.
도 5는 본 발명의 일례에 따라, 도 4에 도시된 플라즈마 소스와 결합되어, 플라즈마의 도입에 의해 기판을 식각하기 위한 장치를 도시한 도면이다.
도 6은 본 발명의 제1 실시예에 따른 방법을 설명하기 위한 도면이다.
도 7은 도 6에 도시된 방법을 적용한 장치의 구성을 설명하기 위한 도면이다.
도 8은 도 6의 방법 동안 바이어스 펄스를 인가하는 방법을 설명하기 위한 도면이다.
도 9는 도 6의 방법을 사용하여 다른 온도에서 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 10은 도 6의 방법을 사용하여 다른 온도에서 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 11은 도 6의 방법의 부동화 단계 동안 각 RF 바이어스 전압의 인가되는 경우 및 인가되지 않는 경우의, 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 12는 도 6의 방법을 사용하여 불소 유량을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 13은 도 6의 방법을 사용하여 아르곤 유량을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 14는 도 6의 방법을 사용하여 아르곤 대 불소의 유량의 비율을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 15는 도 6의 방법을 사용하여 사이클 당 식각 시간을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 16은 도 6의 방법을 사용하여 사이클 당 부동화 시간을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 17은 도 6의 방법을 사용하여 압력을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 18은 본 발명의 제2 실시예에 따른 방법을 도시한 도면이다.
도 19는 도 18의 방법을 사용하여 다른 온도에서 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 20A는 도 18의 방법을 사용하여 -120
Figure 112009008137923-PCT00008
에서 진동하는 RF 바이어스 전압에 의해 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 20B는 도 18의 방법을 사용하여 -80
Figure 112009008137923-PCT00009
에서 진동하는 RF 바이어스 전압에 의해 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 21은 도 18의 방법을 사용하여 펄스 바이어스 전압을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 22는 도 18의 방법을 사용하여 O2의 흐름을 일정하게 하고 SF6의 유량을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 23는 도 18의 방법을 사용하여 프리커서 및 캐리어 가스의 유량을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 24은 도 18의 방법을 사용하여 압력을 변화시켜 식각한 홀들의 SEM 사진들을 도시한 도면이다.
도 4는 본 발명의 일례에 따른 층계형 아크 플라즈마 소스(cascaded arc plasma source) 형태를 사용하여 플라즈마를 생성하는 방법을 설명하기 위한 도면이다. 플라즈마 아크(3)을 생성하기 위하여 적어도 하나의 층계형 플레이트인 시스템을 통하여, 높은 파워의 직류가 플라즈마 소스의 음극(cathode) 및 양극(anode) 사이에 인가된다. 플라즈마 아크(3)는 주입구(8)를 통하여 플라즈마 소스로 주입되어, 음극(cathode)로부터 양극(anode)으로 흘러 들어가는 캐리어 기체(carrier gas) 내에 생성되는데, 이때 상기 캐리어 기체로는 아르곤(argon)이 사용될 수 있다. 상기 캐리어 기체는 상대적으로 높은 수십 sccs(standard cubic centimetre per second)의 유량(flow rate)으로 주입된다. 이와 같이 높은 유량으로 인해, 플라즈마 소스(1)는 상대적으로 높은 10 내지 200 kPa의 저 대기 압력(sub atmospheric)이므로, 플라즈마 형성에 매우 효율적이다. 이온화도(Ionization degree)는 종래의 RF 플라즈마에 비교하여 매우 높은 5 내지 10 %에 이를 수 있다. 도 5에 도시된 바와 같이, 고 밀도 플라즈마는 저 압력 챔버 내로 퍼진다. 따라서, 이후 용량(capacitive) 또는 유도(inductive) 플라즈마 소스 수단에 의해 생성되는 종래의 RF 플라즈마와 구분하기 위하여, 확산 열 플라즈마(Expanding Thermal Plasma: ETP)라 칭하기로 한다. 고속의 확산(expanding) 플라즈마 때문에, 압력이 낮아지는 동안 이온화도는 동결되고(frozen in), 거의 모든 식각 과정에 필요하다.
도 5는 본 발명의 일례에 따른 확산 열 플라즈마(Expanding Thermal Plasma: ETP)에 의해 기판을 식각하는 장치를 도시한 도면이다. 상기 장치는, 도 4에 도시된 바와 같은 적어도 하나의 고압(high pressure) 플라즈마 소스(1), 및 플라즈마 제트(plasma jet: 4)가 빠져 나온 플라즈마 소스가 확산되며 125 리터(litre)의 크기인 저압(low pressure) 리액터 챔버(reactor chamber: 2)를 포함한다. 리액터 챔버에는 게이트 밸브(gate valve: 6)에 의해 제어되는 루트 펌프(roots pump: 5) 수단에 의해 약 10 내지 100 Pa 처리 압력(process pressure)이 유지된다. 루트 펌프의 용량은 관(vessel)의 펌프 홀(pump hole)에서 약 1500
Figure 112009008137923-PCT00010
이다. 50 sccs의 가스 흐를 경우에, 펌프는 리액터 챔버 내에서 20 Pa의 압력, 즉 진공에 가까운 압력에 이를 수 있다. 이는 리액터에서의 가스 입자(gas particle)의 평균 잔류 시간(mean residence time)이 약 0.5 초 임을 의미한다. 가스의 흐름이 없을 경우에는 루트 펌프가 거의 진공 상태에 이른다. 리액터가 대기모드(standby mode)인 경우에는, 약 10
Figure 112009008137923-PCT00011
Pa의 압력에 도달할 수 있도록 터보 펌프(turbo pump)가 사용된다.
플라즈마 소스는 수축된 배출구(constricted release opening)를 통하여, 플라즈마를 방출(discharges)한다. 상기 배출구의 몇 센티미터 뒤에, 프리커서(precursor) 또는 식각 가스(etching gas)가, 플라즈마 제트(4) 주위에 제공되는 링(7) 수단에 의해 플라즈마 내로 주입될 수 있다. 프리커서(precursor) 또는 식각 가스(etching gas)는 리액터 챔버 내의 아르곤 이온(argon ion)과 반응할 수 있다. 전하 전송 및 해리적(dissociative) 재결합 반응은, 프리커서 가스로부터 반응 물질(species) 들을 생성한다. 상기 반응 물질은 아래로 더 이동하여, 기판 홀더(9) 상의 알루미늄 또는 구리로 이루어진 기계적 척(chuck)을 구비한 기판에 도달한다. 히터(11), 및 척(chuck: 10)을 관통하여 액체 질소(Liquid nitrogen)를 운반하는 덕트(duct: 12)를 이용하여, 기판의 온도를 조절할 수 있다.
커패시터(미도시)는 척(10), 및 기판의 직류 전류(DC)로부터의 격리(isolated)를 위해 처리 챔버(2)의 스테인리스강(stainless steel) 벽에 적용되는 접지 전위(ground potential: 9) 사이에 연결된다. 기판(9)은 직류 전류에 대해 절연되어 있으므로 바이어스 전력(bias power)이 기판에 안전하게 인가될 수 있다. 본 발명의 일례에 따르면, 외부의 교류 바이어스 전압 소스(alternating bias voltage source: 미도시)는 기판(9)에 적절한 교류 바이어스 전압을 유도하기 위하여 기판 홀더(10) 및 리액터 월(reactor wall) 사이에 연결된다.
보다 편리하게 교환하기 위해 물리적으로 척(10)에 올려진(clamped) 기판(9)에는 기판 캐리어(미도시)가 제공된다. 척(chuck) 및 기판 캐리어(carrier) 간의 열전도(heat conduction)를 보다 강화하기 위하여, 척 및 기판 캐리어 사이에 헬륨 가스 플로우(flow) 또는 온도 전도성 페이스트(thermally conducting paste)가 제공된다. 상기 기판(9) 상의 기판 캐리어는, 로드-락 챔버(load-lock chamber: 13)를 통하여 리액터 내에서의 빠르게 로드(load) 및 언로드(unloaded)될 수 있다.
도 4 및 도 5의 장치는 기판에 부분적으로 높은 형상비(aspect ratio), 즉 가파른 기울기로 거의 수직에 가까운 외벽을 가지는, 깊은 구멍(deep holes), 홈(trenches), 또는 틈(recesses)을 생성하는데 사용될 수 있다. 그 끝에는 링(7)을 통해 플라즈마 측으로 식각액(etchant)이 제공된다. 부분적으로 플라즈마의 도입에 의해 기판 상에 흠(recess)을 식각하기 위한, 고 이방성(anisotropic) 식각 작용을 얻기 위해서, 본 발명의 제1 실시예에 따르면 제1 활성 에이전트(agent) 및 제2 활성 에이전트가 플라즈마에 교대로 도입되고(introduced), 상기 제1 활성 에이전트는 상기 기판을 식각할 수 있으며 상기 제2 활성 에이전트는 상기 플라즈마 내의 상기 제1 활성 에이전트에 부분적으로 내화성이 있는(resistant) 상기 기판에 보호 층(protective layer)을 생성할 수 있다. 따라서, 본 발명의 제1 실시예에 따르면, 교대로 식각하는 단계 및 부동화하는(passivating) 단계를 포함할 수 있다.
본 발명의 제1 실시예를 보다 상세하게 설명하면 다음과 같다. 6 불화황(sulphurhexafluoride: SF6) 및 탄화플루오르(fluorocarbon) 화합물인 C4F8이, 기판 상에 제1 활성 에이전트(agent) 및 제2 활성 에이전트로서 사용된다. 식각 단계에서는, 6 불화황(SF6) 플라즈마에서의 불소(fluorine)의 식각 작용의 결과로서, 많은 양의 이방성(anisotropic) 식각이 이루어질 수 있다. 하지만, 식각 단계가 너무 높은 측면 식각(lateral etching)에 까지 도달하기 전에, 부동화(passivating) 단계에 의하여 중단된다.
부동화 단계에서는, C4F8 플라즈마가 실리콘 기판 상에 PTFE(polytetrafluoroethylene)과 같이, 불소에 대해 실리콘을 보호하는 탄화플루오르(fluorocarbon) 중합체(polymer)를 증착(deposit)한다. 식각 단계의 세부 단계에서는, 기판 측으로의 수직(perpendicular)인 플라즈마에 의한 이온 충격(ion bombardment)이 홀 바닥의 중합체 층(polymer layer)을 식각하고, 그 수직 방향으로 실리콘 식각이 진행될 수 있다. 양쪽의 식각 메커니즘(중합체 식각 및 실리콘 식각)은 식각 단계 동안 이루어진다.
도 6은 본 발명의 일례의 4개의 사이클에 따른, 8 단계의 과정을 도시한 도면이다. 기본적으로 각 사이클에서 반복되는 것처럼 보이는 2 단계의 메커니즘은, 실질적으로 3 단계 메커니즘의 반복이다. 이와 같은 3 단계 메커니즘은,
1. 6 불화황(SF6) 플라즈마에서 이방성(anisotropic) 탄화플루오르(fluorocarbon) 중합체 식각을 하고,
2. 상기 같은 불화황(SF6) 플라즈마에서 등방성(isotropic) 실리콘 식각을 하며,
3. C4F8 플라즈마에서 탄화플루오르(fluorocarbon) 중합체 증착을 하는 과정을 포함한다.
도 6은 본 발명의 일례에 따른 상기 과정을 수행하는 방법을 설명하고 있으며, 도 7은 그에 따른 장치를 도시하고 있다.
시스템은 제1 활성 에이전트(agent) 및 제2 활성 에이전트를 위한 공급부(supplies)를 각각 구비할 수 있다. 제1 공급부(21)는 SF6를 제공하고, 제2 공급부(22)는 C4F8를 처리 챔버에 제공한다. 적절한 가스 흐름의 제어가 가능하도록 하기 위하여, 시스템은 빠른-반응(fast-response)의 유량 제어기(mass flow: 22, 23), 상기 유량 제어기들 및 처리 챔버 내의 링(7) 사이의 짧은 가스 라인(24), 및 자동 동작 시스템(소프트웨어)를 포함할 수 있다. 기판 온도는 도 5에 도시된 온도 제어 수단(11, 12)에 의한 제어에 의해 일정하게 제어 및 유지될 수 있다.
도 9는 본 발명의 일례의 15분 식각에 의한 식각 결과를 기판 온도에 따른 도시한 도면이다. 상기 도 9는 각각 다른 온도에서 식각된 홀들의 SEM(scanning electron microscope) 사진을 나타낸다. 첫 번째 SEM 사진 및 나머지 사진에서의 홀(holes)의 직경은 각각 50
Figure 112009008137923-PCT00012
내지 30
Figure 112009008137923-PCT00013
이다. 온도는 척(chuck)에서 측정되었으며, 기판 수준에서의 온도는 이 보다 다소 높을 수 있다. 가장 높은 식각율(etch rate)은 50
Figure 112009008137923-PCT00014
일 때 약 6.5
Figure 112009008137923-PCT00015
에 이른다. -32V에서의 약 20 W의 동일한 바이어스 전력(bias power)일 때의, 25
Figure 112009008137923-PCT00016
및 0
Figure 112009008137923-PCT00017
의 낮은 온도에서는, 각각 약 5.8
Figure 112009008137923-PCT00018
및 2.7
Figure 112009008137923-PCT00019
의 낮은 식각율의 결과를 얻을 수 있으나, 50
Figure 112009008137923-PCT00020
에서는 측면 식각(lateral etching)이 감소하여 실질적으로 측면 식각이 이루어지지 않는다. 0
Figure 112009008137923-PCT00021
에서 식각 및 부동화(passivation) 시에 약 -116의 바이어스 전압에 의해 실현 되는 홀의 바닥은, -50
Figure 112009008137923-PCT00022
에서 실험에서와 같이 바이어스 전력 및 전압을 상승시키는 경우보다, 상대적으로 거칠다. -50
Figure 112009008137923-PCT00023
의 예는 보다 상승된 바이어스 전력에 따른 결과로서, 50
Figure 112009008137923-PCT00024
에서 관찰된 최대 식각율 보다 다소 낮지만 더욱 상승된 약 5.9
Figure 112009008137923-PCT00025
의 식각율을 나타내고 있다. 75
Figure 112009008137923-PCT00026
의 예에서는, 측면 식각이 강화되어 바람지하지 않은 예를 보여주고 있다. 75
Figure 112009008137923-PCT00027
에서의 식각율은, 50
Figure 112009008137923-PCT00028
에서보다 낮은 약 0.2 m/min을 나타내고 있으나, 측면 식각으로 인하여 전체 식각된 부피(volume)은 30%가 상승된다. 상기와 같이, 본 발명의 일례에 따르면, 상기 기판이, -50
Figure 112009008137923-PCT00029
내지 50
Figure 112009008137923-PCT00030
, 즉 50
Figure 112009008137923-PCT00031
이하로 유지될 수 있다.
도 8은 본 발명의 일례에 따른 기판 및 플라즈마 사이에 교류 바이어스 전압을 인가하는 펄스(pulse)를 도시한 도면이다. 바이어스 전력(bias power)은 오직 식각 단계에만 인가되고 이후의 부동화(passivation) 단계에서는 제거된다. 도 10은 바이어스 전압 함수에 의해 식각한 결과를 도시한 도면이다. 상기 도 10의 각 SEM 사진들은 15 분의 전체 식각 시간 동아 각각 다른 RF 바이어스 전압을 인가하여 식각된 홀들의 사진이다. 홀들의 직경은 30
Figure 112009008137923-PCT00032
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 각 18V, -30V, -41V, 및 -67V의 바이어스 전압에서의 15 분의 식각 동안의 식각율은 각각 약 5.2, 6.3, 6.8, 및 6.5
Figure 112009008137923-PCT00033
이다. 최대 식각율은 -41 V의 바이어스 전압에서 6.8
Figure 112009008137923-PCT00034
이다. 바이어스 전압 -18 V에서, 식각율은 5.2
Figure 112009008137923-PCT00035
로 감소된다. 보다 높은 바이어스 전압에서, 온도가 순차적으로 증가함에 따라 다소 증가된 측면 식각에 따라, 전체 깊이 식각율은 감소 한다. 본 발명의 제1 실시예에 따르면, 제1 활성 에이전트의 도입되는 동안, -30 V 내지 -50 V, 즉 -40 V의 진동(oscillating) 바이어스 전압이 상기 기판 및 상기 플라즈마 사이에 인가될 수 있다.
또한, 본 발명의 제1 실시예에 따르면, 제2 활성 에이전트가 도입되는 동안, -150 V 내지 -170 V, 즉 -160 V 에서 진동(oscillating) 바이어스 전압이 상기 기판 및 상기 플라즈마 사이에 인가될 수 있다. 도 11은 부동화(passivation) 단계에서의 RF 바이어스 전압이 인가된 경우의 식각된 홀의 SEM 사진(좌측)과, RF 바이어스 전압이 인가되지 않은 경우의 식각된 홀의 SEM 사진(우측)을 도시하고 있다. 홀들의 직경은 30
Figure 112009008137923-PCT00036
이며 양쪽 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 식각율은 각각 약 5.9
Figure 112009008137923-PCT00037
및 5.4
Figure 112009008137923-PCT00038
이다. 상기 과정은 50 W의 바이어스 전력에 의하여 수행된다. 그에 따라, 식각 과정 동안 약 -70 V의 바이어스 전압이 인가된다. 부동화 과정 동안에는 20 W의 전력에 의해 -165 V의 바이어스 전압이 인가된다. 전체 식각 시간은 15 분이 아닌 30 분이다. 분명히 식각율은 부동화 단계 동안 바이어스 전압의 인가에 의해 5.9 에서 5.4
Figure 112009008137923-PCT00039
로 감소한다. 그러나, 부동화 동안 측면 식각 또한 인가되는 바이어스 전압에 의해 감소한다. 식각율이 다소 감소하더라도 보다 향상된 이방성(anisotropy)에 도달할 수 있다.
도 12는 서로 다른 SF6 흐름의 식각 결과에 의해 15분 식각 동안 식각된 SEM 사진에서의 홀들을 도시하고 있다. 홀들의 직경은 30
Figure 112009008137923-PCT00040
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 관찰된 식각율은 각각 약 4.8, 6.5, 6.8, 및 0.1
Figure 112009008137923-PCT00041
이다. -30 V의 바이어스 전압을 유지하기 위한 바이어스 전력은 각각 10 W, 20 W, 20 W, 및 30 W 이다. 이는 식각율이 SF6 의 상승에 의해 7.5 sccs의 흐름(flow)에서 최대 6.8
Figure 112009008137923-PCT00042
까지 상승되는 것을 보여준다. 7.5 sccs 에서의 사진이 다르게 제안된 것으로 보이지만, 현미경에 의한 관찰에 따르면 깊이는 10 sccs에서의 홀과 유사하며, 측면 식각은 5 sccs SF6 와 비교할 만하다.
또한, 측면 식각은 10 sccs의 SF6 유량에서 관찰된다. 본 발명의 일례에 따르면, 제1 활성 에이전트는 초당 5 내지 7.5 입방 센티미터(sccs)의 유량(flow rate)으로 상기 플라즈마 측으로 도입될 수 있다.
도 13은 본 발명의 일례에 따른 아르곤 흐름(argon flow)에 따른 식각 결과를 도시한 도면이다. 이 테스트 동안, 루트 펌프(roots pump)의 밸브(valve)는 40 Pa 표준 값에서 압력을 지키도록 조절하였다. 따라서, 다른 가스를 사용하는 경우 부분적으로 다른 압력이 유도되었다. 도 13은 본 발명의 일례에 따른, 각각 다른 아르곤 유량에서 15분간 식각 한 후에 식각된 홀의 SEM 사진을 도시한 도면이다. 홀들의 직경은 30
Figure 112009008137923-PCT00043
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 상기 샘플들의 식각율은 식각율이 제로로 감소한 첫 번째 것만을 제외하고 약 6.5
Figure 112009008137923-PCT00044
로 대체로 모두 동일하다. 바이어스 전압을 -30 V로 유지하기 위하여, 바이어스 전력은 각각 30 W, 20 W, 10 W, 및 10 W로 하였다. 75 scc 이후 훨씬 큰 식각이 관찰되었다. 그에 따라, 본 발명의 제1 실시예에 따르면, 상기 플라즈마는 불활성 캐리어 유체(inert carrier fluid)에 의해 생성되며, 보다 상세하게 는 상기 플라즈마는 초당 50 내지 75 입방 센티미터, 즉 50 입방 센티미터의 유량의 상기 플라즈마 소스를 제공하기 위해, 아르곤(argon)과 같은 불활성 캐리어 유체(inert carrier fluid)의 도움으로 인해 생성될 수 있다.
도 14는 본 발명의 일례에 따른 아르곤 및 SF6 가스 흐름에 모두에 의한 식각 결과를 도시한 도면이다. 루트 펌프(roots pump)의 밸브(valve)는 40 Pa 표준 값에서 압력을 지키도록 조절하였다. 따라서, 절대 부분 압력(absolute partial pressures)은 일정하게 유지된다. 아르곤 흐름을 증가시키고 아크 전류(arc current)를 일정하게 유지하여, 아크의 전력 입력은 4125 W 에서 4725 W로 600 W 증가된다. 식각율은 낮은 흐름(flows)일 때 6.5
Figure 112009008137923-PCT00045
에서, 높은 흐름일 때 7.8
Figure 112009008137923-PCT00046
로 증가한다. 하지만, 측면 식각 또한, 흐름의 상승에 따라서 증가된다. 따라서, 최적의 결과는 아르곤 및 불소 간의 상대 흐름(relative flow)의 비율이 약 50:5 sccs 일 때 얻을 수 있다.
도 15는 본 발명의 일례에 따른 각 사이클 당 식각 시간에 따른 식각 결과를 도시한 도면이다. 상기 도 16의 SEM 사진들은 15 분의 전체 식각 시간 동안의 사이클 당 식각 시간에 따른 식각된 홀의 차이를 도시하고 있다. 홀들의 직경은 30
Figure 112009008137923-PCT00047
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 각 사이클의 6, 10, 14, 및 18 초의 식각 시간 동안 관찰된 식각율은, 각각 약 4.9, 6.5, 6.7, 및 6.9
Figure 112009008137923-PCT00048
이다. 이는, 식각율이 6 에서 18 초 동안의 사이클 당 식각 시간 동안 4.9
Figure 112009008137923-PCT00049
에서 6.9
Figure 112009008137923-PCT00050
로 상승했다는 것을 의미한다. 이와 같은 상 승은 사이클 당 식각 시간에 따라 선형적이지 않다. 가장 큰 상승인 4.9
Figure 112009008137923-PCT00051
에서 6.5
Figure 112009008137923-PCT00052
로의 상승은 식각 사이클 당 6에서 10초에서 이루어진다. 10초의 식각 사이클 시간 이후에는 오직 수직 식각율 보다 약간 높은 확장에서만 보다 많은 측면 식각이 관찰되었다.
도 16은 본 발명의 일례에 따른, 15 분의 전체 처리 시간 동안, 사이클 시간당 다른 부동화(passivation) 시간을 가지는 식각된 홀들의 SEM 사진을 도시한 도면이다. 홀들의 직경은 30
Figure 112009008137923-PCT00053
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 사이클 당 4, 6, 8, 및 10 초의 각 부동화 시간 동안의 관찰된 식각율은 각각 7,8, 7.1, 6.4, 및 5.9
Figure 112009008137923-PCT00054
이다. 상기 결과는 보다 긴 부동화 시간은 측면 식각을 거의 감소시키지 못한다는 것을 보여준다. 하지만, 부동화 시간이 4 초부터 10 초로 증가됨에 따라서, 수직 식각율은 7.8
Figure 112009008137923-PCT00055
에서 5.9
Figure 112009008137923-PCT00056
로 대폭으로 떨어졌다. 이와 같은 감소는 네트 식각 시간(net etch time)의 감소에 의해 유발된다. 부동화 시간이 길어질수록, 보다 짧은 네트 식각 시간 동안 자동으로 발생하는 일정한 전체 시간 동안의 사이클 횟수는 감소한다.
상기 도면에서와 같이, 본 발명의 제1 실시예에 따르면, 제1 활성 에이전트의 도입을 위한 상기 제1 시간 인터벌은 6 내지 10 초이고, 제2 활성 에이전트의 도입을 위한 상기 제2 시간 인터벌은 4 내지 6 초이고, 상기 제1 활성 에이전트 및 상기 제2 활성 에이전트는 교대 시간 인터벌(alternating time intervals) 동안 도입된다. 또한, 전체 처리 시간이 최적의 수직 식각율을 유지하고 홀들 내의 표면이 심각하게 거칠어지는 것을 방지하기 위해, 식각의 조사 및 부동화 시간은 전체 처리 시간이 약 15 분 보다 짧아야 한다는 것을 보여준다.
도 17은 본 발명의 일례에 따른 다른 압력에서의 식각 홀들의 SEM 사진을 도시한 도면이다. 홀들의 직경은 30
Figure 112009008137923-PCT00057
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 산출된 식각율은 26, 40, 66, 및 96 Pa의 압력일 때 각각 3.7, 6.5, 5.5, 및 7.1
Figure 112009008137923-PCT00058
이다. 처음 두 개의 샘플들에서 사용된 -32 V의 바이어스 전압과 달리, 마지막 두 개의 샘플들에서 사용된 바이어스 전압은 -24 V 및 -27 V이다. 상기 사진들은 압력이 26 Pa 에서 40 Pa로 상승할 때, 식각율이 3.7
Figure 112009008137923-PCT00059
에서 6.5
Figure 112009008137923-PCT00060
로 거의 두 배가 되는 것을 보여준다. 그 이상의 압력의 증가는 식각율의 상승이 이루어지지 않으며 홀 바닥을 거칠게 만든다. 또한, 본 발명의 일례에 따르면, 상기 처리 과정 동안 압력은 기판에서 26 내지 40 Pa, 즉 40 Pa로 유지될 수 있다.
그 중에서도 특히 다음의 처리 파라미터를 사용하여 상기 처리 과정을 수행할 때, 실질적으로 보다 양호한 결과를 얻을 수 있다.
Figure 112009008137923-PCT00061
상기와 같은 값들은 도면에서의 적용되는 SEM 사진들의 프레임 주변에 표시되어 있다.
본 발명의 제2 실시예에 따르면, 기판이 식각 마스크(etching mask)를 사용하여 상기 플라즈마의 도움으로(aid) 상기 기판의 틈(recess)이 식각되고, 제1 활성 에이전트(agent) 및 제2 활성 에이전트가 플라즈마에 병행적으로(concurrently) 도입되고(introduced), 상기 제1 활성 에이전트는 상기 기판을 식각할 수 있으며 상기 제2 활성 에이전트는 상기 플라즈마에 상기 제1 활성 에이전트에 부분적으로 내화성이 있는 상기 기판에 보호 층(protective layer)을 생성할 수 있다. 도면을 참조하여 이와 같은 본 발명의 실시예를 설명하면, 예를 들어 기판은 실리콘 기판이고, 플루오르(fluorine)를 함유하는 화합물(compound)인 6 불화황(sulphurhexafluoride: SF6)이 제1 활성 에이전트에 적용되고, 산화(oxidizing) 에이전트인 산소(oxygen)가 제2 활성 에이전트에 적용되며, 상기 기판은 상기 동작 동안 극저온(cryogenic)으로 유지될 수 있다.
이전의 처리 방법과 비교하여, 극저온 식각 과정(cryogenic etching process)에서 제1 활성 에이전트 및 제2 활성 에이전트가 일정하게 적용되는 과정을 지속한다. 이에는, 제1 활성 에이전트 및 제2 활성 에이전트의 각 이동에 따른 첫 번째 처리에 의한 껍질(scallops)이 없으므로 벽면(sidewalls)이 매끈하며, 분리된 부동화 단계로 인하여 처리 시간의 손실이 없다는 두 가지 주요 장점이 있다. 이 실시예에서는 극저온 실리콘 식각, 및 그 끝에서 SF6/O2 가스 혼합물로 구성된 플라즈마가 사용되는 처리가 사용된다.
실온에서(room temperature) 상기 플라즈마 혼합물은 6 불화황(SF6)의 노멀(normal) 등방성(isotropic) 식각 작용에 의해 실리콘의 등방성 식각이 이루어지도록 한다. 저온에서, 특히 -80
Figure 112009008137923-PCT00062
인 경우 산소는 불소와 경쟁하여 실리콘 상(site)을 점차 많이 차지하기 시작한다.
이는 화학적으로, 산소 원자를 실리콘 산화물(silicon-oxide)과 같이, 실리콘 식각을 위하여 실리콘 식각이 감소되거나 또는 정지되도록 하는 불소 라디칼(radical)을 막는 부동화 층(passivation layer)으로부터, 실리콘 표면에 부착하는 것이다. 본 발명의 일례에 따른 기판 바이어스 전압에 의해 발생하는 기판 측으로의 수직(perpendicular) 이온 충격(ion bombardment)은 틈(recess)의 바닥의 부동화 층을 제거하고 식각은 오직 수직 방향에서 주로 수행된다. 도 18은 상기와 같은 본 발명의 일례에 따른 화학적 처리 방법을 설명하기 위한 도면이다.
도 19는 상기와 같은 본 발명의 일례에 따른 처리 방법을 통하여, 각각 다른 온도에서 식각된 홀들의 SEM 사진들을 도시한 도면이다. 홀들의 직경은 30
Figure 112009008137923-PCT00063
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 관찰된 식각율은 각 -80, -100, -120, 및 -140
Figure 112009008137923-PCT00064
에서 4.6, 3.9, 3.7, 및 3.0
Figure 112009008137923-PCT00065
이다. 이는 -80
Figure 112009008137923-PCT00066
에서 -140
Figure 112009008137923-PCT00067
까지의 수직 식각율이 일정하게 감소하는 것을 나타낸다. 하지만, -80
Figure 112009008137923-PCT00068
에서의 측면 식각은 약 10
Figure 112009008137923-PCT00069
이고, -100
Figure 112009008137923-PCT00070
에서 -120
Figure 112009008137923-PCT00071
사이 또는 그 이하의 온도에서 약 0(zero)이다. -140
Figure 112009008137923-PCT00072
의 기판 온도에서는 홀의 형태가 더 이상 변화되지 않으나, 수직 식각율이 더 감소하는 것을 보여주고 있다. 본 발명의 일례에 따르면, 상기 기판은 처리 동안, -100
Figure 112009008137923-PCT00073
내지 -140
Figure 112009008137923-PCT00074
로 유지되며, 특히 -120
Figure 112009008137923-PCT00075
로 유지될 수 있다.
진동(oscillating) RF 바이어스 전압의 식각 동작은 -120
Figure 112009008137923-PCT00076
및 -80
Figure 112009008137923-PCT00077
의 두 가지 다른 기판 온도에서 조사되었다.
기판 온도 -120
Figure 112009008137923-PCT00078
에서의 결과는 도 20A에 도시되어 있으며, -80
Figure 112009008137923-PCT00079
에서의 결과는 도 22B에 도시되어 있다. 홀들의 직경은 30
Figure 112009008137923-PCT00080
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 도 20A에 도시된 -120
Figure 112009008137923-PCT00081
에서의 SEM 사진들은 각각 -55, -73, 및 -105 V RF 바이어스 전압에서 0.8, 5.7 및 4.7
Figure 112009008137923-PCT00082
식각율을 보여주고 있다. 각 0 W, 40W, 및 60 W에 의해 각각 다른 바이어스 전압이 인가된다. 도 22에 도시된 80
Figure 112009008137923-PCT00083
에서의 각 -40, -90, 및 -125 V 바이어스 전압에서의 식각율은 5.6, 4.6, 및 4.4
Figure 112009008137923-PCT00084
이다. 상기 바이어스 전압은 각각 20 W, 50 W, 및 70 W의 바이어스 전력에 의해 인가된다.
그에 따라, 최고의 결과를 얻을 수 있는 RF 바이어스 전압은 약 -40 V에서 -90 V 사이에 해당하며, 특히 기판 온도 -120
Figure 112009008137923-PCT00085
에서 -73 V일 때이다. 바이어스 전압 및 그에 따른 이온-충격 에너지(ion-impact energy)가 너무 낮을 때, 부동화 제거(de-passivation)는 중지된다. -90 V의 바이어스 전압에서의 식각율은 4.7 로 감소한다. 이는 아마도 측면 식각 및 칼라(collar) 형성이 너무 많이 된 결과일 것이다.
따라서, 본 발명의 또 다른 일례에 따르면, 제1 활성 에이전트의 도입되는 동안, -70 내지 -100 V, 특히 약 73 V로 진동하는(oscillating) 바이어스 전압이, 상기 기판 및 상기 플라즈마 사이에 인가될 수 있다.
또한, 진동(oscillating) 바이어스 전압 대신에, 펄스(pulsed) 바이어스 전압이 인가될 수 있다. 도 21은 펄스 바이어스 전압에 의한 식각 결과로서, -120
Figure 112009008137923-PCT00087
기판 온도에서 각 펄스 바이어스 전압이 다른 경우의 식각된 홀의 SEM 사진을 도시한 도이다. 홀들의 직경은 30
Figure 112009008137923-PCT00088
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 각 펄스 바이어스 전압 -880, -104, 및 -134 V에서의 식각율은 0.6, 0.3, 및 2.5
Figure 112009008137923-PCT00089
이다. 펄스 바이어스 소스는 상기 예에서 사용된 RF 펄스 바이어스 소스보다 훨씬 낮은 주파수에서 동작하고, 상기 기판에 부가적인 플라즈마를 생성하지 않는다. 도 21의 SEM 사진들은 -134 V의 펄스 바이어스 전압에서 실질적으로 측면 식각 없이, 가장 높은 수직 식각율을 보여준다. 따라서, 본 발명의 일례에 따르면, 제1 활성 에이전트 및 제2 활성 에이전트가 도입되는 동안, -134 V의 펄스(pulsed) 바이어스 전압이, 상기 기판 및 상기 플라즈마 사이에 인가될 수 있다.
도 23은 1 sccs 의 일정한 O2 흐름에서 서로 다른 SF6 유량인 경우의 식각된 홀들의 SEM 사진으로 도시하고 있다. 홀 직경이 40
Figure 112009008137923-PCT00090
인 3 sccs인 사진을 제외하고, 홀들의 직경은 30
Figure 112009008137923-PCT00091
이다. 비교를 위하여 모든 사진들은 동일한 스케일을 가진다. O2 흐름을 일정하게 유지하는 동안, SF6 흐름을 변화시켜 플라즈마의 화학적 특성을 변화시켜 측벽의 옆면(profile)의 식각율, 즉 측면 식각에 영향을 준다. 상기 식각율은 4 sccs에서 3.7
Figure 112009008137923-PCT00092
로 상승하고, 5 sccs의 SF6 유량에서는 4.6
Figure 112009008137923-PCT00093
로 상승한다. 하지만, 수직 식각율의 상승뿐만 아니라, F/O 비율(ratio)을 상승시키고 그에 따른 보다 약한 부동화를 유발시키는 측면 식각 또한 상승된다. 6 sccs의 SF6 유량에서는 식각이 F/O 반경 비율(radial ratio)이 너무 높은 것을 의미하는 등방성(isotropic)이 된다. 따라서, 6 sccs에서 수직 식각율은 2.9
Figure 112009008137923-PCT00094
로 떨어진다. 그러므로 본 발명의 제2 실시예에 따르면, 제1 활성 에이전트 및 제2 활성 에이전트는, 각각 초당 4 및 초당 1 입방 센티미터의 유량(flow rate)으로 플라즈마 측으로 도입될 수 있다. 캐리어 가스(carrier gas)인 아르곤(argon)뿐만 아니라, 프리커서(precursor) SF6 및 O2 가스 흐름은 식각율 및 측면 상의 영향을 측정하기 위하여 각각 상승된다. 펄스 바이어스 소스(pulsed bias source)가 기판 및 플라즈마 사이에 펄스 바이어스 전압(pulsed bias voltage)을 인가하기 위하여 사용된다. 상기 실험에 따른 결과는 도 23에 도시되어 있다. 첫 번째 사진에서 SF6(sulphurhexafluoride) 및 산소 가스 흐름은 각각 4 sccs 및 1 sccs이고, 나머지 오른쪽 두 개의 사진에서는 각각 6.5 sccs 및 1.5 sccs 이다. 아르곤인 캐리어 가스 흐름이 50 sccs로부터 75 sccs로 50 % 증가하여, 식각율이 2.5
Figure 112009008137923-PCT00095
에서 4.3
Figure 112009008137923-PCT00096
로 증가한다. 이는 72 %의 증가이다. 부동화 메커니즘 및 그에 따른 측면 식각은 모든 것에 영향을 미치지는 않는다. 프리커서 가스들(precursor gasses)이 50 % 상승하여, 식각율이 2.5
Figure 112009008137923-PCT00097
에서 4.1
Figure 112009008137923-PCT00098
로 64 % 상승한다. 이때 상기 부동화 메커니즘이 영향에 의해 보다 많은 측면 식각이 이루어진다. 잔여(extra) 프리커서 가스들은 플라즈마의 화학성이 바뀌도록 다른 비율로 분리될 수 있다. 또한, 본 발명의 제2 실시예에 따르면, 각 제1 활성 에이전트 및 제2 활성 에이전트가 4 sccs 및 1 scc의 가스 흐름 일 때, 플라즈마는 약 50 내지 75 sccs의 유량의 플라즈마 소스를 제공하기 위해, 아르곤(argon)과 같은 불활성 캐리어 유체(inert carrier fluid)의 도움으로 인해 생성될 수 있다.
도 24는 압력이 다를 때의 식각된 홀드의 SEM 사진들을 도시한 도면이다. 홀들의 직경은 30
Figure 112009008137923-PCT00099
이며 모든 사진들은 비교를 위해 동일한 스케일(scale)을 사용한다. 각 19, 25, 및 48 Pa에서의 15 분간의 식각 동안 관찰된 식각율은 각각 2.2, 3.7, 및 11.6
Figure 112009008137923-PCT00100
이고, 74 Pa에서의 10 분간의 식각율은 13.0
Figure 112009008137923-PCT00101
이다. 각 사용된 바이어스 전력/전압은 50 W/ -90 V, 50 W/ -90 V, 70 W/ -78 V 및 90 W/ -70 V 이다. 따라서, 식각율은 19 Pa 압력에서 2.2
Figure 112009008137923-PCT00102
로부터 48 Pa 압력에서 11.6
Figure 112009008137923-PCT00103
로 증가한다. 이와 같은 막대한 식각율의 증가는 압력 상승의 결과(확산 축소)로 보다 좁은 플라즈마 제트에서의 입자 유동(particle fluxes)의 증가에 의해 이루어진다. 그러나, 74 Pa 에서는 보다 많은 측면 식각이 발생한다. 따라서, 본 발명의 또 다른 일례에 따르면, 상기와 같은 방법 동안 약 25 내지 50 Pa 의 압력이 기판 상에 유지된다.
상기와 같은 실험에 기초하여, 본 발명의 또 다른 일례에 따르면 다음의 처리 파라미터를 적용하며, 보다 바람직한 결과를 얻을 수 있다.
Figure 112009008137923-PCT00104
본 발명의 일례에 따른 방법 및 장치는 기판의 홀(holes), 홈(trench) 또는 틈(recesses)의 식각에 적용할 수 있는 장점이 있다.
이상과 같이 본 발명은 비록 한정된 실시예와 도면에 의해 설명되었으나, 본 발명은 상기의 실시예에 한정되는 것은 아니며, 본 발명이 속하는 분야에서 통상의 지식을 가진 자라면 이러한 기재로부터 다양한 수정 및 변형이 가능하다. 즉, 처리율(process rate) 및/또는 식각되는 표면부(surface area)를 증가시키기 위하여 하나 이상의 플라즈마 소스가 동시에 사용될 수 있으며, 기판은 실리콘 또는 반도체 기판이 사용될 수 있으며, 그 중에서도 유리 기판 및 고분자 필름(polymeric film)이 사용될 수 있다.

Claims (30)

  1. 플라즈마 소스의 음극과 양극 사이의 실질적(substantially) 저-대기 압력(sub-atmospheric pressure)에서 플라즈마가 생성 및 가속되고, 상기 플라즈마 소스는 상기 음극과 양극 사이의 적어도 하나의 전도성 층계형 플레이트(conductive cascaded plate)로 구성된 적어도 하나의 시스템 채널 내에 위치하며,
    상기 플라즈마는 수축된 통로 개구부(constricted passage opening)를 통하여 적어도 하나의 플라즈마 소스로부터 처리 챔버(treatment chamber) 측으로 릴리스되고(released),
    상기 처리 챔버 내에서 기판(substrate)이 상기 플라즈마에 의해 식각 에이전트(etching agent)로 노출되고, 상기 처리 챔버는 진공 수준의 압력(near vacuum pressure)으로 감소되어 유지되며,
    음의(negative) 교류 바이어스 전압(alternating bias voltage)이 상기 노출 동안 상기 기판 및 상기 플라즈마 사이에 인가되는, 플라즈마에 의한 기판 식각 방법.
  2. 제1항에 있어서,
    상기 기판 및 접지 전위(ground potential) 간의 커패시터에 의해, 적어도 상기 교류 바이어스 전압이 인가된 직후 상기 기판은 직류 전류(direct electrical current)와 격리되는(isolated) 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  3. 제1항 또는 제2항에 있어서,
    진동 바이어스 전압(oscillating bias voltage)이 상기 기판 및 상기 플라즈마 사이에 인가되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  4. 제3항에 있어서,
    100 kHz 에서 100 MHZ 사이의 주파수 및 최대 500 V의 진폭을 갖는 고주파 교류 바이어스 전압이 인가되며, 특히 10 V 에서 250 V 사이의 진폭을 갖는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  5. 제2항에 있어서,
    펄스(pulsed) 바이어스 전압이 상기 기판 및 상기 플라즈마 사이에 인가되며,
    상기 기판 및 접지 전위 간의 커패시터에 의해, 상기 기판은 직류 전류와 전기적으로 격리되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  6. 제1항 내지 제5항 중 어느 하나의 항에 있어서,
    상기 기판은 실리콘 기판을 포함하는 반도체 기판인 것을 특징으로 하는 플 라즈마에 의한 기판 식각 방법.
  7. 제6항에 있어서,
    식각 마스크(etching mask)를 사용하여 상기 플라즈마의 도움으로(aid), 상기 기판의 틈(recess)을 국부적으로 식각하고,
    제1 활성 에이전트(agent) 및 제2 활성 에이전트가 플라즈마에 교대로 도입되고(introduced), 상기 제1 활성 에이전트는 상기 기판을 식각할 수 있으며 상기 제2 활성 에이전트는 상기 플라즈마 내의 상기 제1 활성 에이전트에 부분적으로 내화성이 있는(resistant) 상기 기판에 보호 층(protective layer)을 생성할 수 있는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  8. 제7항에 있어서,
    상기 바이어스 전압은,
    상기 제1 활성 에이전트의 도입되는(introduction) 동안 및 상기 제1 활성 에이전트의 도입되는(introduction) 동안, 인가되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  9. 제7항 또는 제8항에 있어서,
    상기 기판은,
    실리콘 기판을 포함하고
    플루오르(fluorine)를 함유하는 화합물(compound)인 6 불화황(sulphurhexafluoride: SF6)이 상기 제1 활성 에이전트에 적용되고, 탄화플루오르(fluorocarbon) 화합물인 C4F8이 상기 제1 활성 에이전트에 적용되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  10. 제9항에 있어서,
    상기 기판은,
    -50℃ 내지 50℃, 즉 50℃ 이하로 유지하는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  11. 제9항 또는 제10항에 있어서,
    상기 제1 활성 에이전트의 도입되는 동안, -30 내지 -50 V, 즉 -40 V로 진동하는(oscillating) 바이어스 전압이, 상기 기판 및 상기 플라즈마 사이에 인가되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  12. 제9항, 제10항, 또는 제11항에 있어서,
    상기 제2 활성 에이전트가 도입되는 동안, 상기 기판 및 상기 플라즈마 사이에 -150 V 내지 -170 V, 즉 -160 V 에서 진동하는(oscillating) 바이어스 전압이, 인가되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  13. 제9항 내지 제12항 중 어느 하나의 항에 있어서,
    상기 제1 활성 에이전트는,
    초당 5 내지 7.5 입방 센티미터의 유량(flow rate)으로, 상기 플라즈마 측으로 도입되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  14. 제9항 내지 제13항 중 어느 하나의 항에 있어서,
    상기 플라즈마는,
    초당 50 내지 75 입방 센티미터, 즉 50 입방 센티미터의 유량의 상기 플라즈마 소스를 제공하기 위해, 아르곤(argon)과 같은 불활성 캐리어 유체(inert carrier fluid)의 도움으로 인해 생성되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  15. 제9항 내지 제14항 중 어느 하나의 항에 있어서,
    상기 제1 활성 에이전트의 도입을 위한 상기 제1 시간 인터벌은 6 내지 10 초이고, 상기 제2 활성 에이전트의 도입을 위한 상기 제2 시간 인터벌은 4 내지 6초이고,
    상기 제1 활성 에이전트 및 상기 제2 활성 에이전트는, 교대 시간 인터벌(alternating time intervals) 동안 도입되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  16. 제9항 내지 제15항 중 어느 하나의 항에 있어서,
    상기 동작 동안,
    압력은 기판에서 26 내지 40 Pa, 즉 40 Pa로 유지되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  17. 제6항에 있어서,
    상기 기판은,
    식각 마스크(etching mask)를 사용하여 상기 플라즈마의 도움으로(aid) 상기 기판의 틈(recess)이 식각되고,
    제1 활성 에이전트(agent) 및 제2 활성 에이전트가 플라즈마에 병행적으로(concurrently) 도입되고(introduced), 상기 제1 활성 에이전트는 상기 기판을 식각할 수 있으며 상기 제2 활성 에이전트는 상기 플라즈마에 상기 제1 활성 에이전트에 부분적으로 내화성이 있는 상기 기판에 보호 층(protective layer)을 생성할 수 있는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  18. 제17항에 있어서,
    상기 기판은,
    실리콘 기판을 포함하고,
    플루오르(fluorine)를 함유하는 화합물(compound)인 6 불화 황(sulphurhexafluoride: SF6)이 상기 제1 활성 에이전트에 적용되고, 산화(oxidizing) 에이전트인 산소(oxygen)가 상기 제2 활성 에이전트에 적용되며, 상기 기판은 상기 동작 동안 극저온(cryogenic)으로 유지되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  19. 제18항에 있어서,
    상기 기판은,
    -100℃ 내지 -140℃, 즉 -120℃로 유지되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  20. 제19항에 있어서,
    상기 제1 활성 에이전트 및 제2 활성 에이전트가 도입되는 동안, -70 내지 -100 V, 즉 -73 V로 진동하는(oscillating) 바이어스 전압이, 상기 기판 및 상기 플라즈마 사이에 인가되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  21. 제19항에 있어서,
    상기 제1 활성 에이전트 및 제2 활성 에이전트가 도입되는 동안, -134 V의 펄스(pulsed) 바이어스 전압이, 상기 기판 및 상기 플라즈마 사이에 인가되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  22. 제18항 내지 제21항 중 어느 하나의 항에 있어서,
    상기 제1 활성 에이전트 및 제2 활성 에이전트는,
    각각 초당 4 및 초당 1 입방 센티미터의 유량(flow rate)으로, 상기 플라즈마 측으로 도입되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  23. 제22항에 있어서,
    상기 플라즈마는,
    초당 50 내지 75 입방 센티미터, 즉 50 입방 센티미터의 유량의 상기 플라즈마 소스를 제공하기 위해, 아르곤(argon)과 같은 불활성 캐리어 유체(inert carrier fluid)의 도움으로 인해 생성되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  24. 제18항 내지 제23항 중 어느 하나의 항에 있어서,
    상기 기판의 압력은,
    25 내지 50 Pa로 유지되는 것을 특징으로 하는 플라즈마에 의한 기판 식각 방법.
  25. 플라즈마를 생성하는 적어도 하나의 플라즈마 소스를 포함하고, 음극(cathode) 및 양극(anode)를 구비하고, 적어도 하나의 전도성 병렬 플레이트로 구성된 시스템에 의해 고립되며,
    상기 음극 및 양극 사이의 적어도 하나의 실질적으로 곧은(substantial straight) 플라즈마 채널,
    상기 플라즈마를 릴리스하기 위해 적어도 하나의 플라즈마 채널과 개구 소통(open communication)하는 수축된 릴리즈 개구부(constricted release opening),
    상기 릴리스 개구부로부터 상기 플라즈마를 수신하는 처리 챔버(treatment chamber), 및
    상기 처리 챔버 내에서 상기 기판을 고정하기 위한 기판 홀더(substrate holder)
    를 포함하고,
    상기 기판 홀더는,
    상기 기판 홀더 및 상기 플라즈마 간에 교류 바이어스 전압을 인가할 수 있는 전압 소스에 연결되는 것을 특징으로 하는 플라즈마의 도움에 의해 식각하는 장치.
  26. 제25항에 있어서,
    상기 전압 소스는,
    해당 고주파수에서 진동(oscillating) 또는 펄스(pulsed) 교류 바이어스 전압(alternating bias voltage)을 생성하는 것을 특징으로 하는 플라즈마의 도움에 의해 식각하는 장치.
  27. 제25항 또는 제26항에 있어서,
    상기 기판 홀더는,
    커패시터가 상기 기판 홀더 및 접지 전위(ground potential) 사이에 연결되는 처리 챔버(processing chamber) 측에 대하여, 직류(DC)적으로 고립(isolated)되는 것을 특징으로 하는 플라즈마의 도움에 의해 식각하는 장치.
  28. 제25항, 제26항, 또는 제27항에 있어서,
    상기 기판 홀더는 온도 제어 수단에 의해 제공되는 것을 특징으로 하는 플라즈마의 도움에 의해 식각하는 장치.
  29. 제28항에 있어서,
    상기 온도 제어 수단은,
    가열 수단(heating means) 및 냉각 수단(cooling means)을 포함하는 것을 특징으로 하는 플라즈마의 도움에 의해 식각하는 장치.
  30. 제29항에 있어서,
    상기 가열 수단은,
    전기 히터를 포함하고,
    상기 냉각 수단은,
    액화 가스(liquidized gas), 즉 액체 질소(liquid nitrogen)를 위한 적어도 하나의 덕트(duct)
    를 포함하는 것을 특징으로 하는 플라즈마의 도움에 의해 식각하는 장치.
KR1020097002703A 2006-07-12 2007-07-12 플라즈마에 의한 기판 식각 방법 및 장치 KR20090068204A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/NL2006/000355 2006-07-12
PCT/NL2006/000355 WO2008007944A1 (en) 2006-07-12 2006-07-12 Method and device for treating a substrate by means of a plasma

Publications (1)

Publication Number Publication Date
KR20090068204A true KR20090068204A (ko) 2009-06-25

Family

ID=37735017

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097002703A KR20090068204A (ko) 2006-07-12 2007-07-12 플라즈마에 의한 기판 식각 방법 및 장치

Country Status (6)

Country Link
US (1) US20100003827A1 (ko)
EP (1) EP2050119A1 (ko)
JP (1) JP2009543371A (ko)
KR (1) KR20090068204A (ko)
CN (1) CN101542676A (ko)
WO (2) WO2008007944A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130091684A (ko) * 2012-02-08 2013-08-19 램 리써치 코포레이션 평활 측벽의 급속 교번 에칭 프로세스를 위한 제어된 가스 혼합
WO2023182827A1 (ko) * 2022-03-24 2023-09-28 성균관대학교산학협력단 소모성 금속부재를 포함하는 식각용 플라즈마 처리 장치

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5172417B2 (ja) * 2008-03-27 2013-03-27 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP2009259863A (ja) * 2008-04-11 2009-11-05 Tokyo Electron Ltd ドライエッチング処理装置及びドライエッチング方法
CN101819933A (zh) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
FR2979478A1 (fr) * 2011-08-31 2013-03-01 St Microelectronics Crolles 2 Procede de realisation d'une tranchee profonde dans un substrat de composant microelectronique
WO2013052713A1 (en) * 2011-10-05 2013-04-11 Intevac, Inc. Inductive/capacitive hybrid plasma source and system with such chamber
FR2984769B1 (fr) * 2011-12-22 2014-03-07 Total Sa Procede de texturation de la surface d'un substrat de silicium, substrat structure et dispositif photovoltaique comportant un tel substrat structure
WO2013152805A1 (en) * 2012-04-13 2013-10-17 European Space Agency Method and system for production and additive manufacturing of metals and alloys
US8916477B2 (en) * 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
CN103280407B (zh) * 2013-06-03 2016-08-10 上海华力微电子有限公司 ∑形凹槽的制作方法
CN104752158B (zh) * 2013-12-30 2019-02-19 北京北方华创微电子装备有限公司 硅深刻蚀方法
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
CN107731711A (zh) * 2017-09-20 2018-02-23 南方科技大学 一种等离子减薄装置与方法
KR102550393B1 (ko) * 2017-10-25 2023-06-30 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 장치의 제조 방법
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
CN111864062B (zh) * 2019-04-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法以及阻变式存储器
FI129719B (en) * 2019-06-25 2022-07-29 Picosun Oy PLASMA IN SUBSTRATE PROCESSING EQUIPMENT
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
CN116453925B (zh) * 2023-06-16 2023-08-25 通威微电子有限公司 磁控增强等离子抛光装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8701530A (nl) * 1987-06-30 1989-01-16 Stichting Fund Ond Material Werkwijze voor het behandelen van oppervlakken van substraten met behulp van een plasma en reactor voor het uitvoeren van die werkwijze.
JP2834129B2 (ja) * 1988-03-23 1998-12-09 株式会社日立製作所 低温ドライエツチング方法
JPH09129621A (ja) * 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
NL1020923C2 (nl) * 2002-06-21 2003-12-23 Otb Group Bv Werkwijze alsmede inrichting voor het vervaardigen van een katalysator.
JP2004128063A (ja) * 2002-09-30 2004-04-22 Toshiba Corp 半導体装置及びその製造方法
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
NL1022155C2 (nl) * 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130091684A (ko) * 2012-02-08 2013-08-19 램 리써치 코포레이션 평활 측벽의 급속 교번 에칭 프로세스를 위한 제어된 가스 혼합
WO2023182827A1 (ko) * 2022-03-24 2023-09-28 성균관대학교산학협력단 소모성 금속부재를 포함하는 식각용 플라즈마 처리 장치

Also Published As

Publication number Publication date
WO2008007962A1 (en) 2008-01-17
JP2009543371A (ja) 2009-12-03
US20100003827A1 (en) 2010-01-07
CN101542676A (zh) 2009-09-23
WO2008007944A1 (en) 2008-01-17
EP2050119A1 (en) 2009-04-22

Similar Documents

Publication Publication Date Title
KR20090068204A (ko) 플라즈마에 의한 기판 식각 방법 및 장치
US20230317412A1 (en) Pulsed plasma chamber in dual chamber configuration
KR100281345B1 (ko) 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
Boufnichel et al. Profile control of high aspect ratio trenches of silicon. I. Effect of process parameters on local bowing
TWI333225B (en) Method and apparatus to confine plasma and to enhance flow conductance
JP4827081B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP5207406B2 (ja) プラズマ処理方法
KR100574141B1 (ko) 단결정 실리콘층의 트렌치 에칭 방법
US20060105577A1 (en) Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US20170330759A1 (en) Etching method
KR20130138252A (ko) 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭
JP4143684B2 (ja) プラズマドーピング方法及び装置
EP3007205A1 (en) Workpiece processing method
JP3319285B2 (ja) プラズマ処理装置及びプラズマ処理方法
EP2819151A1 (en) Plasma etching method and plasma etching apparatus
JPH06349784A (ja) 基板を異方性プラズマエッチングする方法および装置、および電子部品またはセンサー素子
EP1676302A2 (en) Notch-free etching of high aspect soi structures using a time division multiplex process and rf bias modulation
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
US6827870B1 (en) Method and apparatus for etching and deposition using micro-plasmas
US20190122865A1 (en) Oxygen treatment for nitride etching
CA2387432C (en) Method and apparatus for etching and deposition using micro-plasmas
CN117916865A (zh) 高深宽比特征中的金属蚀刻
Ohtake et al. Charging-damage-free and precise dielectric etching in pulsed C 2 F 4/CF 3 I plasma
JP3368743B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR20020031997A (ko) 고밀도 플라즈마 산화막 식각 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid