KR20080071256A - Method of manufacturing floating gate in non-volatile memory device - Google Patents

Method of manufacturing floating gate in non-volatile memory device Download PDF

Info

Publication number
KR20080071256A
KR20080071256A KR1020070009232A KR20070009232A KR20080071256A KR 20080071256 A KR20080071256 A KR 20080071256A KR 1020070009232 A KR1020070009232 A KR 1020070009232A KR 20070009232 A KR20070009232 A KR 20070009232A KR 20080071256 A KR20080071256 A KR 20080071256A
Authority
KR
South Korea
Prior art keywords
floating gate
layer
pattern
film
substrate
Prior art date
Application number
KR1020070009232A
Other languages
Korean (ko)
Inventor
윤영배
이세훈
안영준
장동훈
이종진
최정동
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070009232A priority Critical patent/KR20080071256A/en
Publication of KR20080071256A publication Critical patent/KR20080071256A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Abstract

A method for manufacturing a floating gate in a non-volatile memory device is provided to improve a coupling ratio by adjusting a height of a floating gate. A field oxide layer pattern(112) is formed on a surface of a substrate(100) to form an opening for exposing a part of the substrate. A conductive layer for floating gate is formed along the field oxide layer pattern and the surface of the exposed substrate. A stop layer is formed on the conductive layer for floating gate. A sacrificial layer is formed on the stop layer to fill the opening. A preliminary floating gate is formed by polishing the sacrificial layer to expose the stop layer on a bottom surface of the opening. A floating gate(126) is formed by removing selectively the stop layer.

Description

불 휘발성 메모리 장치의 플로팅 게이트 형성 방법{Method of manufacturing floating gate in non-volatile memory device}Method of manufacturing floating gate in non-volatile memory device

도 1 내지 도 10은 본 발명의 일 실시예에 따른 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법에 대하여 설명하기 위한 개략적인 공정 단면도들이다.1 to 10 are schematic cross-sectional views illustrating a method of forming a floating gate of a nonvolatile memory device according to an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for main parts of the drawings>

100: 반도체 기판 102: 패드 산화막 패턴100 semiconductor substrate 102 pad oxide film pattern

104: 실리콘 질화막 패턴 106: 하드 마스크 패턴104: silicon nitride film pattern 106: hard mask pattern

108: 트렌치 110: 갭 필 산화막108: trench 110: gap fill oxide film

112: 필드 산화막 패턴 114: 터널 산화막112: field oxide film pattern 114: tunnel oxide film

116: 개구부 118: 제1 도전막116: opening 118: first conductive film

120: 저지막 122: 희생막120: stop film 122: sacrificial film

124: 예비 플로팅 게이트 126: 플로팅 게이트124: preliminary floating gate 126: floating gate

128: 유전막128: dielectric film

본 발명은 반도체 장치의 제조 방법에 관한 것으로, 보다 상세하게는, 불 휘 발성 메모리 장치의 플로팅 게이트 형성 방법에 관한 것이다. The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method of forming a floating gate of a nonvolatile memory device.

반도체 메모리 장치는 DRAM(Dynamic Random Access Memory) 및 SRAM(Static Random Access Memory)과 같이 시간이 지남에 따라 데이터를 잃어버리는 휘발성(volatile)이면서 데이터의 입·출력이 빠른 RAM 제품과, 한번 데이터를 입력하면 그 상태를 유지할 수 있지만 데이터의 입·출력이 느린 ROM(Read Only Memory) 제품으로 크게 구분할 수 있다. 이러한 ROM 제품 중에서, 전기적으로 데이터의 입·출력이 가능한 EEPROM(Electrically Erasable and Programmable ROM) 또는 플래쉬 메모리(Flash memory)에 대한 수요가 늘고 있다.Semiconductor memory devices, such as DRAM (Dynamic Random Access Memory) and SRAM (Static Random Access Memory), are volatile and fast data input / output that loses data over time, and data is input once. It can be maintained in this state, but it can be classified into ROM (Read Only Memory) products that have slow input / output data. Among these ROM products, there is an increasing demand for electrically erasable and programmable ROM (EEPROM) or flash memory.

플래쉬 메모리 단위 셀은 플로팅 게이트를 구비하는 수직 적층형 게이트 구조를 포함한다. 구체적으로, 플래쉬 메모리 셀의 게이트는 터널 산화막 상에 플로팅 게이트, 유전막 및 컨트롤 게이트가 적층되는 구조를 갖는다.The flash memory unit cell includes a vertical stacked gate structure having a floating gate. In detail, the gate of the flash memory cell has a structure in which a floating gate, a dielectric layer, and a control gate are stacked on the tunnel oxide layer.

상기와 같은 플로팅 게이트를 형성하기 위하여, 플로팅 게이트가 형성될 부분에 개구부를 형성하고, 상기 개구부 내부를 채우는 플로팅 게이트용 폴리 실리콘을 증착한 후 상기 폴리 실리콘을 연마하는 공정을 수행한다. 그러나, 상기 기판의 중심부와 주변부에서 폴리 실리콘의 연마 속도가 각각 다를 뿐 아니라, 하나의 칩 내에서도 패턴 밀도가 높은 셀 영역과 패턴 밀도가 낮은 페리 영역에서의 폴리 실리콘의 연마 속도가 달라진다. 이로 인해, 기판 전 영역에서 균일하게 상기 폴리 실리콘을 연마하는 것이 매우 어렵다. In order to form the floating gate as described above, an opening is formed in a portion where the floating gate is to be formed, and a process of polishing the polysilicon after depositing the polysilicon for floating gate filling the inside of the opening is performed. However, not only the polishing rate of polysilicon is different at the center and periphery of the substrate, but also the polishing rate of polysilicon is different in a cell region having a high pattern density and a ferry region having a low pattern density within one chip. Because of this, it is very difficult to polish the polysilicon evenly over the entire substrate area.

그러므로, 최종적으로 형성되는 플로팅 게이트의 높이가 기판의 영역별로 달라지거나 또는 상기 폴리 실리콘이 충분하게 연마되지 못한 부위에서는 플로팅 게 이트의 분리가 정상적으로 이루어지지 못하는 등의 문제가 발생하기 쉽다.Therefore, problems such as the height of the floating gate finally formed vary by region of the substrate or where the floating gate is not normally separated in a region where the polysilicon is not sufficiently polished.

결국, 기판의 각 영역 상에 형성된 플로팅 게이트 높이는 불균일한 산포를 갖게 되므로, 기판에 형성되는 셀들의 동작 특성이 균일하지 않는 문제가 발생한다.As a result, since the floating gate height formed on each area of the substrate has a nonuniform distribution, a problem arises that the operating characteristics of the cells formed on the substrate are not uniform.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 기판의 전 영역에서 균일한 높이를 갖는 플로팅 게이트 형성 방법을 제공하는데 있다.An object of the present invention for solving the above problems is to provide a floating gate forming method having a uniform height in the entire area of the substrate.

상기한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법으로, 먼저 기판 표면의 일부를 노출시키는 개구부가 형성되도록 상기 기판 표면으로부터 돌출된 필드 산화막 패턴을 형성한다. 상기 필드 산화막 패턴 및 상기 노출된 기판의 표면을 따라 플로팅 게이트용 도전막을 형성한다. 상기 플로팅 게이트용 도전막 상에 저지막을 형성한다. 상기 개구부를 채우도록 상기 저지막 상에 희생막을 형성한다. 상기 개구부 저면 상에 형성되어 있는 저지막이 노출되도록 상기 희생막을 연마하여, 노드 분리된 예비 플로팅 게이트를 형성한다. 다음에, 상기 저지막을 선택적으로 제거하여 플로팅 게이트를 형성한다. In the floating gate forming method of the nonvolatile memory device according to an embodiment of the present invention for achieving the above object, first to form a field oxide film pattern protruding from the surface of the substrate to form an opening for exposing a portion of the surface of the substrate; . A conductive film for a floating gate is formed along the field oxide layer pattern and the surface of the exposed substrate. A blocking film is formed on the conductive film for the floating gate. A sacrificial layer is formed on the blocking layer to fill the opening. The sacrificial layer is polished to expose the blocking layer formed on the bottom surface of the opening, thereby forming a preliminary floating gate in which the node is separated. Next, the blocking film is selectively removed to form a floating gate.

본 발명의 실시예들에 따르면, 상기 저지막은 실리콘 질화물을 포함할 수 있다.According to embodiments of the present invention, the blocking film may include silicon nitride.

본 발명의 실시예들에 따르면, 상기 저지막을 제거하는 단계 전에, 상기 예 비 플로팅 게이트의 상부면을 등방성 식각하여 평탄화하는 단계를 더 포함할 수 있다.According to embodiments of the present invention, before removing the blocking layer, the method may further include isotropically etching and planarizing an upper surface of the preliminary floating gate.

본 발명의 실시예들에 따르면, 상기 등방성 식각은 습식 식각 또는 화학 건식 식각 방법으로 수행할 수 있다. According to embodiments of the present invention, the isotropic etching may be performed by a wet etching or a chemical dry etching method.

본 발명의 실시예들에 따르면, 상기 필드 산화막 패턴은, 기판에 패드 산화막 및 질화막 패턴이 적층된 하드 마스크 패턴을 형성하고, 상기 하드 마스크 패턴을 식각 마스크로 상기 기판을 식각하여 트렌치를 형성하고, 상기 트렌치를 매립하도록 상기 기판 상에 소자 분리용 산화막을 형성하고, 상기 하드 마스크 패턴의 상부가 노출되도록 상기 소자 분리용 산화막을 연마하여 필드 산화막 패턴을 형성한 후, 상기 질화막 패턴을 제거하여 형성할 수 있다. In example embodiments, the field oxide layer pattern may include forming a hard mask pattern including a pad oxide layer and a nitride layer pattern on a substrate, and etching the substrate using the hard mask pattern as an etch mask to form a trench. An oxide layer for device isolation may be formed on the substrate to fill the trench, and the oxide layer for device isolation may be polished to expose the upper portion of the hard mask pattern to form a field oxide pattern, and then the nitride layer pattern may be removed. Can be.

상술한 바와 같이, 본 발명에 따르면 플로팅 게이트를 형성하는 연마 공정시, 연마 저지막을 이용함으로써, 기판의 전 영역에 균일한 산포를 갖는 플로팅 게이트를 형성할 수 있다. 이로써, 기판에 형성되는 셀들의 동작 특성을 향상시킬 수 있다As described above, according to the present invention, in the polishing step of forming the floating gate, by using the polishing stopper film, it is possible to form the floating gate having a uniform dispersion over the entire area of the substrate. As a result, it is possible to improve the operation characteristics of the cells formed on the substrate.

이하, 첨부된 도면들을 참조하여 본 발명의 실시예들에 따른 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법에 대하여 상세하게 설명하지만, 본 발명이 하기의 실시예들에 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다. 첨부된 도면에 있어서, 기판, 층(막), 개구부, 게이트 또는 패턴들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시 한 것이다. 본 발명에 있어서, 각 층(막), 개구부, 게이트 또는 패턴들이 기판, 각 층(막), 개구부, 게이트 또는 패턴들의 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 개구부, 게이트 또는 패턴들이 직접 층(막), 개구부, 게이트 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 개구부, 다른 게이트 또는 다른 패턴들이 기판 상에 추가적으로 형성될 수 있다. 또한, 층(막) 또는 게이트들이 "예비", "제1" 및/또는 "제2"로 언급되는 경우, 이러한 부재들을 한정하기 위한 것이 아니라 단지 각 층(막) 또는 게이트들을 구분하기 위한 것이다. 따라서 "예비", "제1" 및/또는 "제2"는 각 층(막) 또는 게이트들에 대하여 각기 선택적으로 또는 교환적으로 사용될 수 있다.Hereinafter, a method of forming a floating gate of a nonvolatile memory device in accordance with embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the following embodiments, Those skilled in the art will be able to implement the present invention in various other forms without departing from the spirit of the present invention. In the accompanying drawings, the dimensions of substrates, layers (films), openings, gates or patterns are shown in greater detail than actual for clarity of the invention. In the present invention, each layer (film), opening, gate or pattern is referred to as being formed "on", "top" or "bottom" of the substrate, each layer (film), opening, gate or pattern. In this case, it means that each layer (film), opening, gate or pattern is formed directly over or below the layer (film), opening, gate or patterns, or another layer (film), another opening, another gate or other Patterns may be additionally formed on the substrate. In addition, where layers (films) or gates are referred to as "spare", "first" and / or "second", they are not intended to limit these members but merely to distinguish each layer (film) or gates. . Thus, "preliminary", "first" and / or "second" may be used selectively or interchangeably for each layer (film) or gates, respectively.

도 1 내지 도 10은 본 발명의 일 실시예에 따른 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법에 대하여 설명하기 위한 개략적인 공정 단면도들이다.1 to 10 are schematic cross-sectional views illustrating a method of forming a floating gate of a nonvolatile memory device according to an embodiment of the present invention.

도 1을 참조하면, 반도체 기판(100) 상에 패드 산화막(미도시) 및 하드 마스크용 실리콘 질화막(미도시)을 형성한다. 상기 패드 산화막은 열 산화 공정에 의해 형성될 수 있다. 또한, 상기 패드 산화막은 이후에 형성되는 실리콘 질화막과 상기 반도체 기판(100)이 직접 접촉할 때 발생하는 스트레스(stress)를 감소시키기 위해 형성된다.Referring to FIG. 1, a pad oxide film (not shown) and a silicon nitride film for a hard mask (not shown) are formed on a semiconductor substrate 100. The pad oxide layer may be formed by a thermal oxidation process. In addition, the pad oxide layer is formed to reduce stress generated when the silicon nitride layer formed thereafter is in direct contact with the semiconductor substrate 100.

상기 실리콘 질화막은 저압 화학 기상 증착(low pressure chemical vapor deposition: LPCVD) 공정에 의해 형성될 수 있다. 이때, 선택적으로 상기 실리콘 질화막 상에 유기 반사 방지막(Anti-Reflection Layer : ARL, 미도시)을 더 형성할 수 있다. 상기 유지 반사 방지막은 후속에서 수행되는 사진 공정에서 난반사에 의해 포토레지스트 패턴의 측벽 프로파일이 불량해지는 것을 방지하기 위해 제공된다.The silicon nitride film may be formed by a low pressure chemical vapor deposition (LPCVD) process. In this case, an organic anti-reflection layer (ARL, not shown) may be further formed on the silicon nitride layer. The holding antireflection film is provided to prevent the sidewall profile of the photoresist pattern from being deteriorated by diffuse reflection in a subsequent photographic process.

상기 실리콘 질화막 상에 상기 실리콘 질화막의 일부분을 노출하도록, 포토레지스트 패턴(미도시)을 형성한다. 상기 포토레지스트 패턴을 식각 마스크로 이용하여, 상기 실리콘 질화막 및 패드 산화막을 순차적으로 식각하여 패드 산화막 패턴(102) 및 실리콘 질화막 패턴(104)이 적층된 하드 마스크 패턴(106)을 형성한다. 상기 하드 마스크 패턴(106)은 상기 반도체 기판(100)의 필드 영역에 해당하는 부위를 선택적으로 노출시키도록 형성된다. 이후, 상기 포토레지스트 패턴은 애싱(ashing) 공정 또는 스트립(strip) 공정을 통해 제거될 수 있다.A photoresist pattern (not shown) is formed on the silicon nitride film to expose a portion of the silicon nitride film. The silicon nitride film and the pad oxide film are sequentially etched using the photoresist pattern as an etching mask to form a hard mask pattern 106 in which the pad oxide film pattern 102 and the silicon nitride film pattern 104 are stacked. The hard mask pattern 106 is formed to selectively expose a portion corresponding to a field region of the semiconductor substrate 100. Thereafter, the photoresist pattern may be removed through an ashing process or a strip process.

도 2를 참조하면, 상기 하드 마스크 패턴(106)을 식각 마스크로 이용하여, 상기 반도체 기판(100)의 노출된 영역을 선택적으로 식각하여 트렌치(108)를 형성한다. 이때, 상기 유기 반사 방지막은 반도체 기판(100)을 식각하는 동안 제거될 수 있다.Referring to FIG. 2, the trench 108 is formed by selectively etching the exposed region of the semiconductor substrate 100 using the hard mask pattern 106 as an etching mask. In this case, the organic anti-reflection film may be removed while etching the semiconductor substrate 100.

상기 트렌치(106)를 형성한 후, 선택적으로 열 산화막(미도시) 및 절연막 라이너(미도시)를 형성할 수 있다. 보다 상세하게 설명하면, 상기 열 산화막은 이전의 건식 식각 공정 시에 발생한 표면 데미지(damage)를 큐어링(curing)하기 위해 제공된다. 상기 열 산화막은 상기 트렌치(108) 표면을 열 산화시켜 매우 얇은 두께로 형성할 수 있다. 이어서, 상기 열 산화막이 형성되어 있는 상기 트렌치(108)의 내측면과 저면 및 하드 마스크 패턴(106)의 표면에 수백 Å의 얇은 두께로 절연막 라이너를 형성한다.After the trench 106 is formed, a thermal oxide film (not shown) and an insulating film liner (not shown) may be selectively formed. In more detail, the thermal oxide film is provided to cure surface damage generated during the previous dry etching process. The thermal oxide film may be thermally oxidized on the surface of the trench 108 to have a very thin thickness. Subsequently, an insulating film liner is formed on the inner side and the bottom of the trench 108 where the thermal oxide film is formed, and the surface of the hard mask pattern 106 with a thickness of several hundreds of microseconds.

상기 절연막 라이너는 이후 공정에 의해 상기 트렌치(108) 내에 매립되는 소자 분리용 실리콘 산화막(미도시) 내부의 스트레스를 감소시키고, 불순물 이온들이 필드 영역 내로 침투하는 것을 방지하기 위해 제공된다. 상기 절연막 라이너는 특정한 식각 조건 하에서 후속에서 형성될 실리콘 산화막과의 식각 선택비가 높은 물질로 형성되어야 하며, 예컨대, 실리콘 질화물(SiN)로 형성될 수 있다.The insulating film liner is provided to reduce stress inside the silicon isolation film (not shown) embedded in the trench 108 by a subsequent process and to prevent impurity ions from penetrating into the field region. The insulating film liner should be formed of a material having a high etching selectivity with a silicon oxide film to be subsequently formed under specific etching conditions. For example, the insulating film liner may be formed of silicon nitride (SiN).

도 3을 참조하면, 상기 트렌치(108)를 매립하도록 갭 매립 산화막(110)을 형성한다. Referring to FIG. 3, a gap buried oxide film 110 is formed to fill the trench 108.

상기 갭 매립 산화막(110)은 갭 매립 특성이 우수한 USG(Undoped Silicate Glass) 산화막, O3-TEOS USG(O3-Tetra Ethyl Ortho Silicate Undoped Silicate Glass) 산화막 또는 고밀도 플라즈마(High Density Plasma : HDP) 산화막을 화학 기상 증착(Chemical Vapor Deposition : CVD) 방법을 수행하여 증착할 수 있다. 상기 고밀도 플라즈마 산화막은 SiH4, O2 및 Ar 가스를 플라즈마 소오스로 이용하여 증착할 수 있으며, 상기 트렌치(108)의 내부에 균열(crack) 또는 보이드(void)가 생성되지 않도록 고밀도 플라즈마 산화막의 갭 매립 능력을 향상시켜 트렌치(108)를 매립할 수 있다.The gap buried oxide film 110 may be a USG (Undoped Silicate Glass) oxide, an O 3 -TEOS USG (O 3 -Tetra Ethyl Ortho Silicate Undoped Silicate Glass) oxide, or a High Density Plasma (HDP) oxide film having excellent gap filling characteristics. It may be deposited by performing a chemical vapor deposition (CVD) method. The high density plasma oxide layer may be deposited using SiH 4 , O 2, and Ar gas as a plasma source, and the gap of the high density plasma oxide layer may be such that cracks or voids are not formed in the trench 108. The trench 108 may be buried by improving the buried capability.

또한, 필요한 경우에, 상기 갭 매립 산화막(110)을 치밀화(densification)하여 후속하는 세정 공정에 대한 습식 식각율을 낮추기 위하여 약 800 내지 1050℃의 고온 및 불활성 가스 분위기 하에서 어닐링(annealing) 공정을 수행할 수 있다.In addition, if necessary, annealing is performed under a high temperature and inert gas atmosphere of about 800 to 1050 ° C. to densify the gap buried oxide film 110 to lower the wet etching rate for the subsequent cleaning process. can do.

도 4를 참조하면, 상기 갭 매립 산화막(108)을 상기 하드 마스크 패턴(106)이 노출되도록 연마한다. 상기 연마 공정은 에치백(etch back) 또는 화학 기계적 연마(Chemical Mechanical Polishing; CMP) 공정을 이용하여 수행할 수 있다. 그 결과, 상기 트렌치(108) 내부에 필드 산화막 패턴(112)이 형성된다.Referring to FIG. 4, the gap buried oxide film 108 is polished to expose the hard mask pattern 106. The polishing process may be performed using an etch back or chemical mechanical polishing (CMP) process. As a result, a field oxide film pattern 112 is formed in the trench 108.

상기 질화막 패턴(104) 및 상기 패드 산화막 패턴(102)을 제거함으로써, 상기 필드 산화막 패턴(112) 사이에는 상기 기판(100)의 일부분을 노출시키는 개구부(114)가 생성된다. 상기 질화막 패턴(104)은 인산 용액을 이용하여 선택적으로 제거할 수 있으며, 상기 패드 산화막 패턴(102)은 희석된 불산 용액을 이용하여 선택적으로 제거할 수 있다. By removing the nitride layer pattern 104 and the pad oxide layer pattern 102, an opening 114 exposing a portion of the substrate 100 is formed between the field oxide layer pattern 112. The nitride layer pattern 104 may be selectively removed using a phosphoric acid solution, and the pad oxide layer pattern 102 may be selectively removed using a diluted hydrofluoric acid solution.

이후, 상기 노출된 반도체 기판(100) 상에 터널 산화막(114)을 형성한다. 상기 터널 산화막(114)은 열 산화 공정에 의해 형성될 수 있다. Thereafter, a tunnel oxide layer 114 is formed on the exposed semiconductor substrate 100. The tunnel oxide layer 114 may be formed by a thermal oxidation process.

도 5를 참조하면, 상기 터널 산화막(114) 및 필드 산화막 패턴(112)의 표면을 따라 플로팅 게이트용 제1 도전막(118)을 형성한다.Referring to FIG. 5, the first conductive layer 118 for floating gate is formed along the surfaces of the tunnel oxide layer 114 and the field oxide layer pattern 112.

상기 제1 도전막(118)은 폴리 실리콘 또는 비정질(amorphous) 실리콘을 저압 화학 기상 증착(LPCVD) 방법에 의해 증착한 후, 도핑 방법에 의해 불순물을 도핑하여 형성할 수 있다. 상기 제1 도전막(114)은 예를 들어, POCl3 확산, 이온 주입, 또는 인-시튜 도핑 등과 같은 도핑 방법으로 고농도의 N형 불순물로 도핑시킬 수 있다.The first conductive layer 118 may be formed by depositing polysilicon or amorphous silicon by low pressure chemical vapor deposition (LPCVD), and then doping impurities by a doping method. The first conductive layer 114 may be doped with a high concentration of N-type impurities by, for example, a doping method such as POCl 3 diffusion, ion implantation, or in-situ doping.

도 6을 참조하면, 상기 제1 도전막(118) 상에 저지막(116)을 형성한다.Referring to FIG. 6, a blocking layer 116 is formed on the first conductive layer 118.

상기 저지막(116)은 후속의 연마 공정 시에, 상기 제1 도전막(118) 및 필드 산화막 패턴(120)이 균일하게 연마되도록 하기 위하여 상기 제1 도전막(118) 및 필드 산화막 패턴(120)에 비하여 매우 느리게 연마되는 물질로 형성된다.The stopper layer 116 may have the first conductive layer 118 and the field oxide layer pattern 120 uniformly polished in the subsequent polishing process so that the first conductive layer 118 and the field oxide layer pattern 120 are uniformly polished. It is formed of a material that is polished very slowly compared to).

즉, 상기 제1 도전막(118) 및 필드 산화막 패턴(120)을 연마할 시에, 상기 저지막(116)의 표면이 노출되도록 함으로써, 상기 제1 도전막(118) 및 필드 산화막 패턴(120)을 균일한 두께로 연마할 수 있다. 구체적으로, 상기 저지막(118)은 실리콘 질화물을 증착시켜 형성할 수 있다.That is, when polishing the first conductive film 118 and the field oxide film pattern 120, the surface of the blocking film 116 is exposed to expose the first conductive film 118 and the field oxide film pattern 120. ) Can be polished to a uniform thickness. Specifically, the blocking layer 118 may be formed by depositing silicon nitride.

이때, 상기 저지막(118)이 상기 개구부(114) 내부를 채울 정도로 두껍게 형성되는 경우에는, 상기 필드 산화막 패턴(120) 상에 형성되는 저지막(118)에 의해 연마 속도가 매우 느려지게 된다. 그러므로, 상기 저지막(118)은 상기 개구부(114) 내부를 채우지 않으면서, 상기 제1 도전막(118)의 표면 프로파일을 따라 얇게 형성되는 것이 바람직하다.In this case, when the blocking film 118 is formed thick enough to fill the inside of the opening 114, the polishing rate is very slow by the blocking film 118 formed on the field oxide film pattern 120. Therefore, the blocking film 118 is preferably formed thin along the surface profile of the first conductive film 118 without filling the inside of the opening 114.

도 7을 참조하면, 상기 개구부(114)가 매립되도록 상기 저지막(120) 상에 희생막(122)을 형성한다. Referring to FIG. 7, a sacrificial layer 122 is formed on the blocking layer 120 to fill the opening 114.

상기 희생막(122)은 갭 매립 특성이 우수할 뿐 아니라, 상기 제1 도전막(122)과 유사한 식각 선택비가 갖는 물질이면 가능하다. 상기 희생막(122)은 USG(Undoped Silicate Glass) 산화막을 화학 기상 증착 방법에 의해 증착하여 형성할 수 있다.The sacrificial layer 122 may be formed of a material having an etching selectivity similar to that of the first conductive layer 122 as well as an excellent gap filling property. The sacrificial film 122 may be formed by depositing a USG (Undoped Silicate Glass) oxide film by a chemical vapor deposition method.

도 8을 참조하면, 상기 개구부(114) 저면 상에 형성되어 있는 저지막(116)이 노출되도록, 상기 희생막(122), 제1 도전막(118) 및 필드 산화막 패턴(120)을 연마 한다. 그 결과, 예비 플로팅 게이트(124)가 형성된다.Referring to FIG. 8, the sacrificial layer 122, the first conductive layer 118, and the field oxide layer pattern 120 are polished to expose the blocking layer 116 formed on the bottom surface of the opening 114. . As a result, the preliminary floating gate 124 is formed.

상기 연마 공정은 에치백 또는 화학 기계적 연마 공정을 이용하여 수행할 수 있다. 상기 연마 공정에서 상기 저지막(122)은 상기 희생막(122), 제1 도전막(118) 및 필드 산화막 패턴(112)에 비해 느린 연마 속도를 가지므로, 상기 개구부(114) 전면 상에 형성되는 저지막(116)이 노출되도록 연마 공정을 쉽게 조절할 수 있다.The polishing process may be performed using an etch back or chemical mechanical polishing process. In the polishing process, since the blocking layer 122 has a slower polishing rate than the sacrificial layer 122, the first conductive layer 118, and the field oxide layer pattern 112, the blocking layer 122 is formed on the entire surface of the opening 114. The polishing process can be easily adjusted so that the stopper film 116 is exposed.

이로써, 상기 개구부(114) 저면 상에 형성되어 있는 저지막(116)이 노출되도록 전 영역에 걸쳐서 균일한 연마 공정을 수행할 수 있다. 따라서, 기존에 상기 제1 도전막(118)의 연마가 충분히 이루어지지 않아서, 이웃하는 플로팅 게이트들이 분리되지 않아 상기 이웃하는 플로팅 게이트 사이에 쇼트(short)가 발생하는 문제점을 방지할 수 있다.As a result, a uniform polishing process may be performed over the entire region such that the blocking layer 116 formed on the bottom surface of the opening 114 is exposed. Accordingly, since the polishing of the first conductive layer 118 is not sufficiently performed in the past, neighboring floating gates may not be separated, thereby preventing a short circuit between the neighboring floating gates.

또한, 기존에는 필드 산화막 패턴을 연마 저지막으로 사용하였으므로, 상기 필드 산화막 패턴의 높이에 따라 플로팅 게이트의 높이가 한정된다. 하지만, 상기 연마 저지막을 이용할 경우, 최초에 증착된 플로팅 게이트의 두께를 유지할 수 있을 뿐 아니라, 플로팅 게이트의 높이를 최소화할 수 있으므로 커플링 비(coupling ratio)를 향상시킬 수 있다. In addition, since the field oxide film pattern is conventionally used as the polishing stop layer, the height of the floating gate is limited according to the height of the field oxide film pattern. However, when the polishing stopper layer is used, not only the thickness of the initially deposited floating gate can be maintained but also the height of the floating gate can be minimized, thereby improving the coupling ratio.

도 9를 참조하면, 상기 예비 플로팅 게이트(124)의 상부면을 등방성 식각 공정을 이용하여 평탄화한다.9, the upper surface of the preliminary floating gate 124 is planarized using an isotropic etching process.

상기 등방성 식각 공정은 암모니아, 과산화수소 및 물을 포함하는 SC-1(standard clean-1) 용액을 이용하는 습식 식각(wet etch) 방법 또는 화학 건식 식각(chemical dry etch) 방법을 이용하여 수행할 수 있다. The isotropic etching process may be performed by using a wet etch method or a chemical dry etch method using a SC-1 (standard clean-1) solution containing ammonia, hydrogen peroxide and water.

상기 등방성 식각 공정은 상기 예비 플로팅 게이트(124)의 상부면이 상기 저지막(120) 하부면과 동일한 평면 상에 위치하도록 수행된다. 즉, 상기 저지막(120)의 두께만큼, 상기 저지막(120)에 인접한 상기 예비 플로팅 게이트(124)의 상부면을 식각한다. 이로써, 상기 예비 플로팅 게이트(124)의 상부면은 평탄화된다.The isotropic etching process is performed such that an upper surface of the preliminary floating gate 124 is positioned on the same plane as a lower surface of the blocking layer 120. That is, the upper surface of the preliminary floating gate 124 adjacent to the blocking layer 120 is etched by the thickness of the blocking layer 120. As a result, the top surface of the preliminary floating gate 124 is planarized.

도 10을 참조하면, 상기 저지막(120)을 선택적으로 제거한다. 상기 저지막(120)은 실리콘 질화막으로 이루어져 있으므로, 인산 스트립 공정을 수행하여 제거할 수 있다. 그 결과, 플로팅 게이트(126)를 형성한다.Referring to FIG. 10, the blocking layer 120 is selectively removed. Since the stopper layer 120 is formed of a silicon nitride layer, it may be removed by performing a phosphate strip process. As a result, the floating gate 126 is formed.

이후, 상기 플로팅 게이트(126)를 따라 유전막(128)을 형성한다. 상기 유전막(128)은 상기 플로팅 게이트(126)와 후속에 형성될 컨트롤 게이트(미도시)를 절연시키기 위하여 제공된다. 상기 유전막(128)의 예로서는, 산화막/질화막/산화막(ONO)으로 이루어진 복합 유전막, 고유전율 물질로 이루어진 고유전율 물질막 등을 들 수 있다.Thereafter, a dielectric film 128 is formed along the floating gate 126. The dielectric layer 128 is provided to insulate the floating gate 126 from a control gate (not shown) to be formed subsequently. Examples of the dielectric film 128 include a composite dielectric film made of an oxide film / nitride film / ONO, a high dielectric material film made of a high dielectric constant material, and the like.

상기 복합 유전막은 저압 화학 기상 증착(LPCVD) 공정에 의해 형성될 수 있다. 또한, 상기 고유전율 물질막은 Y2O3, HfO2, ZrO2, Nb2O5, BaTiO3, SrTiO3 등으로 이루어질 수 있으며, 원자층 증착(atomic layer deposition; ALD) 공정 또는 화학 기상 증착(CVD) 공정에 의해 형성될 수 있다The composite dielectric film may be formed by a low pressure chemical vapor deposition (LPCVD) process. In addition, the high-k material film may be formed of Y 2 O 3 , HfO 2 , ZrO 2 , Nb 2 O 5 , BaTiO 3 , SrTiO 3 , and the like, and may be formed by atomic layer deposition (ALD) or chemical vapor deposition (ALD). Can be formed by a CVD process

이후, 자세하게 도시되어 있지 않지만, 상기 유전막(128) 상에 제2 도전막(미도시) 및 제3 도전막(미도시)을 포함하는 컨트롤 게이트(미도시)를 형성한다. 상기 제2 도전막은 불순물이 도핑된 폴리실리콘으로 이루어질 수 있으며, 상기 제3 도전막은 텅스텐 실리사이드(WSix), 티타늄 실리사이드(TiSix), 코발트 실리사이드(CoSix), 탄탈륨 실리사이드(TaSix) 등과 같은 금속 실리사이드로 이루어질 수 있다.Subsequently, although not shown in detail, a control gate (not shown) including a second conductive layer (not shown) and a third conductive layer (not shown) are formed on the dielectric layer 128. The second conductive layer may be made of polysilicon doped with impurities, and the third conductive layer may be made of metal silicide such as tungsten silicide (WSix), titanium silicide (TiSix), cobalt silicide (CoSix), tantalum silicide (TaSix), or the like. Can be.

이로써, 터널 산화막, 플로팅 게이트, 유전막 및 컨트롤 게이트가 적층된 플래시 메모리 장치의 게이트 구조물을 완성할 수 있다.As a result, a gate structure of a flash memory device in which a tunnel oxide film, a floating gate, a dielectric film, and a control gate are stacked may be completed.

상술한 바와 같이 본 발명에 의하면, 연마 저지막을 이용하여 기판의중심부 및 주변부 별로 균일한 산포를 갖는 플로팅 게이트를 형성할 뿐 아니라, 상기 플로팅 게이트 높이를 조절함으로써 커플링 비를 향상시킬 수 있다. As described above, according to the present invention, the coupling ratio can be improved by adjusting the height of the floating gate, as well as forming a floating gate having a uniform dispersion for each of the center and the periphery of the substrate using the polishing stopper film.

이로 인해, 불휘발성 메모리 장치의 동작 특성 및 신뢰성을 향상시킬 수 있다.As a result, the operating characteristics and the reliability of the nonvolatile memory device can be improved.

상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 통상의 지식을 가진 자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.As described above, the present invention has been described with reference to a preferred embodiment of the present invention, but a person having ordinary skill in the relevant art may make the present invention without departing from the spirit and scope of the present invention described in the claims below. It will be understood that various modifications and changes can be made.

Claims (5)

기판 표면의 일부를 노출시키는 개구부가 형성되도록 상기 기판 표면으로부터 돌출된 필드 산화막 패턴을 형성하는 단계;Forming a field oxide pattern protruding from the surface of the substrate such that an opening is formed to expose a portion of the surface of the substrate; 상기 필드 산화막 패턴 및 상기 노출된 기판의 표면을 따라 플로팅 게이트용 도전막을 형성하는 단계;Forming a conductive film for a floating gate along the field oxide pattern and a surface of the exposed substrate; 상기 플로팅 게이트용 도전막 상에 저지막을 형성하는 단계;Forming a blocking film on the conductive film for the floating gate; 상기 개구부를 채우도록 상기 저지막 상에 희생막을 형성하는 단계;Forming a sacrificial layer on the blocking layer to fill the opening; 상기 개구부 저면 상에 형성되어 있는 저지막이 노출되도록 상기 희생막을 연마하여, 노드 분리된 예비 플로팅 게이트를 형성하는 단계; 및Polishing the sacrificial layer to expose a stop layer formed on the bottom of the opening to form a node-prepared pre-floating gate; And 상기 저지막을 선택적으로 제거하여 플로팅 게이트를 형성하는 단계를 포함하는 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법.Selectively removing the blocking layer to form a floating gate. 제1항에 있어서, 상기 저지막은 실리콘 질화물을 포함하는 특징으로 하는 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법.The method of claim 1, wherein the blocking layer comprises silicon nitride. 제1항에 있어서, 상기 저지막을 제거하는 단계 전에, 상기 예비 플로팅 게이트의 상부면을 등방성 식각하여 평탄화하는 단계를 더 포함하는 것을 특징으로 하는 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법.The method of claim 1, further comprising isotropically etching and planarizing an upper surface of the preliminary floating gate before removing the blocking layer. 제3항에 있어서, 상기 등방성 식각은 습식 식각(wet etch) 또는 화학 건식 식각(chemical dry etch) 방법으로 수행하는 것을 특징으로 하는 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법. The method of claim 3, wherein the isotropic etching is performed by a wet etch or chemical dry etch method. 제1항에 있어서, 상기 필드 산화막 패턴은,The method of claim 1, wherein the field oxide film pattern, 기판에 패드 산화막 및 질화막 패턴이 적층된 하드 마스크 패턴을 형성하는 단계;Forming a hard mask pattern having a pad oxide film and a nitride film pattern stacked on a substrate; 상기 하드 마스크 패턴을 식각 마스크로 상기 기판을 식각하여 트렌치를 형성하는 단계;Etching the substrate using the hard mask pattern as an etch mask to form a trench; 상기 트렌치를 매립하도록 상기 기판 상에 소자 분리용 산화막을 형성하는 단계;Forming an isolation layer on the substrate to fill the trench; 상기 하드 마스크 패턴의 상부가 노출되도록 상기 소자 분리용 산화막을 연마하여 필드 산화막 패턴을 형성하는 단계; 및Forming a field oxide layer pattern by polishing the oxide layer for isolation of the device to expose an upper portion of the hard mask pattern; And 상기 질화막 패턴을 제거하는 단계를 수행함으로써 형성되는 것을 특징으로 하는 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법.And removing the nitride film pattern.
KR1020070009232A 2007-01-30 2007-01-30 Method of manufacturing floating gate in non-volatile memory device KR20080071256A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070009232A KR20080071256A (en) 2007-01-30 2007-01-30 Method of manufacturing floating gate in non-volatile memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070009232A KR20080071256A (en) 2007-01-30 2007-01-30 Method of manufacturing floating gate in non-volatile memory device

Publications (1)

Publication Number Publication Date
KR20080071256A true KR20080071256A (en) 2008-08-04

Family

ID=39882058

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070009232A KR20080071256A (en) 2007-01-30 2007-01-30 Method of manufacturing floating gate in non-volatile memory device

Country Status (1)

Country Link
KR (1) KR20080071256A (en)

Similar Documents

Publication Publication Date Title
KR100426485B1 (en) Method of manufacturing a flash memory cell
TWI235484B (en) Flash memory cell and method of manufacturing the same
US7037785B2 (en) Method of manufacturing flash memory device
JP2003045957A (en) Method of isolating elements of semiconductor device
US7579237B2 (en) Nonvolatile memory device and method of manufacturing the same
US10505015B2 (en) Memory device and method of fabricating thereof
JP2004179624A (en) Method of manufacturing semiconductor device
KR20040013612A (en) Method of manufacturing semiconductor device having an ONO layer
KR100498507B1 (en) 1 bit local SONOS memory cell using self aligned etching and method of fabricating the same
US10290722B2 (en) Memory device and method of fabricating thereof
KR100624089B1 (en) Method of forming a pattern, method of manufacturing a multi gate oxide layer and flash memory cell using the same
KR100801062B1 (en) Method for trench isolation, method of forming a gate structure using the method for trench isolation and method of forming a non-volatile memory device using the method for trench isolation
US7049236B2 (en) Method of manufacturing a semiconductor device
KR20100080243A (en) Semiconductor device and fabricating method thereof
KR20070118348A (en) Method of manufacturing a non-volatile memory device
KR100869232B1 (en) Memory device and method of manufacturing the same
KR20070000603A (en) Method of manufacturing a floating gate in non-volatile memory device
KR20060125979A (en) Method of manufacturing a floating gate in non-volatile memory device
KR20060135221A (en) Method for manufacturing a cell of flash memory device
KR20070065482A (en) Method of manufacturing a floating gate in non-volatile memory device
KR20070039645A (en) Method of forming a floating gate in non-volatile memory device
KR20080071256A (en) Method of manufacturing floating gate in non-volatile memory device
KR20020095690A (en) Method of manufacturing flash memory device
KR100840791B1 (en) Method of Forming Gate electrode in non-volatile memory device
JP2010040754A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid