KR20060125979A - Method of manufacturing a floating gate in non-volatile memory device - Google Patents

Method of manufacturing a floating gate in non-volatile memory device Download PDF

Info

Publication number
KR20060125979A
KR20060125979A KR1020050047485A KR20050047485A KR20060125979A KR 20060125979 A KR20060125979 A KR 20060125979A KR 1020050047485 A KR1020050047485 A KR 1020050047485A KR 20050047485 A KR20050047485 A KR 20050047485A KR 20060125979 A KR20060125979 A KR 20060125979A
Authority
KR
South Korea
Prior art keywords
floating gate
pattern
layer
film
substrate
Prior art date
Application number
KR1020050047485A
Other languages
Korean (ko)
Inventor
최용희
강창진
지경구
정승필
손승용
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050047485A priority Critical patent/KR20060125979A/en
Publication of KR20060125979A publication Critical patent/KR20060125979A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Element Separation (AREA)

Abstract

A method for forming a floating gate of a non-volatile memory is provided to form a U-typed floating gate by polishing a sacrificial layer formed on a conductive layer for the floating gate until the surface of a part of the conductive layer is exposed and by removing the exposed part of the conductive layer by an etch process. A field oxide layer pattern(110) protrudes from the surface of a substrate(100) so that an opening for exposing a part of the surface of the substrate is formed. A conductive layer for a floating gate(118) is continuously deposited on the field oxide layer pattern and the exposed substrate. A sacrificial layer is formed on the conductive layer for the floating gate to fill the opening. The sacrificial layer is polished to expose the surface of a part of the conductive layer for the floating gate. The exposed conductive layer for the floating gate is selectively etched to form a node-separated floating gate. The sacrificial layer and the field oxide layer pattern remaining between the floating gates are partially removed.

Description

불 휘발성 메모리의 플로팅 게이트 형성 방법{Method of manufacturing a floating gate in non-volatile memory device}Method of manufacturing a floating gate in non-volatile memory device

도 1 내지 도 10은 본 발명의 일 실시예에 따른 불 휘발성 메모리의 플로팅 게이트 형성 방법에 대하여 설명하기 위한 개략적인 공정 단면도들이다.1 to 10 are schematic cross-sectional views illustrating a method of forming a floating gate of a nonvolatile memory according to an embodiment of the present invention.

*도면의 주요 부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

100 : 반도체 기판 102 : 패드 산화막 패턴100 semiconductor substrate 102 pad oxide film pattern

104 : 질화막 패턴 106 : 트렌치104: nitride film pattern 106: trench

108 : 갭 매립 산화막 110 : 필드 산화막 패턴108: gap filling oxide film 110: field oxide film pattern

112 : 제1도전막 114 : 희생막112: first conductive film 114: sacrificial film

116 : 희생막 패턴 118 : 플로팅 게이트116: sacrificial film pattern 118: floating gate

120 : 유전막120: dielectric film

본 발명은 반도체 장치의 제조 방법에 관한 것이다. 보다 상세하게는, 불 휘발성 메모리 소자의 플로팅 게이트를 형성하는 방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor device. More particularly, the present invention relates to a method of forming a floating gate of a nonvolatile memory device.

반도체 메모리 장치는 DRAM(Dynamic Random Access Memory) 및 SRAM(Static Random Access Memory)과 같이 시간이 지남에 따라 데이터를 잃어버리는 휘발성(volatile)이면서 데이터의 입·출력이 빠른 RAM 제품과, 한번 데이터를 입력하면 그 상태를 유지할 수 있지만 데이터의 입·출력이 느린 ROM(Read Only Memory) 제품으로 크게 구분할 수 있다. 이러한 ROM 제품 중에서, 전기적으로 데이터의 입·출력이 가능한 EEPROM(Electrically Erasable and Programmable ROM) 또는 플래쉬 메모리(Flash memory)에 대한 수요가 늘고 있다.Semiconductor memory devices, such as DRAM (Dynamic Random Access Memory) and SRAM (Static Random Access Memory), are volatile and fast data input / output that loses data over time, and data is input once. It can be maintained in this state, but it can be classified into ROM (Read Only Memory) products that have slow input / output data. Among these ROM products, there is an increasing demand for electrically erasable and programmable ROM (EEPROM) or flash memory.

플래쉬 메모리 단위 셀은 플로팅 게이트를 구비하는 수직 적층형 게이트 구조를 포함한다. 구체적으로, 플래쉬 메모리 셀의 게이트는 터널 산화막 상에 플로팅 게이트, 유전막 및 컨트롤 게이트가 적층되는 구조를 갖는다.The flash memory unit cell includes a vertical stacked gate structure having a floating gate. In detail, the gate of the flash memory cell has a structure in which a floating gate, a dielectric layer, and a control gate are stacked on the tunnel oxide layer.

상기와 같은 플로팅 게이트를 형성하기 위하여, 플로팅 게이트가 형성될 부분에 개구를 형성하고, 상기 개구 내부를 채우는 플로팅 게이트용 폴리실리콘을 증착한 후 상기 폴리실리콘을 연마하는 공정을 수행한다. 그러나, 상기 기판의 중심부와 가장자리 부에서 폴리실리콘의 연마 속도가 각각 다를 뿐 아니라, 하나의 칩 내에서도 패턴 밀도가 높은 셀 영역과 패턴 밀도가 낮은 페리 영역에서의 폴리실리콘의 연마 속도가 달라진다. 이로 인해, 기판 전 영역에서 균일하게 상기 폴리실리콘을 연마하는 것이 매우 어렵다. 때문에, 최종적으로 형성되는 플로팅 게이트의 높이가 영역별로 달라지거나 또는 상기 폴리실리콘이 연마되지 못한 부위에서 플로팅 게이트의 분리가 정상적으로 이루어지지 못하는 등의 문제가 발생하기 쉽다.In order to form the floating gate as described above, an opening is formed in a portion where the floating gate is to be formed, a process of polishing the polysilicon after depositing the floating silicon polysilicon filling the inside of the opening. However, not only the polishing rate of polysilicon is different at the center and the edge of the substrate, but also the polishing rate of polysilicon is different in a cell region having a high pattern density and a ferry region having a low pattern density within one chip. Because of this, it is very difficult to polish the polysilicon uniformly over the entire substrate area. Therefore, problems such as the height of the finally formed floating gate is changed for each region or the floating gate is not normally separated at the portion where the polysilicon is not polished.

한편, 통상적으로 상기 플래쉬 메모리 셀의 게이트에서 플로팅 게이트 전극은 라인형의 액티브 영역 상에 구비되어 있다. 상기 플로팅 게이트 전극은 상기 액 티브 영역 상에 일정 크기 이상으로 형성되어야만 셀 전류 및 커플링 계수(coupling ration)를 유지시킬 수 있다. 즉, 상기 셀 전류를 증가시킴으로써 플래쉬 메모리 장치의 동작 속도를 증가시키기 위해서는 채널 길이는 감소시키면서 액티브의 폭은 증가시키는 것이 바람직하다.On the other hand, in the gate of the flash memory cell, the floating gate electrode is typically provided on the line type active region. The floating gate electrode must be formed in a predetermined size or more on the active region to maintain a cell current and a coupling ratio. That is, in order to increase the operating speed of the flash memory device by increasing the cell current, it is desirable to decrease the channel length and increase the width of the active.

그러나, 메모리 셀의 디자인-룰이 점점 더 작아짐에 따라 상기 액티브 영역의 폭도 계속적으로 감소되고 있다. 이로 인해, 충분한 F-N 터널링 효과가 발생하지 못하게 되고, 동작 시에 셀 전류가 감소되어 동작 속도가 감소된다.However, as the design-rules of memory cells become smaller and smaller, the width of the active region continues to decrease. This prevents sufficient F-N tunneling effect from occurring and reduces cell current during operation resulting in reduced operating speed.

상기와 같은 문제점을 해결하기 위하여 대한 민국 공개 제2001-0035297호에 따른 플래쉬 메모리의 플로팅 게이트 형성 방법을 살펴본다.In order to solve the above problems, a method of forming a floating gate of a flash memory according to Korean Patent Publication No. 2001-0035297 will be described.

반도체 기판의 필드 영역 사이에 형성된 개구부를 메우는 형태로 제1플로팅 게이트가 형성되고, 상기 제1플로팅 게이트 상에 U자형 제2플로팅 게이트를 형성한다.A first floating gate is formed to fill an opening formed between the field regions of the semiconductor substrate, and a U-shaped second floating gate is formed on the first floating gate.

상기 제2플로팅 게이트를 형성하는 방법은 우선, 제1플로팅 게이트가 형성되지 않은 부분 즉, 필드 영역 상에 상기 제1플로팅 게이트와 단차를 갖는 주형층을 형성한다. 이어서, 상기 주형층 및 제1플로팅 게이트 상에 제2플로팅 게이트용 폴리실리콘을 연속적으로 증착하며, 상기 주형층이 노출되도록 상기 폴리실리콘의 일부를 제거하고, 상기 노출된 주형층을 제거함으로써 제2플로팅 게이트를 형성한다. 상기 제2플로팅 게이트는 하부면이 제1플로팅 게이트와 접촉하며, 상기 하부면을 제외한 모든 면은 외부에 노출되어 이후에 형성될 유전막과 접촉되는 면적이 넓어진다. 이는 플로팅 게이트와 컨트롤 게이트 사이의 커패시턴스(capacitance)를 증 가시켜 커플링 계수를 향상시킬 수 있음을 의미한다.In the method of forming the second floating gate, first, a mold layer having a step with the first floating gate is formed on a portion where the first floating gate is not formed, that is, a field region. Subsequently, the polysilicon for the second floating gate is continuously deposited on the mold layer and the first floating gate, a portion of the polysilicon is removed so that the mold layer is exposed, and the exposed mold layer is removed. Form a floating gate. A bottom surface of the second floating gate is in contact with the first floating gate, and all surfaces except the bottom surface are exposed to the outside, thereby increasing the area of contact with the dielectric layer to be formed later. This means that the coupling coefficient can be improved by increasing the capacitance between the floating gate and the control gate.

그러나, 상기 주형층을 이용하여 플로팅 게이트를 형성하기 때문에 주형층을 형성하는 동안 증착 공정, 사진 공정 및 식각 공정 등이 수행되어 공정이 다소 복잡해질 수 있으며, 이는 공정 시간 및 비용의 소실을 초래한다.However, since the floating gate is formed using the mold layer, the deposition process, the photolithography process, and the etching process may be performed during the formation of the mold layer, which may cause the process to be somewhat complicated, resulting in loss of process time and cost. .

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 플로팅 게이트의 유효 면적이 증가되고, 이웃하는 플로팅 게이트들이 쇼트(short)되는 문제를 감소시킬 수 있는 불 휘발성 메모리의 플로팅 게이트 형성 방법을 제공하는데 있다.An object of the present invention to solve the above problems is to provide a method of forming a floating gate of a nonvolatile memory that can reduce the problem that the effective area of the floating gate is increased, and the neighboring floating gates short (short). .

상기 목적을 달성하기 위한 본 발명의 일 측면에 따르면, 기판 표면의 일부를 노출시키는 개구부가 형성되도록 상기 기판 표면으로부터 돌출된 필드 산화막 패턴을 형성하고, 상기 필드 산화막 패턴 및 상기 노출된 기판 상에 플로팅 게이트용 도전막을 연속적으로 증착한다. 이어서, 상기 플로팅 게이트용 도전막 상에 상기 개구부를 채우도록 희생막을 형성한 후, 상기 희생막을 상기 플로팅 게이트용 도전막의 일부분의 표면이 노출되도록 연마한다. 상기 노출된 플로팅 게이트용 도전막을 선택적으로 식각함으로써 노드 분리된 플로팅 게이트를 형성함으로써 불 휘발성 메모리의 플로팅 게이트가 형성된다.According to an aspect of the present invention for achieving the above object, to form a field oxide pattern protruding from the substrate surface to form an opening for exposing a portion of the substrate surface, and floating on the field oxide pattern and the exposed substrate The gate conductive film is deposited continuously. Subsequently, after the sacrificial film is formed on the floating gate conductive film to fill the opening, the sacrificial film is polished to expose a surface of a portion of the floating gate conductive film. The floating gate of the nonvolatile memory is formed by selectively etching the exposed floating gate conductive film to form a node-separated floating gate.

상기 식각 공정은 전면 이방성 식각 공정일 수 있다.The etching process may be a front side anisotropic etching process.

상기와 같은 본 발명에 따르면, 개구부를 한정하는 필드 산화막 패턴을 종래에 사용되던 주형층으로 사용함으로써, 플로팅 게이트의 단차를 형성함에 있어 주 형층이 형성되지 않아 공정을 단순화할 수 있다.According to the present invention as described above, by using the field oxide film pattern defining the opening as a mold layer used in the prior art, in forming the step of the floating gate, no mold layer is formed, thereby simplifying the process.

또한, 플로팅 게이트를 형성하기 위하여 식각 공정을 수행함에 있어서, 플로팅 게이트용 도전막의 일부가 노출되도록 화학 기계적 연마 공정을 먼저 수행한 후, 노출된 도전막의 일부를 전면 이방성 식각 공정으로 제거한다. 이로써, U자형 플로팅 게이트를 형성함과 동시에, 이웃하는 플로팅 게이트들을 분리시킬 수 있어, 플로팅 게이트가 쇼트되는 것을 감소시킬 수 있다.In addition, in performing an etching process to form a floating gate, a chemical mechanical polishing process is first performed to expose a portion of the conductive film for the floating gate, and then a portion of the exposed conductive film is removed by an entire anisotropic etching process. Thereby, while forming the U-shaped floating gate, it is possible to separate neighboring floating gates, thereby reducing the shortening of the floating gate.

이하, 본 발명에 따른 바람직한 실시예에 따른 불 휘발성 메모리의 플로팅 게이트 형성 방법에 대해 첨부된 도면들을 참조하여 상세하게 설명하면 다음과 같다.Hereinafter, a method of forming a floating gate of a nonvolatile memory according to an exemplary embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 1 내지 도 10은 본 발명의 일 실시예에 따른 불 휘발성 메모리의 플로팅 게이트 형성 방법에 대하여 설명하기 위한 개략적인 공정 단면도들이다.1 to 10 are schematic cross-sectional views illustrating a method of forming a floating gate of a nonvolatile memory according to an embodiment of the present invention.

도 1을 참조하면, 반도체 기판(100) 상에 패드 산화막(도시되지 않음) 및 하드 마스크용 실리콘 질화막(도시되지 않음)을 형성한다. 상기 패드 산화막은 열 산화 공정에 의해 형성될 수 있으며, 상기 패드 산화막은 이후에 터널 산화막으로 기능한다. 또한, 상기 패드 산화막은 이후에 형성되는 실리콘 질화막이 반도체 기판(100)과 직접 접촉할 때 발생하는 스트레스를 감소시키기 위해 형성된다.Referring to FIG. 1, a pad oxide film (not shown) and a silicon nitride film for a hard mask (not shown) are formed on the semiconductor substrate 100. The pad oxide film may be formed by a thermal oxidation process, and the pad oxide film later functions as a tunnel oxide film. In addition, the pad oxide layer is formed to reduce stress generated when the silicon nitride layer formed thereafter is in direct contact with the semiconductor substrate 100.

상기 실리콘 질화막은 저압 화학 기상 증착(low pressure chemical vapor deposition : LPCVD) 공정에 의해 형성될 수 있다.The silicon nitride film may be formed by a low pressure chemical vapor deposition (LPCVD) process.

이때, 선택적으로 상기 실리콘 질화막 층상에 유기 반사 방지막(Anti-Reflection Layer : ARL, 도시되지 않음)을 더 형성할 수 있다. 상기 유지 반사 방 지막은 이후에 수행되는 사진 공정에서 난반사에 의해 포토레지스트 측벽 프로파일이 불량해지는 것을 방지하기 위해 제공되는 막이다.In this case, an organic anti-reflection layer (ARL, not shown) may be further formed on the silicon nitride layer. The holding antireflection film is a film provided to prevent the photoresist sidewall profile from being deteriorated by diffuse reflection in a subsequent photographic process.

이이서, 상기 실리콘 질화막 상부에 포토레지스트 패턴(도시되지 않음)을 형성하고, 상기 포토레지스트 패턴을 식각 마스크로 상기 실리콘 질화막 및 패드 산화막을 식각하여 패드 산화막 패턴(102) 및 실리콘 질화막 패턴(104)이 적층된 하드 마스크 패턴(105)을 형성한다. 상기 하드 마스크 패턴(105)은 상기 반도체 기판(100)의 필드 영역에 해당하는 부위를 선택적으로 노출시키도록 형성된다.Next, a photoresist pattern (not shown) is formed on the silicon nitride layer, and the silicon nitride layer and the pad oxide layer are etched using the photoresist pattern as an etching mask to form the pad oxide layer pattern 102 and the silicon nitride layer pattern 104. This laminated hard mask pattern 105 is formed. The hard mask pattern 105 is formed to selectively expose a portion corresponding to a field region of the semiconductor substrate 100.

상기 하드 마스크 패턴(105)을 형성한 후, 상기 포토레지스트 패턴은 에싱(ashing) 공정 또는 스트립(strip) 공정을 통해 제거된다.After the hard mask pattern 105 is formed, the photoresist pattern is removed through an ashing process or a strip process.

도 2를 참조하면, 상기 하드 마스크 패턴(105)을 식각 마스크로 상기 반도체 기판(100)의 노출된 영역을 선택적으로 식각하여 트렌치(106)를 형성한다. 이때, 상기 유기 반사 방지막은 반도체 기판(100)을 식각하는 동안 제거된다.Referring to FIG. 2, the trench 106 may be formed by selectively etching the exposed region of the semiconductor substrate 100 using the hard mask pattern 105 as an etching mask. In this case, the organic anti-reflection film is removed during the etching of the semiconductor substrate 100.

이때, 상기 트렌치(106)를 형성한 후, 선택적으로 열 산화막(도시되지 않음) 및 절연막 라이너(도시되지 않음)를 형성할 수 있다. 보다 상세하게, 열 산화막은 이전의 건식 식각 공정 시에 발생한 표면 데미지(damage)를 큐어링(curing)하기 위해 상기 트렌치(106) 표면을 열 산화시켜 매우 얇은 두께로 상기 트렌치(106) 내부에 형성된다. 이어서, 상기 열 산화막이 형성되어 있는 상기 트렌치(106)의 내측면과 저면 및 하드 마스크 패턴(105)의 표면에 수백Å의 얇을 두께로 절연막 라이너를 형성한다.In this case, after the trench 106 is formed, a thermal oxide film (not shown) and an insulating film liner (not shown) may be selectively formed. More specifically, a thermal oxide film is thermally oxidized on the surface of the trench 106 to cure surface damage generated during the previous dry etching process, and is formed inside the trench 106 in a very thin thickness. do. Subsequently, an insulating film liner is formed on the inner side and bottom of the trench 106 where the thermal oxide film is formed, and the surface of the hard mask pattern 105 with a thickness of several hundreds of microseconds.

상기 절연막 라이너는 이후 공정에 의해 상기 트렌치(106) 내에 매립되는 소 자 분리용 실리콘 산화막(도시되지 않음) 내부의 스트레스를 감소시키고, 불순물 이온들이 필드 영역 내로 침투하는 것을 방지하기 위해 형성된다. 상기 절연막 라이너는 특정한 식각 조건 하에서 후에 설명될 실리콘 산화막과의 식각 선택비가 높은 물질로 형성되어야 하며, 예컨대, 실리콘 질화물(SiN)로 형성될 수 있다.The insulating film liner is formed to reduce stress in the silicon isolation film (not shown) embedded in the trench 106 by a subsequent process and to prevent impurity ions from penetrating into the field region. The insulating film liner should be formed of a material having a high etching selectivity with respect to a silicon oxide film, which will be described later, under specific etching conditions. For example, the insulating film liner may be formed of silicon nitride (SiN).

도 3을 참조하면, 트렌치(106)를 채우도록 USG(Undoped Silicate Glass), O3-TEOS USG(O3-Tetra Ethyl Ortho Silicate Undoped Silicate Glass) 또는 고밀도 플라즈마(High Density Plasma : HDP) 산화막과 같은 갭 매립 특성이 우수한 산화막을 화학 기상 증착(Chemical Vapor Deposition : CVD) 방법에 의해 증착하여 갭 매립 산화막(108)을 형성한다. 바람직하게는, SiH4, O2 및 Ar 가스를 플라즈마 소오스로 이용하여 고밀도 플라즈마를 발생시킴으로써 고밀도 플라즈마 산화막을 형성한다. 이때, 트렌치(106)의 내부에 균열이나 보이드가 생성되지 않도록 고밀도 플라즈마 산화막의 갭 매립 능력을 향상시켜 트렌치(106)를 매립한다.Referring to FIG. 3, an undoped silicate glass (USG), O 3 -TEOS USG (O 3 -Tetra Ethyl Ortho Silicate Undoped Silicate Glass), or a High Density Plasma (HDP) oxide film may be used to fill the trench 106. An oxide film having excellent gap filling properties is deposited by a chemical vapor deposition (CVD) method to form a gap filling oxide film 108. Preferably, a high density plasma oxide film is formed by generating a high density plasma using SiH 4 , O 2 and Ar gases as the plasma source. At this time, the trench 106 is embedded by improving the gap filling capability of the high density plasma oxide film so that cracks or voids are not formed in the trench 106.

또한, 필요한 경우에, 갭 매립 산화막(108)을 치밀화(densification)하여 후속하는 세정 공정에 대한 습식 식각율을 낮추기 위하여 약 800∼1050℃의 고온 및 불활성 가스 분위기 하에서 어닐링(annealing)을 실시할 수 있다.Further, if necessary, annealing may be performed under a high temperature and inert gas atmosphere of about 800 to 1050 ° C. to densify the gap buried oxide film 108 to lower the wet etch rate for subsequent cleaning processes. have.

도 4를 참조하면, 상기 갭 매립 산화막(108)을 에치백(etch back) 또는 화학 기계적 연마(Chemical Mechanical Polishing : CMP) 방법으로 하드 마스크 패턴(105)의 표면이 노출되도록 연마하여 상기 트렌치(106) 내부에 필드 산화막 패턴(110)을 형성한다. 이어서, 인산 스트립 공정으로 상기 질화막 패턴(104)을 제거하 여 패드 산화막 패턴(102)을 노출시키는 개구부(111)를 형성한다. 이때, 상기 노출된 패드 산화막 패턴(102)은 터널 산화막으로 기능한다.Referring to FIG. 4, the gap filling oxide layer 108 is polished to expose the surface of the hard mask pattern 105 by an etch back or chemical mechanical polishing (CMP) method to expose the trench 106. ) To form a field oxide film pattern 110. Subsequently, the nitride layer pattern 104 is removed by a phosphate strip process to form an opening 111 exposing the pad oxide layer pattern 102. In this case, the exposed pad oxide layer pattern 102 functions as a tunnel oxide layer.

이때, 상기 반도체 기판(100) 상에는 패드 산화막 패턴(102)이 액티브 영역에 형성되며, 상기 패드 산화막 패턴(102)보다 높게 필드 산화막 패턴(110)이 형성된다. 상기 패드 산화막 패턴(102) 및 필드 산화막 패턴(110)의 단차는 제거된 질화막 패턴(104)의 높이에 해당한다.In this case, a pad oxide layer pattern 102 is formed in the active region on the semiconductor substrate 100, and a field oxide layer pattern 110 is formed higher than the pad oxide layer pattern 102. The step difference between the pad oxide layer pattern 102 and the field oxide layer pattern 110 corresponds to the height of the removed nitride layer pattern 104.

도 5를 참조하면, 상기 패드 산화막 패턴(102) 및 필드 산화막 패턴(110) 표면을 따라 플로팅 게이트 형성용 제1도전막(112)을 형성한다. 상기 제1도전막(112)으로는 폴리실리콘이나 비정질실리콘을 저압 화학 기상 증착 방법에 의해 증착하고 도핑 방법에 의해 불순물을 도핑한다. 예를 들어 설명하면, 상기 제1도전막(112)을 예컨대 POCl3확산, 이온 주입, 또는 인-시튜 도핑 등과 같은 방법으로 고농도의 N형 불순물로 도핑시킨다.Referring to FIG. 5, a first conductive layer 112 for forming a floating gate is formed along surfaces of the pad oxide layer pattern 102 and the field oxide layer pattern 110. As the first conductive film 112, polysilicon or amorphous silicon is deposited by a low pressure chemical vapor deposition method and doped with impurities by a doping method. For example, the first conductive layer 112 is doped with a high concentration of N-type impurities, for example, by POCl 3 diffusion, ion implantation, or in-situ doping.

도시된 바와 같이 상기 형성된 제1도전막(112)은 패드 산화막 패턴(102) 및 필드 산화막 패턴(110)의 단차로 인하여 요철을 가지며 형성된다.As illustrated, the formed first conductive layer 112 is formed with irregularities due to the step difference between the pad oxide layer pattern 102 and the field oxide layer pattern 110.

상기 요철 형상을 이용하여 후에 결과적으로 형성될 U자형 플로팅 게이트(도시되지 않음)를 형성하게 된다. 이는 종래와는 달리 소정의 주형층 없이 플로팅 게이트의 형성이 가능하므로 공정이 단순화되며, 이로 인하여 공정의 시간 및 비용을 절감할 수 있다.The uneven shape is used to form a U-shaped floating gate (not shown) that will later be formed. Unlike the conventional method, since the floating gate can be formed without a predetermined mold layer, the process can be simplified, thereby reducing the time and cost of the process.

도 6을 참조하면, 상기 개구부(111)가 매립되도록 상기 제1도전막(112) 상에 USG막과 같은 갭 매립 특성이 우수한 산화막을 화학 기상 증착 방법에 의해 증착하여 희생막(114)을 형성한다.Referring to FIG. 6, the sacrificial layer 114 is formed by depositing an oxide layer having excellent gap filling characteristics such as a USG layer on the first conductive layer 112 by a chemical vapor deposition method so that the opening 111 is filled. do.

도 7을 참조하면, 상기 희생막(114)을 화학 기계적 연마 공정을 수행하여 상기 제1도전막(112)의 일부분의 표면이 노출되도록 일부 제거하여 희생막 패턴(116)을 형성한다. 이때, 상기 제1도전막(112)의 일부분의 표면은 필드 산화막 패턴(110) 상부에 형성된 제1도전막(112) 부분이다.Referring to FIG. 7, the sacrificial layer 114 is partially removed to expose the surface of the first conductive layer 112 by performing a chemical mechanical polishing process to form the sacrificial layer pattern 116. In this case, a surface of a portion of the first conductive layer 112 is a portion of the first conductive layer 112 formed on the field oxide layer pattern 110.

도 8을 참조하면, 상기 노출된 제1도전막(112)의 일부분을 전면 이방성 식각 공정을 수행하여 제거함으로써 이웃하는 플로팅 게이트(118)들을 서로 분리함과 동시에, 상기 필드 산화막 패턴(110)의 표면을 노출시킨다. 이때, 상기 식각 공정은 건식 식각 공정으로써 CFx 및 O2를 포함하는 혼합 가스를 사용한다.Referring to FIG. 8, a portion of the exposed first conductive layer 112 is removed by performing an anisotropic etching process to separate neighboring floating gates 118 from each other, and to simultaneously remove the portion of the field oxide layer pattern 110. Expose the surface. In this case, the etching process is a dry etching process using a mixed gas containing CFx and O 2 .

상기와 같이 이웃하는 플로팅 게이트(118)들을 분리하기 위하여 화학 기계적 연마 공정 및 건식 식각 공정을 단계적으로 수행함으로써 종래에 발생하던 문제점들을 해결할 수 있다.As described above, a conventional chemical mechanical polishing process and a dry etching process may be performed in order to separate neighboring floating gates 118.

보다 상세하게, 종래 기술에서, 플로팅 게이트용 도전막에 대하여 화학 기계적 연마 공정 수행하여 이웃하는 플로팅 게이트 분리하는데, 반도체 기판의 중심 부위와 가장자리 부위가 연마되는 속도가 달라 반도체 기판 전 영역에 대하여 연마가 균일하게 되지 않는다. 특히, 페리 영역에서의 폴리실리콘도 연마 공정이 덜 수행되어 이웃하는 플로팅 게이트들이 분리되지 않아 상기 이웃하는 플로팅 게이트 사이에 쇼트가 발생된다.More specifically, in the related art, a chemical mechanical polishing process is performed on a conductive film for floating gates to separate neighboring floating gates, and polishing is performed on the entire area of the semiconductor substrate because the speed at which the center and edge portions of the semiconductor substrate are polished is different. It is not uniform. In particular, the polysilicon in the ferry region is also less polished so that neighboring floating gates are not separated, causing shorts between the neighboring floating gates.

한편, 본 실시예에서는 상기 희생막(114)을 화학 기계적 공정을 수행하여 플로팅 게이트용 제1도전막(112)의 일부분을 노출시킨 후, 상기 노출된 제1도전막(112)을 전면 이방성 식각 공정으로 완전하게 제거할 수 있다. 따라서, 이웃하는 플로팅 게이트(118)들 사이를 종래에 비해 정확하게 분리하여 상기 플로팅 게이트(118)의 쇼트 현상을 감소시킬 수 있다.Meanwhile, in the present exemplary embodiment, after the sacrificial layer 114 is subjected to a chemical mechanical process to expose a portion of the first conductive layer 112 for the floating gate, the exposed first conductive layer 112 is anisotropically etched. It can be completely removed by the process. Therefore, the shorting phenomenon of the floating gate 118 may be reduced by accurately separating the neighboring floating gates 118 as compared with the related art.

도 9를 참조하면, 상기 노출된 필드 산화막 패턴(110)의 일부와 희생막 패턴(116)을 습식 식각(wet etch) 공정을 통해 제거한다. 상기 필드 산화막 패턴(110) 및 희생막 패턴(116)을 제거하면 동시에 상기 U자형 플로팅 게이트(118)의 하부면을 제외한 모든 면들이 노출된다. 이는 이후에 형성될 유전막(도시되지 않음)의 유효 면적을 증가시킨다.Referring to FIG. 9, a portion of the exposed field oxide layer pattern 110 and the sacrificial layer pattern 116 are removed through a wet etch process. When the field oxide pattern 110 and the sacrificial layer pattern 116 are removed, all surfaces except for the bottom surface of the U-shaped floating gate 118 are exposed. This increases the effective area of the dielectric film (not shown) to be formed later.

상기 필드 산화막 패턴(110)의 일부 및 희생막 패턴(116)의 일부를 불산(HF) 희석액을 사용하여 식각한다. 이때, 상기 희생막 패턴(116)은 모두 제거되어져야 하며, 상기 희생막 패턴(116)이 제거되는 동안 동일한 식각율을 갖는 필드 산화막 패턴(110)의 일부가 제거된다.A portion of the field oxide layer pattern 110 and a portion of the sacrificial layer pattern 116 are etched using a hydrofluoric acid (HF) diluent. In this case, all of the sacrificial layer pattern 116 is to be removed, and a portion of the field oxide layer pattern 110 having the same etching rate is removed while the sacrificial layer pattern 116 is removed.

도 9에 도시된 바와 같이 상기 플로팅 게이트(118)의 외측면과 접하는 필드 산화막 패턴(110)은 상기 반도체 기판(100) 표면보다 낮은 높이까지 제거되어 상기 플로팅 게이트(118)의 외측면이 모두 노출되는 것이 바람직하다. 이는 후술될 유전막의 유효 면적을 더욱 증가시키기 위함이다.As shown in FIG. 9, the field oxide layer pattern 110 contacting the outer surface of the floating gate 118 is removed to a height lower than the surface of the semiconductor substrate 100 so that all of the outer surface of the floating gate 118 is exposed. It is desirable to be. This is to further increase the effective area of the dielectric film to be described later.

도 10을 참조하면, 상기 플로팅 게이트(118)를 따라 유전막(120)을 형성한다. 상기 유전막(120)은 플로팅 게이트(118)와 후에 형성될 컨트롤 게이트(도시되 지 않음)를 절연시키기 위하여 산화막/질화막/산화막(ONO)으로 이루어진 복합 유전막, 고유전율 물질로 이루어진 고유전율 물질막 등이 채용될 수 있다.Referring to FIG. 10, a dielectric film 120 is formed along the floating gate 118. The dielectric film 120 is a composite dielectric film made of an oxide film / nitride film / oxide film (ONO), a high dielectric material film made of a high dielectric constant material, etc. to insulate the floating gate 118 from a control gate (not shown) to be formed later. May be employed.

상기 복합 유전막은 LPCVD 공정에 의해 형성될 수 있으며, 상기 고유전율 물질막은 Y2O3, HfO2, ZrO2, Nb2O5, BaTiO3, SrTiO3 등으로 이루어질 수 있으며, 원자층 증착(atomic layer deposition; ALD) 공정 또는 CVD 공정에 의해 형성될 수 있다The composite dielectric film may be formed by an LPCVD process, and the high dielectric constant material film may be formed of Y 2 O 3 , HfO 2 , ZrO 2 , Nb 2 O 5 , BaTiO 3 , SrTiO 3 , and the like, and may be atomic layer deposited. It can be formed by a layer deposition (ALD) process or a CVD process

자세하게 도시되어 있지 않지만, 상기 유전막 상에 제2도전막 및 제3도전막을 포함하는 컨트롤 게이트를 형성한다.Although not shown in detail, a control gate including a second conductive layer and a third conductive layer is formed on the dielectric layer.

상기 유전막 상에 불순물 도핑된 폴리실리콘으로 이루어진 제2도전막 및 텅스텐 실리사이드(WSix), 티타늄 실리사이드(TiSix), 코발트 실리사이드(CoSix), 탄탈륨 실리사이드(TaSix)와 같은 금속 실리사이드로 이루어진 제3도전막을 포함하는 컨트롤 게이트를 형성한다.A second conductive film made of polysilicon doped with impurities on the dielectric layer and a third conductive film made of metal silicide such as tungsten silicide (WSix), titanium silicide (TiSix), cobalt silicide (CoSix), and tantalum silicide (TaSix). To form a control gate.

상기 컨트롤 게이트층을 패터닝하여 컨트롤 게이트를 형성한다. 또한, 상기 유전막, 플로팅 게이트 및 터널 산화막을 순차적으로 패터닝하여 플래시 메모리 장치의 게이트 구조물을 완성한다.The control gate layer is patterned to form a control gate. In addition, the dielectric layer, the floating gate, and the tunnel oxide layer are sequentially patterned to complete the gate structure of the flash memory device.

상술한 바와 같이, 본 발명의 바람직한 실시예에 따르면, 플로팅 게이트용 도전막 상에 형성된 희생막을 상기 도전막의 일부분의 표면이 노출되도록 연마한 후, 상기 노출된 도전막의 일부분을 식각 공정으로 제거하여 U자형 플로팅 게이트를 형성한다. 이와 동시에, 이웃하는 플로팅 게이트들을 분리시켜 플로팅 게이트가 쇼트되는 것을 감소시킨다.As described above, according to a preferred embodiment of the present invention, after polishing the sacrificial film formed on the conductive film for the floating gate to expose the surface of a portion of the conductive film, a portion of the exposed conductive film is removed by an etching process U A magnetic floating gate is formed. At the same time, the neighboring floating gates are separated to reduce the shorting of the floating gate.

또한, U자형 플로팅 게이트를 종래에 사용되던 소정의 주형층 없이 형성하여 공정을 단순화할 수 있어 공정 시간 및 비용의 손실을 감소할 수 있다.In addition, the U-shaped floating gate can be formed without any mold layer conventionally used to simplify the process, thereby reducing process time and cost.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (4)

기판 표면의 일부를 노출시키는 개구부가 형성되도록 상기 기판 표면으로부터 돌출된 필드 산화막 패턴을 형성하는 단계;Forming a field oxide pattern protruding from the surface of the substrate such that an opening is formed to expose a portion of the surface of the substrate; 상기 필드 산화막 패턴 및 상기 노출된 기판 상에 연속적으로 플로팅 게이트용 도전막을 증착하는 단계;Continuously depositing a conductive film for a floating gate on the field oxide pattern and the exposed substrate; 상기 플로팅 게이트용 도전막 상에 상기 개구부를 채우도록 희생막을 형성하는 단계;Forming a sacrificial layer on the floating gate conductive layer to fill the opening; 상기 플로팅 게이트용 도전막의 일부분의 표면이 노출되도록 상기 희생막을 연마하는 단계; 및Polishing the sacrificial film to expose a surface of a portion of the conductive film for the floating gate; And 상기 노출된 플로팅 게이트용 도전막을 선택적으로 식각함으로써 노드 분리된 플로팅 게이트를 형성하는 단계를 포함하는 불 휘발성 메모리의 플로팅 게이트 형성 방법.And selectively etching the exposed floating gate conductive film to form node-separated floating gates. 제1항에 있어서, 상기 식각 공정은 전면 이방성 식각 공정인 것을 특징으로 하는 불 휘발성 메모리의 플로팅 게이트 형성 방법.The method of claim 1, wherein the etching process is a front side anisotropic etching process. 제1항에 있어서, 상기 플로팅 게이트 사이에 잔류하는 희생막 및 필드 산화막 패턴의 일부를 제거하는 단계를 더 포함하는 것을 특징으로 하는 불 휘발성 메모리의 플로팅 게이트 형성 방법.The method of claim 1, further comprising removing a portion of the sacrificial layer and the field oxide layer pattern remaining between the floating gates. 제1항에 있어서, 상기 필드 산화막 패턴은,The method of claim 1, wherein the field oxide film pattern, 기판에 패드 산화막 및 질화막 패턴이 적층된 형태의 하드 마스크 패턴을 형성하는 단계;Forming a hard mask pattern in which a pad oxide film and a nitride film pattern are stacked on a substrate; 상기 하드 마스크 패턴을 식각 마스크로 상기 기판을 식각하여 트렌치를 형성하는 단계;Etching the substrate using the hard mask pattern as an etch mask to form a trench; 상기 트렌치를 메우도록 상기 기판 상에 소자 분리용 산화막을 형성하는 단계;Forming an isolation layer on the substrate to fill the trench; 상기 하드 마스크 패턴의 상부가 노출되도록 상기 소자 분리용 산화막을 연마하여 필드 산화막 패턴을 형성하는 단계; 및Forming a field oxide layer pattern by polishing the oxide layer for isolation of the device to expose an upper portion of the hard mask pattern; And 상기 질화막 패턴을 제거하는 단계를 수행함으로써 형성되는 것을 특징으로 하는 불 휘발성 메모리의 플로팅 게이트 형성 방법.And removing the nitride film pattern.
KR1020050047485A 2005-06-03 2005-06-03 Method of manufacturing a floating gate in non-volatile memory device KR20060125979A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050047485A KR20060125979A (en) 2005-06-03 2005-06-03 Method of manufacturing a floating gate in non-volatile memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050047485A KR20060125979A (en) 2005-06-03 2005-06-03 Method of manufacturing a floating gate in non-volatile memory device

Publications (1)

Publication Number Publication Date
KR20060125979A true KR20060125979A (en) 2006-12-07

Family

ID=37729992

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050047485A KR20060125979A (en) 2005-06-03 2005-06-03 Method of manufacturing a floating gate in non-volatile memory device

Country Status (1)

Country Link
KR (1) KR20060125979A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100854905B1 (en) * 2007-03-22 2008-08-28 주식회사 하이닉스반도체 Method of manufacturing a flash memory device
CN110120363A (en) * 2018-02-06 2019-08-13 中芯国际集成电路制造(天津)有限公司 The forming method of isolation structure and the forming method of semiconductor devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100854905B1 (en) * 2007-03-22 2008-08-28 주식회사 하이닉스반도체 Method of manufacturing a flash memory device
CN110120363A (en) * 2018-02-06 2019-08-13 中芯国际集成电路制造(天津)有限公司 The forming method of isolation structure and the forming method of semiconductor devices
CN110120363B (en) * 2018-02-06 2021-03-19 中芯国际集成电路制造(天津)有限公司 Method for forming isolation structure and method for forming semiconductor device

Similar Documents

Publication Publication Date Title
KR100426485B1 (en) Method of manufacturing a flash memory cell
US7498233B2 (en) Method of forming an insulation layer structure having a concave surface and method of manufacturing a memory device using the same
US6927145B1 (en) Bitline hard mask spacer flow for memory cell scaling
EP2284870B1 (en) Method for forming a floating gate non-volatile memory cell
US20060246666A1 (en) Method of fabricating flash memory with u-shape floating gate
JP2009212218A (en) Semiconductor storage device and method for manufacturing the same
US7888208B2 (en) Method of fabricating non-volatile memory device
JP2004179624A (en) Method of manufacturing semiconductor device
KR100396473B1 (en) Semiconductor memory device having floating gate and Method of manufacturing the same
KR100670925B1 (en) Semiconductor device and method of manufacturing the same
JP2005064506A (en) Self-aligned 1-bit sonos cell and forming method therefor
KR100673228B1 (en) Method of manufacturing a nand flash memory device
US6492227B1 (en) Method for fabricating flash memory device using dual damascene process
KR100801062B1 (en) Method for trench isolation, method of forming a gate structure using the method for trench isolation and method of forming a non-volatile memory device using the method for trench isolation
US20080128789A1 (en) Semiconductor memory device and method of manufacturing the same
KR100590396B1 (en) Method of manufacturing a flash memory cell
KR20070118348A (en) Method of manufacturing a non-volatile memory device
KR20060125979A (en) Method of manufacturing a floating gate in non-volatile memory device
KR20070000603A (en) Method of manufacturing a floating gate in non-volatile memory device
KR100869232B1 (en) Memory device and method of manufacturing the same
US10608006B2 (en) Semiconductor memory device and fabrication method thereof
KR20070065482A (en) Method of manufacturing a floating gate in non-volatile memory device
KR101419882B1 (en) Method for forming a pattern, method for forming a charge storage pattern using the same method, Non-volatile memory device and method for manufacturing the same
KR20060135221A (en) Method for manufacturing a cell of flash memory device
US7148098B2 (en) System and method of forming a split-gate flash memory structure

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid