KR20080003445A - 레이저 마킹 시스템에서 웨이퍼 처리 시스템을 정렬하는시스템 및 방법 - Google Patents

레이저 마킹 시스템에서 웨이퍼 처리 시스템을 정렬하는시스템 및 방법 Download PDF

Info

Publication number
KR20080003445A
KR20080003445A KR1020077027382A KR20077027382A KR20080003445A KR 20080003445 A KR20080003445 A KR 20080003445A KR 1020077027382 A KR1020077027382 A KR 1020077027382A KR 20077027382 A KR20077027382 A KR 20077027382A KR 20080003445 A KR20080003445 A KR 20080003445A
Authority
KR
South Korea
Prior art keywords
target wafer
wafer
target
marking
laser
Prior art date
Application number
KR1020077027382A
Other languages
English (en)
Inventor
로버트 파라디스
카이스 발렌타인
게리 파올루치
올리버 스트라이츠
Original Assignee
지에스아이 그룹 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지에스아이 그룹 코포레이션 filed Critical 지에스아이 그룹 코포레이션
Publication of KR20080003445A publication Critical patent/KR20080003445A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Dicing (AREA)
  • Laser Beam Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

배면 웨이퍼 마킹 시스템에서 레이저 처리 성능을 결정하기 위한 시스템이 개시된다. 이 시스템은 웨이퍼의 배면 상에 패턴 마크를 형성하는 레이저 마킹 시스템, 및 타겟 웨이퍼의 전면을 통해서 패턴 마크를 감지하는 감지 시스템을 포함한다. 타겟 웨이퍼는, 타겟 웨이퍼를 통한 감지 시스템의 시인가능한 초점 영역이 타겟 웨이퍼의 전면에 실질적으로 근접하도록, 두께, 굴절률, 및 실질적으로 평면인 두 개의 면을 포함한다.
레이저 마킹 시스템, 인디시아, 타겟 웨이퍼

Description

레이저 마킹 시스템에서 웨이퍼 처리 시스템을 정렬하는 시스템 및 방법 {SYSTEM AND METHOD FOR ALIGNING A WAFER PROCESSING SYSTEM IN A LASER MARKING SYSTEM}
본 발명은 일반적으로 반도체 기판 처리 시스템에 관한 것으로, 특히 배면 웨이퍼 마킹 시스템에서 마크 배치 정밀도를 측정하는 것에 관한 것이다.
반도체 웨이퍼용 레이저 마킹 시스템은, 예를 들어, 상대적인 위치결정, 및 x-y면에서 레이저 마킹이 일반적으로 수행되도록 적어도 x 방향 및 y 방향에서의 하나 이상의 반도체 웨이퍼의 제어를 제공하고, 그리고 그 레이저가 z 방향을 따라서 웨이퍼에 일반적으로 작용하는, 반도체 웨이퍼 처리 시스템에 채용될 수 있다. 이 레이저 마킹 시스템은 정지 상태를 유지할 수도 있지만, 웨이퍼 스테이지(wafer stage)는 x 및 y 방향으로 이동된다. 웨이퍼의 직경은 약 200㎜ 내지 300㎜일 수 있다.
도 1A 및 1B에 도시된 바와 같이, 반도체 웨이퍼 (10)는 복수의 회로 (14a, 14b, 14c, 및 14d)가 형성되는 전면 (12)을 포함할 수 있다. 웨이퍼 (10)는 각각 의 회로 (14a 내지 14d) 상에의 회로 소자 (16 및 18)의 제조 후에 복수의 개별 회로 (14)로 다이싱(dice)될 수 있다. 그러한 네 개 회로가 도 1B에 도시되어 있다.
또, 반도체 웨이퍼 (10)는 처리 장비 내에서 웨이퍼 (10)의 적절한 방위의 식별을 용이하게 하기 위해 노치(notch; 22)를 포함할 수도 있다.
어떤 응용에서는, 각 회로의 전면 또는 배면에 식별 마킹을 더 추가하는 것이 바람직하다. 그러한 마킹은 일반적으로 레이저를 이용하여 수행되며, 또한 이러한 레이저 마킹은 회로뿐만 아니라 각각의 회로에 관련한 제조 정보, 그 회로와 관련한 방위 정보, 또는 그 회로와 관련한 성능(performance) 데이터를 식별하는데 이용될 수 있다.
소자와 도체 경로로 매우 밀집한 회로 (14a 내지 14d)를 반도체 웨이퍼 (10)의 전면 (12)이 포함하는 응용에서는, 종종 반도체 웨이퍼의 배면 상에 식별 마킹을 제공하는 것이 바람직하다. 예를 들어, 도 2A는 반도체 웨이퍼 (10)의 배면 (16)을 나타내며, 도 2B는 각 회로 (14a 내지 14d)의 배면 상의 마킹을 나타낸다. 마킹 정보는 다양한 텍스트 또는 기타 기호 정보를 포함할 수 있으며, 마킹 정보는 도 2B에 각 회로 (14a 내지 14d)의 전면의 하부 우측 코너에 정사각형 (20)으로 표시되어 있다. 그러한 마킹은, 마크된 영역과 마크되지 않은 영역의 콘트라스트가 상대적으로 높은 경우에, 용이하게 기계-판독 가능하다.
그러나, 대부분의 종래 반도체 웨이퍼의 배면은, 더욱 얇은 회로 (14)가 제공될 수 있도록, 반도체 웨이퍼의 두께를 감소시키기 위해 일반적으로 연마된다. 그러한, 웨이퍼의 두께를 감소시키기 위한 연마는 원 운동으로 일반적으로 수행되 며, 이에 의해 다수의 매우 미세한 그루브 (28)가 반도체 웨이퍼 (10)의 배면 (26) 상에, 예를 들어, 일반적인 바람개비 형상으로 형성된다. 이는 어떠한 인디시아(indicia)의 자동 감지를 더욱 복잡하게 한다.
웨이퍼 (10)의 레이저 마킹의 한 방법은 웨이퍼의 배면측의 표면에 패턴을 형성하는 것이다. 반도체 웨이퍼의 배면을 레이저 마킹하는 다른 방법은 레이저를 이용하여 배면 상에 용융 트레이스를 제공하여, 그루브에 의해 제공된 기복면(relief surface)을 제거하는 것을 포함한다. 그러한 트레이스 마크(trace mark)는, 예를 들어, 0 내지 1.0 마이크론, 일반적으로는 약 0.5 마이크론의 매우 작은 기복 깊이를 가질 수 있다. 예를 들어, 참조 문헌으로 본 명세서에 포함된, 미국특허 제 6,261,919호는, 마킹의 목적으로 반도체 웨이퍼의 배면 상에 용융 트레이스를 형성하는 시스템 및 방법을 개시하고 있다. 또한, 참조 문헌으로 본 명세서에 포함된, 미국 공개특허 제 2004/00600910호, 제2004/0031779호, 및 제2004/0144760호는, 표면 손상을 저감하면서 작업물 및 반도체 장치 상에 기계 판독가능한 마크를 제조하기 위한, CSP (Chip Scale Package)에 대한 고속 레이저 기반 마킹 시스템 및 방법을 각각 개시하고 있다.
그러한 기술에 의해 레이저 마크된 인디시아는 어떠한 그래픽 마크 타입일 수도 있지만, 일반적으로 문자숫자식의 기호, 채워진 원과 같은 핀 인디케이터(pin indicator), 회로 형상 지시 마크, 및 역 v자형과 같은 칩 방위 마크이다. 예를 들어, 0.1 ㎜ × 0.2 ㎜ 다이(die)와 같은 소형 다이는 도트 또는 방위 마크로 마킹될 수도 있지만, 예를 들어, 2.5 ㎜ × 20 ㎜의 대형 다이는 문자 숫자식의 기호 로 마킹될 수도 있다.
CSP 마킹에 있어서는, 웨이퍼를 가로질러 다이 사이트(die site)의 배면 상에 인디시아 레이저 마킹이 가능토록, 웨이퍼가 웨이퍼 척(chuck)에 유지된다. 웨이퍼의 크기, 예를 들어, 80 ㎟이상, 보다 더 작은 스캔 범위(scan field)로, 인디시아를 마킹함으로써, 고정밀 마킹이 달성된다. 웨이퍼의 배면 상에 인디시아로 마크되는 전체 사이트를 커버하기 위해, 웨이퍼는 마킹 필드에 대해 스테이지로 스텝된다.
어떤 응용에서는, 웨이퍼의 전면으로부터의 화상과 웨이퍼의 배면으로부터의 화상을 상관시킬 수 있는 레이저 마킹 및 웨이퍼 처리 시스템이 바람직하다. 그러한 상하부 검사를 상관하는 것은 다이싱 이전에 각 회로 상의 각 마크의 위치의 고정밀 테스트를 제공한다. 그러한 상관을 수행하는 것은 웨이퍼의 전면의 전면 감지 시스템뿐만 아니라 취급되는 동안에 웨이퍼의 배면을 판독할 수 있는 배면 감지 시스템을 필요로 한다.
정밀도를 결정하는 종래 방법은 테스트 웨이퍼를 마크하고 그 마크를 측정하는 것이다. 전면 다이스와 관련한 마크 위치를 결정하기 위해, 웨이퍼는 우수한 전후 레지스트레이션(registration)으로 양 측면으로부터 검사되어야 한다. 갠트리 타입(gantry type) 측정 비디오 현미경과 같은, 종래의 대면적 검사 기술은 레지스트레이션을 유지하기 위해 정밀하게 고정하여 웨이퍼를 플립핑(flipping)하는 것을 필요로 한다. 이는 번거로운 작업일 뿐만 아니라, 웨이퍼가 얇고 연약하므로, 파손되기 쉽다. 강한 테스트 웨이퍼의 정확한 편면 검사가 가능한, 향상된 방 법이 요청되고 있다.
따라서, 웨이퍼의 전면과 배면의 자동화된 상관을 제공할 수 있는 레이저 마킹 및 처리 시스템이 요청되고 있다.
배면 웨이퍼 마킹 시스템에서 레이저 처리 성능을 결정하기 위한 시스템이 개시된다. 이 시스템은 웨이퍼의 배면 상에 패턴 마크를 생성하는 레이저 마킹 시스템, 및 타겟 웨이퍼의 전면을 통해서 패턴 마크를 감지하는 감지 시스템을 포함한다. 타겟 웨이퍼는, 타겟 웨이퍼를 통한 감지 시스템의 시인가능한(apparent) 초점 영역이 타겟 웨이퍼의 전면에 실질적으로 근접하도록, 두께, 굴절률, 및 실질적으로 평면인 두 개의 면을 포함한다.
본 발명의 실시형태에 따르면, 투명 기판으로 제조된 테스트 웨이퍼는 적어도일 면상에 패턴된다. 테스트 웨이퍼의 패턴 표면이 로드된 칩 스케일 마킹 시스템은 기판을 통해 패턴을 관찰함으로써 상부측으로부터 패턴에 정렬된다. 패턴이 그후 웨이퍼 배면 상의 저부로부터 마크된다. 테스트 웨이퍼의 양 측면으로부터 마크가 시인될 수 있도록, 마크는 패터닝 재료를 투과한다. 투명 웨이퍼를 검사하여, 측정된 패턴 밴드에 대한 마크 위치를 찾아서 시스템 정밀도를 결정한다. 본 발명은 웨이퍼 마킹 및 검사 어셈블리용 전면 및 배면 상관 시스템을 제공한다. 따라서, 본 발명은 카메라 교정(較正)을 제공한다.
도 3에 도시된 바와 같이, 본 발명의 실시형태에 따른 레이저 상관 시스템 (40)은 웨이퍼 타겟 (44)을 포함한다. 도 4에 상세하게 도시된 바와 같이, 웨이퍼 타겟은 일반적으로 투명하며, 그 위에 불투명한 선이 형성된다. 상관 시스템 (40)은 웨이퍼 타겟 (44)의 배면 상에 인디시아를 형성하는 레이저 마킹 시스템 (54), 그 마킹 시스템 (54)에 대한 웨이퍼 타겟 (44)을 위치 결정하는 척 (46 및 48)을 포함하는 위치 결정 시스템, 그 마킹 시스템 (54) 의 동작을 조정하는 시스템 컨트롤러 (52), 및 전면 검사 시스템 (56)를 더 포함한다. 또, 시스템 (40)은 한 쌍의 동심 형광 링발광체 (60 및 62)를 포함하는 배면 검사 시스템용 조사 시스템을 제공할 수도 있다.
타겟 웨이퍼 (44)는 특정 웨이퍼로부터 다이싱되어질 회로에 각각 대응할 수 있는, 복수의 타겟 셀 또는 다이스 (72)를 포함할 수도 있다. 웨이퍼의 주변 에지는 고르고 선명한 경계부 (70)를 포함할 수 있으며, 또한 타겟 웨이퍼는 방위 노치(orientation notch)(74)를 포함할 수 있다. CSP 마커에 200 ㎜ 웨이퍼를 정렬하기 위해, 상측 다이스는 웨이퍼 상에 정밀하게 위치될 수 있는 카메라에 의해 관찰된다. 바람직하게는, 카메라는 텔레센트릭 렌즈(telecentric lens)를 이용하여 위치에 대한 초점 흐림 오차의 효과를 최소화하고, 다이스의 위치가 결정된다.
각 다이스 (72)는 도 5에 도시된 바와 같이 복수개의 동심 정사각형 (76, 78, 82, 84, 및 86)을 포함하며, 각 테스트와 관련한 주석이 88, 90, 92 및 94로 일반적으로 표시된 바와 같이, 각 정사각형과 관련된 주석 박스 내에 기록될 수 있다.
사용 동안에, 타겟 웨이퍼의 배면은 다이스의 위치와 시스템 교정에 기초하여 마크된다. 이 마킹은 하나 또는 다수의 박스 에지들 사이에 선을 그리려는 것이다. 예를 들어, 마킹 시스템은 박스 (76 및 78)의 타겟 에지들 사이에 네 개의 직선으로 이루어진 박스를 그릴 수 있다. 박스 (76)과 박스 (78)의 에지를 분리하는 공간의 폭이 시스템 허용오차의 두 배 (예를 들어, 50 마이크론의 두 배) 이기 때문에, 그 허용오차를 벗어나는 어떠한 오정렬도 각 박스(76 및 78)의 타겟 에지 내에 위치하지 않는 마킹에 의하여 쉽게 알 수 있다. 스폿 사이즈, 일자, 일련 번호와 같이, 테스트와 관련한 정보 및 로우/칼럼 정보가 관련 주석 필드 내에 기록될 수 있다.
따라서, 마킹은 배면 상에서 수행되고, 화상 해석은 타겟 웨이퍼의 전면에서 수행될 수 있다. 타겟 웨이퍼는 투명한 것이 바람직하며, 예를 들어, 유리로 형성될수 있다. 타겟 웨이퍼 상의 패터닝은, 예를 들어, 크롬을 이용하여 배면 상에 프린트될 수도 있다. 단단한 웨이퍼 에지 노치를 가진 15 ㎜ 폭 도금 환대(annulus)는 종래의 웨이퍼 프리얼라이너(prealigner)와 투명한 웨이퍼를 사전 정렬하는 것을 가능케 한다.
패턴은 상측으로부터 정렬용 투명 기판을 통해 관찰될 수 있다. 특히, 웨이퍼 미세 정렬용으로 사용된 상측 카메라는 노말 웨이퍼(normal wafer) 상부측에 포커싱된다. 정렬 패턴은 테스트 웨이퍼의 상부로부터 하부까지 전치된다(displace). 동시에, 패턴 (98)의 위치는, 도 6에 도시된 바와 같이, 투명 기판의 광학 특성에 기인하여 타겟 웨이퍼 (44)의 배면 (58) 상의 실제 위치로부터 외견상의 위치 (98')로 오프셋된다. 굴절률 n을 갖는 두께 T의 웨이퍼에 대해, 웨이퍼를 통해 볼 때 패턴의 가상 높이 (H)는 H = T × (1-1/n)에 따라서 오프셋된다. 따라서, 테스트 웨이퍼의 두께가 증가하는 경우, 오프셋이 증가된다. 테스트 웨이퍼의 두께 (T)는, 마크할 웨이퍼의 상부 평면 방향으로 오프셋되는 패턴 화상의 높이가 증가되어 화상의 초점 흐려짐을 감소하도록, 증가될 수 있다. 또한, 테스트 웨이퍼의 두께를 증가시키는 것은 테스트 웨이퍼를 더욱 기계적으로 강하게 한다. 실제로, 테스트 웨이퍼는 0.7 ㎜ 두께의 유리이고, 화상은 0.24 ㎜ 두께의 웨이퍼의 상부에 대하여 약 0.24 ㎜ 만큼 오프셋된다. 이러한 테스트 웨이퍼와 0.4 ㎜ 웨이퍼에 의하면, 초점 흐려짐(defocus)은 허용가능한 초점 흐림 범위 내인, 0.16 ㎜이다.
정렬된 테스트 웨이퍼는 일련 번호, 일자 스탬프, 스폿 사이즈, 로우/칼럼 번호와 같은 마킹 데이터 또는 기타 데이터를 기록하기 위해 패턴 상에 문자열 또는 기타 인디시아로 마크된다. 패턴은 측정된 밴드를 포함할 수 있으며, 선 또는 문자는 밴드 내의 예정된(nominal) 위치에서 마크된다. 마킹 정밀도는 테스트 패턴의 밴드 부분에 형성된 마크를 검사함으로써 결정된다. 마크가 밴드 내에 포함되는 경우, 시스템 정밀도가 입증된다. 밴드 형상은, 상이한 밴드들이 소정의 소프트웨어 루틴에 따라서 언제라도 선택 및 순차로 마크될 수 있도록, 소프트웨어로 코드된다.
또, 투명한 테스트 웨이퍼를 마킹하는 것은 시스템을 테스트하고 교정하는데 유리하다. 테스트 웨이퍼의 에지에서의 마킹은 웨이퍼를 가로질러 이동하는 시스템 스테이지를 체크하는데 이용될 수 있지만, 마킹 범위는 웨이퍼 처리 범위의 모든 에지에서 얻을 수 없다. 테스트 웨이퍼의 그러한 패턴은 웨이퍼의 상하측 양자의 초점면 내에 거의 있기 때문에, 상하부 카메라 스케일은 테스트 웨이퍼 패턴을 이용하여 교정될 수 있다. 그 패턴으로는, 정렬 및 교정에 사용되는 정사각형과 같은 형상을 포함하거나, 머신 비전 시스템 교정용으로 사용되는 산업 표준 교정 패턴을 포함할 수도 있다. 조사는 투명 테스트 웨이퍼 상에의 패턴의 전면 조명 또는 배면 조명을 포함할 수 있다.
따라서, 실시형태에 따르면, 본 발명은, 배면 웨이퍼 처리 시스템은 두께, 굴절률, 및 실질적으로 평면인 두 개의 면을 갖는 투명 기판의 표면의 화상을 형성하는 것, 및 그 화상으로부터 정보를 취득하고 그 정보를 카메라 스케일, 마크 위치, 및 스테이지 위치의 하나에 관련시키는 것을 포함하는, 배면 웨이퍼 처리 시스템에서 레이저 처리 성능 특성을 결정하는 방법을 제공하며, 그 제 1 표면은 레이저 처리 평면에 위치되고, 그 기판이 참조 형상으로 패턴되고, 그 패턴된 표면은 마킹하기에 적당하고 기판의 제 2 표면측으로부터 투과 기판을 통해 화상형성되며, 또한 패턴된 표면의 화상은 두께 및 굴절률에 대응하는 높이만큼 시프트되어 나타나며, 그 시인가능한 화상 높이는 처리할 웨이퍼의 두께에 대응한다.
다른 실시형태에 따르면, 본 발명은, 레이저 처리 평면에 두께, 굴절률, 및 실질적으로 평면인 두 개의 면을 갖는 투명 기판의 제 1 패턴 표면, 즉 마킹하기에 적당한 형상과 참조 형상으로 패턴되는 패턴된 표면을 배치하는 것, 기판의 제 2 표면으로부터 투과 기판을 통해 패턴 표면의 상측 화상을 형성하는 것, 상측 화상으로부터 패턴 형상의 적어도 하나의 위치를 결정하는 것, 그 결정된 위치의 위치에 기초하여 패턴 형상의 범위에 마킹 범위를 정렬하는 것, 레이저 처리 평면에서의 패턴 표면 상에 소정 위치에 레이저 마크를 형성하는 것, 및 마킹 범위 내의 마크 위치, 웨이퍼내의 마크 범위 위치, 연재(serialization) 데이터, 일자 스탬프 데이터, 로우/칼럼 데이터, 및 루틴 시퀀스(routine sequence) 중의 하나에 관련한 정보를 레이저 마크로부터 취득하는 것을 포함하는, 레이저 처리 평면을 갖는 배면 웨이퍼 처리 시스템에서 레이저 처리 성능 특성을 결정하는 방법을 제공하며, 그 패턴된 표면의 화상은 두께 및 굴절률에 따른 높이만큼 시프트되어 나타나고, 시인가능한 화상 높이는 처리할 웨이퍼의 두께에 대응한다.
또 다른 실시형태에 따르면, 본 발명은, 레이저 처리 평면에 투명 기판의 제 1 패턴 표면, 즉 마킹하기에 적당한 형상과 참조 형상으로써 패턴되는 패턴 표면을 배치하는 것, 처리할 웨이퍼의 상측 화상에 대응하는 패턴 형상의 적어도 하나의 위치를 결정하는 것, 적어도 하나 결정된 위치에 기초하여 패턴 형상의 범위에 마킹 범위를 정렬하는 것, 패턴 표면 상에 소정 위치에 레이저 마크를 형성하는 것, 및 마킹 범위 내의 마크 위치, 웨이퍼내의 마크 범위 위치, 연재(serialization) 데이터, 일자 스탬프 데이터, 로우/칼럼 데이터, 및 루틴 시퀀스 중의 하나에 관련한 정보를 레이저 마크로부터 취득하는 것을 포함하는, 레이저 처리 평면을 갖는 배면 웨이퍼 처리 시스템에서 레이저 처리 성능 특성을 결정하는 방법을 제공한다.
당업자는 본 발명의 정신과 범위로부터 일탈함이 없이 상술한 실시형태로부터 다수의 변형 및 변경이 가능함을 알 수 있다.
도 1A는 복수개의 회로가 종래 기술에 따라서 상부에 형성될 수 있는 반도체 웨이퍼의 전면의 설명도(illustrative diagrammatic view) 를 나타내고, 및 도 1B는 복수개의 회로의 서브세트를 포함하는 도 1A에 도시된 도면의 일부의 설명도를 나타내고;
도 2A는 복수개의 회로가 종래 기술에 따라서 상부에 형성될 수 있는 반도체 웨이퍼의 배면의 설명도를 나타내며, 및 도 2B는 복수 개 회로의 일부의 배면 상의 마킹을 포함하는 도 2A에 도시된 도면의 일부의 설명도를 나타내고;
도 3은 본 발명의 일 실시형태에 따른 레이저 마킹 및 타겟 웨이퍼를 채용하는 화상형성(imaging) 시스템의 설명도를 나타내고;
도 4는 도 3의 타겟 웨이퍼의 평면 설명도를 나타내며;
도 5는 도 3의 투명 타겟 웨이퍼의 일부의 평면 설명도를 나타내고;
도 6은 도 3의 타겟 웨이퍼의 부분 측단면 설명도를 나타낸다.

Claims (18)

  1. 배면 웨이퍼 마킹 시스템에서 레이저 처리 성능을 결정하는 시스템으로서,
    웨이퍼의 배면 상에 참조 마크를 형성하기 위한 레이저 마킹 시스템; 및
    타겟 웨이퍼의 전면을 통해서 참조 형상을 감지하기 위한 감지 시스템을 포함하고,
    상기 타겟 웨이퍼가, 타겟 웨이퍼를 통한 감지 시스템의 시인가능한 초점 영역이 타겟 웨이퍼의 전면에 실질적으로 근접하도록, 두께, 굴절률 및 실질적으로 평면인 두 개의 면을 포함하는, 시스템.
  2. 제1 항에 있어서, 상기 타겟 웨이퍼는 타겟 웨이퍼의 배면 상에 마크 가능한 참조 형상을 포함하는, 시스템.
  3. 제1 항에 있어서, 상기 타겟 웨이퍼는 타겟 웨이퍼의 실질적 부분 위에 복수개의 반복 패턴을 포함하는, 시스템.
  4. 제1 항에 있어서, 상기 타겟 웨이퍼는 마킹 시스템의 허용오차와 실질적으로 유사한 스케일의 라인 폭을 갖는 마킹 가능한 라인을 포함하는, 시스템.
  5. 제1 항에 있어서, 상기 타겟 웨이퍼는 유리로 형성되고, 상기 참조 형상은 크롬으로 형성되는, 시스템.
  6. 제1 항에 있어서, 상기 타겟 웨이퍼는, 각 테스트와 관련한 주석(note)이 레이저 마킹 시스템에 의해 타겟 웨이퍼 상에 기록될 수 있는, 주석 영역을 포함하는, 시스템.
  7. 배면 웨이퍼 마킹 시스템에서 레이저 처리 성능을 결정하는 시스템으로서,레이저 처리 평면의 영역에 마크를 형성하기 위한 레이저 마킹 시스템; 및 두께, 굴절률, 및 실질적으로 평면인 두 개의 면을 갖는 타겟 웨이퍼를 포함하고,
    상기 타겟 웨이퍼는 레이저 처리 평면에 위치되고 참조 형상으로 패턴된 제 1 표면을 더 포함하고,
    상기 패턴된 표면은 마킹하기에 적당하고 기판의 제 2 표면측으로부터 투과 기판을 통해 화상 형성되며, 또한
    상기 패턴된 표면의 화상은 두께 및 굴절률에 대응하는 높이만큼 시프트되어 나타나며, 상기 시인가능한 화상 높이는 처리할 웨이퍼의 두께에 대응하는, 시스템.
  8. 제7 항에 있어서, 상기 타겟 웨이퍼는 제 1 면 상에 마크되고 제 2 대향 면으로부터 화상 형성되는, 시스템.
  9. 제7 항에 있어서, 상기 타겟 웨이퍼는 타겟 웨이퍼의 실질적인(substantial) 부분에 걸쳐 복수개의 반복 패턴을 포함하는, 시스템.
  10. 제7 항에 있어서, 상기 타겟 웨이퍼는 마킹 시스템의 허용오차와 실질적으로 유사한 스케일의 거리만큼 상호 이격된 라인을 포함하는, 시스템.
  11. 제7 항에 있어서, 상기 타겟 웨이퍼는 유리로 형성되고, 상기 참조 형상은 크롬으로 형성되는, 시스템.
  12. 제7 항에 있어서, 상기 타겟 웨이퍼는, 각 테스트와 관련한 주석이 레이저 마킹 시스템에 의해 타겟 웨이퍼 상에 기록될 수 있는, 주석 영역을 포함하는, 시스템.
  13. 배면 웨이퍼 마킹 시스템에서 레이저 처리 성능을 결정하는 방법으로서,
    타겟 웨이퍼의 배면 상에 패턴 마크를 형성하는 단계; 및
    타겟 웨이퍼의 전면을 통해 참조 형상을 감지하는 단계를 포함하고,
    상기 타겟 웨이퍼는, 타겟 웨이퍼를 통한 감지 시스템의 시인가능한 초점 영
    역이 처리할 웨이퍼의 전면에 실질적으로 근접하도록, 두께, 굴절률, 및 실질적으로 평면인 두 개의 면을 포함하는, 방법.
  14. 제 13 항에 있어서, 상기 타겟 웨이퍼는 타겟 웨이퍼의 배면 상에 참조 형상을 포함하는 방법.
  15. 제 13 항에 있어서, 상기 타겟 웨이퍼는 타겟 웨이퍼의 실질적인 부분에 걸쳐 복수개의 반복 패턴을 포함하는, 방법.
  16. 제 13 항에 있어서, 상기 타겟 웨이퍼는 마킹 시스템의 허용오차와 실질적으로 유사한 스케일의 거리만큼 상호 이격된 라인을 포함하는, 방법.
  17. 제 13 항에 있어서, 상기 타겟 웨이퍼는 유리로 형성되고, 상기 참조 형상은 크롬으로 형성되는, 방법.
  18. 제 13 항에 있어서, 상기 타겟 웨이퍼는, 각 테스트와 관련한 주석이 레이저 마킹 시스템에 의해 타겟 웨이퍼 상에 기록될 수 있는, 주석 영역을 포함하는, 방법.
KR1020077027382A 2005-04-29 2006-03-31 레이저 마킹 시스템에서 웨이퍼 처리 시스템을 정렬하는시스템 및 방법 KR20080003445A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/118,456 2005-04-29
US11/118,456 US20060243711A1 (en) 2005-04-29 2005-04-29 System and method for aligning a wafer processing system in a laser marking system

Publications (1)

Publication Number Publication Date
KR20080003445A true KR20080003445A (ko) 2008-01-07

Family

ID=37233447

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077027382A KR20080003445A (ko) 2005-04-29 2006-03-31 레이저 마킹 시스템에서 웨이퍼 처리 시스템을 정렬하는시스템 및 방법

Country Status (5)

Country Link
US (1) US20060243711A1 (ko)
JP (1) JP2008539085A (ko)
KR (1) KR20080003445A (ko)
CN (1) CN101164140A (ko)
WO (1) WO2006132697A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017142132A1 (ko) * 2016-02-15 2017-08-24 주식회사 이오테크닉스 마킹 위치 보정장치 및 방법
WO2017146300A1 (ko) * 2016-02-25 2017-08-31 주식회사 이오테크닉스 마킹 위치 보정장치 및 방법

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI274396B (en) * 2006-01-11 2007-02-21 Ind Tech Res Inst Transparent wafer with optical alignment function and fabricating method and alignment method thereof
US7494900B2 (en) * 2006-05-25 2009-02-24 Electro Scientific Industries, Inc. Back side wafer dicing
JP2010003939A (ja) * 2008-06-23 2010-01-07 Fujitsu Ltd 基板の製造方法、基板の製造装置及び基板
US20130256286A1 (en) * 2009-12-07 2013-10-03 Ipg Microsystems Llc Laser processing using an astigmatic elongated beam spot and using ultrashort pulses and/or longer wavelengths
CN104722928A (zh) * 2009-12-07 2015-06-24 Ipg微系统有限公司 激光加工及切割系统与方法
US9689804B2 (en) 2013-12-23 2017-06-27 Kla-Tencor Corporation Multi-channel backside wafer inspection
CN104215644B (zh) * 2014-09-01 2016-08-31 南通富士通微电子股份有限公司 测试治具和测试方法
CN104316856B (zh) * 2014-10-29 2017-06-23 上海华力微电子有限公司 背面探测式光子辐射显微镜装置及测试方法
KR101812209B1 (ko) 2016-02-16 2017-12-26 주식회사 이오테크닉스 레이저 마킹 장치 및 레이저 마킹 방법
CN108630561B (zh) * 2017-03-15 2021-10-15 北京北方华创微电子装备有限公司 基片表面的检测装置和检测方法、传片腔室
CN113146054A (zh) * 2020-01-23 2021-07-23 上海新微技术研发中心有限公司 激光加工装置及激光加工方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2897355B2 (ja) * 1990-07-05 1999-05-31 株式会社ニコン アライメント方法,露光装置,並びに位置検出方法及び装置
US5426010A (en) * 1993-02-26 1995-06-20 Oxford Computer, Inc. Ultra high resolution printing method
US6194085B1 (en) * 1997-09-27 2001-02-27 International Business Machines Corporation Optical color tracer identifier in metal paste that bleed to greensheet
JP2000114129A (ja) * 1998-10-09 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
US6525805B2 (en) * 2001-05-14 2003-02-25 Ultratech Stepper, Inc. Backside alignment system and method
US7119351B2 (en) * 2002-05-17 2006-10-10 Gsi Group Corporation Method and system for machine vision-based feature detection and mark verification in a workpiece or wafer marking system
US7110172B2 (en) * 2004-02-27 2006-09-19 Hamamatsu Photonics K.K. Microscope and sample observation method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017142132A1 (ko) * 2016-02-15 2017-08-24 주식회사 이오테크닉스 마킹 위치 보정장치 및 방법
US10867828B2 (en) 2016-02-15 2020-12-15 Eo Technics Co., Ltd. Marking position correcting apparatus and method
WO2017146300A1 (ko) * 2016-02-25 2017-08-31 주식회사 이오테크닉스 마킹 위치 보정장치 및 방법

Also Published As

Publication number Publication date
CN101164140A (zh) 2008-04-16
WO2006132697A3 (en) 2007-02-01
JP2008539085A (ja) 2008-11-13
US20060243711A1 (en) 2006-11-02
WO2006132697A2 (en) 2006-12-14

Similar Documents

Publication Publication Date Title
KR20080003445A (ko) 레이저 마킹 시스템에서 웨이퍼 처리 시스템을 정렬하는시스템 및 방법
JPS60210704A (ja) 顕微鏡を用いた操作装置の精密校正・整合器具及び方法
KR20080072388A (ko) 식별 영역을 이용하여 정상 다이를 선별하는 방법
CN107850759B (zh) 亚微米晶片对准
JP6153117B2 (ja) 結晶方位マーク付き処理基板、結晶方位検出方法及び結晶方位マーク読出装置
KR20170027268A (ko) 시료 홀더 및 시료 홀더군
CN109029309A (zh) 一种对线宽量测机进行校准的方法
US7355422B2 (en) Optically enhanced probe alignment
CN106340482A (zh) 基于晶圆边角和缺口定位的自动校正定标方法
KR101962830B1 (ko) 사전 정렬 측정 장치 및 방법
US4566192A (en) Critical dimension measurement structure
KR100287058B1 (ko) 레티클, 반도체 기판 및 반도체 칩
JP2913609B2 (ja) プロービング装置、プロービング方法およびプローブカード
JP3040845B2 (ja) アライメントマーク
US7618832B2 (en) Semiconductor substrate having reference semiconductor chip and method of assembling semiconductor chip using the same
US6330355B1 (en) Frame layout to monitor overlay performance of chip composed of multi-exposure images
KR100956209B1 (ko) 광학검사장치 교정용 멀티 스탠다드 스케일
US20210036384A1 (en) Non-Destructive Inspection Method
CN109782548A (zh) 光刻装置、光刻方法、决定方法、存储介质和物品制造方法
WO2022118468A1 (ja) ウエハ搬送用基板
JP2005090963A (ja) 測定装置校正方法および測定装置の校正装置
JPH05259010A (ja) 半導体ウエハの識別方法
KR20080001734A (ko) 마스크 결함 위치 검사 방법
Reynolds Preliminary evaluation of the KLA/Micrion 808 one step clear and opaque defect repair system
KR200230848Y1 (ko) 마스크용 패턴검사장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid