KR20070007090A - 제거가능한 하드 마스크를 이용하는 레티클 제조 방법 - Google Patents

제거가능한 하드 마스크를 이용하는 레티클 제조 방법 Download PDF

Info

Publication number
KR20070007090A
KR20070007090A KR1020067017436A KR20067017436A KR20070007090A KR 20070007090 A KR20070007090 A KR 20070007090A KR 1020067017436 A KR1020067017436 A KR 1020067017436A KR 20067017436 A KR20067017436 A KR 20067017436A KR 20070007090 A KR20070007090 A KR 20070007090A
Authority
KR
South Korea
Prior art keywords
hard mask
layer
light
photoresist
reticle
Prior art date
Application number
KR1020067017436A
Other languages
English (en)
Other versions
KR101092175B1 (ko
Inventor
크리스토퍼 데니스 벤처
멜빈 워렌 몽고메리
알렉산더 벅스바움
용희 이베트 리
지안 딩
길라드 알모기
웬디 에이치. 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070007090A publication Critical patent/KR20070007090A/ko
Application granted granted Critical
Publication of KR101092175B1 publication Critical patent/KR101092175B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 레티클 제조를 위한 임계 치수 편차를 감소시킨다. 레티클 기판의 광-차단층으로의 패턴 전달은 본질적으로 패턴이 포토레지스트로부터 전달되는 하드 마스크의 사용에 의존한다. 하드 마스크로의 패턴 전달 동안 발생하는 포토레지스트 풀 백은 최소화된다. 또한, 광-차단층의 반사 특성들에 부합되는 반사-방지 특성들을 갖는 하드 마스크 물질은 임계 치수 사이즈의 감소와 하드 마스크 자체의 패턴 피쳐 무결성의 개선을 가능하게 한다. 광-차단층에 남겨진 반사-방지 하드 마스크 층은 레티클이 반도체 소자 제조 프로세스에 사용될 때 기능성을 제공한다.

Description

제거가능한 하드 마스크를 이용하는 레티클 제조 방법{RETICLE FABRICATION USING A REMOVABLE HARD MASK}
본 발명은 포토마스크로도 통상 지칭되는 레티클을 제조하는데 사용되는 방법에 관한 것이다. 상기 레티클은 포토리소그래픽 기술들을 통해 레티클에서 기판으로 패턴의 전달 동안 광이 관통하는 패턴화된 층을 포함한다.
통상적인 레티클 제조 방법은 석영층과 같은 실리콘 함유 베이스층, 상기 석영층 상부에 제공되는 크롬층, 및 상기 크롬층 상부에 형성되는 크롬 옥시니트라이드로 전이하는 크롬 산화물층을 통상 포함하는 기판의 형성으로 시작된다. 포토레지스트 물질은 통상적으로 크롬 산화물/크롬 옥시니트라이드 층 상부에 제공된다. 포토레지스트 물질은 방사(irradiation)에 의해 이미징되는 패턴이고, 상기 포토레지스트의 이미지는 패턴으로 현상(develop)된다. 그 다음, 패턴화된 포토레지스트가 패턴을 크롬층으로 전달하기 위한 마스크로서 사용된다. 크롬층의 패턴은 레티클이 반도체 기판과 같은 기판 제조에 사용될 때 광이 레티클 부분들을 관통할 수 있도록 하며, 상기 패턴은 포토리소그래피를 통해 반도체 기판으로 전달된다. 레티클 기판의 크롬 산화물/크롬 옥시니트라이드 층은 크롬층의 패터닝 동안 반사-방지 코팅부(ARC)로서 작용한다. 그러나, 이러한 층의 반사-방지 특성들은 레티클 제조의 종래기술에 사용되었던 이전의 포토레지스트들에 사용된 광을 이미징하기 위한 것이였기 때문에 오늘날의 포토레지스트 이미징을 위해서 효과적이지 않는다.
반도체 제조를 위해 사용된 종류의 스텝퍼와 조합되어 사용되는 레티클들은 일반적으로 약 0.25인치 두께인 6 제곱 인치이다. 이러한 레티클들은 반도체 웨이퍼들을 제조하는데 사용되는 종류의 8인치 이상의 대부분의 처리 챔버들에서 제조될 수 있다. 그러나, 상기 레티클은 이미징 발광(imaging radiation)이 상부에서 하부로 하부에서 상부로 전달되는 방식으로 순차적으로 사용되기 때문에, 광이 관통하는 레티클의 표면상에 임의의 큰 스크래치들이 있을 수 없다. 결과적으로, 레티클 제조에 사용되는 툴은 특별한 레티클 기판 핸들링 장치들과 접촉 표면들을 요구한다. 예를 들어, 레티클 기판을 이동시키는 로봇 블레이드는 기판의 에지들 또는 코너들에 의해 기판의 에지로부터 특정 거리 이내에서 상기 레티클 기판을 홀딩할 수 있다. 레티클 기판이 안착되는 페디스털은 상기 기판과 최소한으로 접촉되도록 설계되며, 상승된 립(lip)이 레티클 기판의 에지와 접촉되거나, 페디스털로부터의 몇몇 돌출부들이 레티클 기판과 접촉된다.
현재, 레티클 기판의 형성 동안, 석영 베이스층은 2개 주표면들상에서 연마되며, 그 다음 상기 주표면들 중 하나의 상부에 크롬층과 같은 광-차단층의 물리적 기상 증착이 수행된다. 크롬층의 증착의 종료시점 부근에서, 산소가 증착 챔버에 부가되어 크롬 산화물이 형성되고; 순차적으로 미량의 질소(질소 블리드(bleed)로서 지칭됨) 또한 증착 챔버로 부가되어, 크롬 산화물이 크롬 옥시니트라이드로 전이된다. 전술한 바와 같이, 크롬 산화물/크롬 옥시니트라이드 층은 상기 크롬 산 화물/크롬 옥시니트라이드 층의 표면 상부에 제공되는 포토레지스트의 패턴 이미징 동안 크롬 표면의 반사율을 감소시키도록 작용한다. 반사량은 이미징 발광에 좌우된다.
포토레지스트 이미징을 위해 바람직한 직접 기록 툴들 중 하나는 약 257nm 또는 198nm의 파장에서 기록하는 연속파 레이저이다. 이러한 직접 기록 툴은 오리건주 힐스보로의 ETEC Systems, Inc로부터 ALTA™의 상표로 이용가능하다. 크롬 산화물/크롬 옥시니트라이드 층의 반사율은 257nm에서 약 14%이다. 이것은 목표된 것보다 훨씬 더 크고 포토레지스트를 이미징하는데 사용되는 이전의 기술들로부터의 가공물이며, 광의 이미징 파장은 405nm의 범위이고 약 10% 미만 크기의 반사율을 형성하도록 크롬 산화물/크롬 옥시니트라이드 층의 조성물과 조합되어 작용한다. 오늘날 사용되는 발광 툴들로 포토레지스트를 이미징하는 동안 반사율 문제를 보상하기 위해, 유기 반사방지 코팅(ARC)이 상기 크롬 산화물/크롬 옥시니트라이드 층의 표면 상부에 제공될 수 있다.
상기 크롬층은 염소와 산소의 소스 가스로부터 플라즈마가 생성되는 플라즈마 건식 에칭 기술을 이용하여 통상적으로 패턴화된다. 이러한 플라즈마 에천트는 광에 대해 투명하게 유지될 필요가 있는 기판의 석영 베이스를 공격하지 않는 경향이 있으므로, 크롬의 패턴은 이에 제한됨이 없이 예를 들어, 반도체 웨이퍼의 제조 동안 적절히 전달될 수 있다. 그러나, 염소/산소 플라즈마가 레티클 기판의 석영 베이스를 공격하지 않지만, 플라즈마에 존재하는 산소는 패턴을 크롬층에 전달하는데 사용되는 포토레지스트를 공격한다. 이것은 통상 "레지스트 풀 백(pull back)" 으로 지칭되는 포토레지스트의 면 노출(faceting)을 초래하고, 여기서 포토레지스트에 기록되는 임계 치수의 변화가 크롬으로 에칭되는 패턴의 임계 치수의 변화에 반영된다. 이것은 종종 "CD 손실"로서 지칭된다. 예를 들어, 포토레지스트의 공칭 피쳐 사이즈가 약 720nm인 현재의 테스트 패턴을 기초로, 크롬에서 형성되는 피쳐 사이즈는 원칙상 레지스트 풀 백 효과들로 인해 60nm 내지 70nm 이상일 수 있다. 예를 들어 이에 제한됨이 없이, 만약 198nm 파장의 연속파 레이저를 이용하여 통상적인 ARF(193nm) 포토레지스트상에 기록될 수 있는 가장 작은 공간이 약 110nm의 범위에 있는 경우, 레지스트 풀 백으로 인해, 기록될 수 있는 가장 작은 크롬 공간은 약 170nm 내지 약 180nm의 범위에 있을 수 있다. 예를 들어 이에 제한됨이 없이, 만약 Toshiba 또는 Hitachi로부터 이용가능한 e-빔 기록 툴을 이용하여 통상적인 ARF(193nm) 포토레지스트 상에 기록될 수 있는 가장 작은 공간이 약 90nm이면, 레지스트 풀 백으로 인해, 기록될 수 있는 가장 작은 크롬 공간은 약 150nm 내지 160nm가 된다. 이러한 포토레지스트 풀 백 문제를 없앨 수 있다면, 달성될 수 있는 가장 작은 크롬 피쳐가 실질적으로 개선된다는 것은 명백하다.
포토레지스트 풀 백 문제를 없애는 중요성은 위상 시프팅 레티클들이 고려될 때 훨씬 더 중요하다. 현재, 이러한 레티클들은 제조되는 레티클들의 약 25%를 이루지만, 이러한 퍼센티지는 피쳐 치수 요구조건들이 보다 작은 피쳐 사이즈들로 됨에 따라 증가하고 있다. 위상 시프팅 레티클들은 크롬에 기록될 수 있는 공간의 폭에 영향을 주는 이미징 광의 굴절 성분들을 무력화시키도록 설계된다. 위상 시프팅의 바람직한 방법들 중 하나는 크롬 패턴의 특정 지점들에서 굴절 슬릿들을 이 용하여 달성된다. 기록될 수 있는 가장 작은 공간이 예를 들어 100nm인 바이너리(binary) 마스크에 대해, 위상 시프팅 슬릿은 30nm 범위에 있는 것이 바람직하다. 그러나, 30nm가 기록될 수 없기 때문에, 위상 시프트는 기록될 수 있는 것의 임계치로 제한된다. 포토레지스트 풀 백을 제거함으로써(레지스트 풀 백으로 인해 발생하는 CD 편차를 제거함으로써), 위상 시프트를 위한 임계치가 낮아질 수 있고, 피쳐 해상도 및 무결성이 개선될 수 있다.
2001년 1월 9일자로 등록된 Ku 외의 미국특허 번호 제6,171,764호는 포토리소그래픽 프로세스들에서 발생할 수 있는 광 반사 문제들의 종류를 기술한다. 상기한 기술은 포토레지스트 이미징 동안 반사되는 광을 감소시키도록 유전체 반사-방지(DARC) 층을 사용하는 반도체 제조 프로세스들에 관한 것이다. 특히, Ku 외의 발명과 다른 공지된 방법들의 차이점은 포토리소그래픽 프로세스에 사용되는 기판의 특정 층들의 순서 조절을 기반으로 한다는 것이다. Ku외의 발명에서, DARC 층이 기판 상부에 제공되고, 그 다음 하드 마스크 층, 그 다음 포토레지스트가 제공된다. 이것은 DARC 층이 포토레지스트 층과 하드 마스크 층 사이에 사용되는(칼럼 3, 라인 35-46) 다른 공지된 방법들과 대비된다고 할 수 있다.
2003년 8월 19일자로 등록된 Lee 외의 미국특허 번호 제6,607,984호는 무기 반사-방지 코팅이 사용되어 하부의 무기 유전체층에 대해 선택적 에칭에 의해 순차적으로 제거되는 반도체 제조 방법을 기술한다(칼럼 1, 라인 61-67에서 칼럼 2, 라인 1-6까지).
2000년 6월 21일자로 공개된 Sha-Wen Hisa외의 유럽특허 출원번호 제 99204265.5호는 플라즈마 강화 화학적 기상 증착(PECVD)에 의해 형성되는 무기 유전체층을 사용하는 반도체 상호접속 구조물을 기술한다. 상기 발명의 바람직한 실시예에 따라, 포토레지스트 패턴들이 그 상부에서 현상되는 금속층은 상부 박막 ARC 층과 하부 박막 배리어 층에 의해 인접된 전도성 금속층(알루미늄, 티타늄 등)을 갖는 삽입된 금속 적층부를 포함하며, 여기서 적어도 상기 상부층은 무기 유전체 물질로 이루어진다. 무기 유전체 상부 ARC 층의 사용은 깊은 서브-마이크론 피쳐 사이즈들을 위한 포토레지스트 패턴의 무결성을 보전하면서 보다 더 얇은 포토레지스트 층들의 사용을 용이하게 한다고 할 수 있다.(칼럼 1, 라인 56-58에서 칼럼 2, 라인 1-8)
전술한 모든 인용예들은 반도체 소자들의 제조에서 ARC의 사용에 관련된다. 반도체 소자들의 제조는 제조 효율성을 제공하기 위해 레티클을 통과하는 광을 방해(blanket)하도록 포토레지스트의 노광을 이용하여 통상 수행된다. 레티클을 통하는 포토레지스트 노광 시간은 통상적으로 수 초 내지 수 분 범위이다. 상기 출원인들의 발명은 반도체 제조에 순차적으로 사용되는 종류의 레티클로 패턴을 전달하는데 사용되는 포토레지스트 상의 패턴의 직접 기록에 관련된다. 포토레지스트 상의 패턴의 이러한 직접 기록에는 수 시간, 통상 약 8시간 내지 약 20시간이 걸린다. 레티클을 제조하는데 사용되는 포토레지스트를 패터닝하는데 요구되는 시간 주기의 결과로서(가능할 수 있는 포토레지스트 물질의 차이점들 뿐만 아니라), 패턴화된 포토레지스트의 임계 치수에 영향을 줄 수 있는 화학적 반응들이 포토레지스트에서 발생할 수 있다. 레티클 제조에 사용되는 포토레지스트들은 화학적으로 확장된 포토레지스트들이고 패턴을 기록하는데 요구되는 시간이 너무 길기 때문에, 포토레지스트 하부 기판으로부터 이미징 발광의 편향이 반도체 소자의 제조 동안 보다 더 심해지며, 여기서 포토레지스트 패터닝은 짧은 시간 주기 동안 레티클을 통과하는 블랭킷 발광(blanket radiation)에 의해 수행된다.
현재 레티클 제조에 사용되는 ARC의 기능성을 개선함으로써 레티클 제조 포토레지스트들의 이미징에 사용되는 광의 파장들에 대한 반사율 감소를 달성하는 것이 필요하다. 또한, 패턴화된 레티클의 임계 치수의 보다 양호한 제어를 제공하기 위해 크롬층(또는 다른 유사한 광 방해층)의 에칭 동안 포토레지스트 풀 백을 제거하거나 적어도 현저히 감소시키는 수단이 필요하다.
본 발명은 레티클 제조를 위한 임계 치수 편차(bias)를 감소시킨다. 레티클 기판의 광-차단층으로의 패턴 전달은 본질적으로 포토레지스트로부터라기 보다는 하드 마스크로부터의 전달에 의존한다. 하드 마스크로의 패턴 전달 동안 발생하는 포토레지스트 풀 백은 최소가 되고, 포토레지스트 패턴과 하드 마스크 패턴의 임계 치수 변화는 전형적으로 약 10-12nm 미만이다. 또한, 하드 마스크 물질이 이미징 광 파장으로 조정되는 반사-방지 특성들을 가질 때, 크롬 표면으로부터의 반사율은 포토레지스트의 이미징 동안 실질적으로 감소되고, 직접 기록 패턴과 하드 마스크로 전달되는 패턴 사이의 임계 치수 변화를 추가로 감소시킨다. 하드 마스크로부터 광-차단층으로의 패턴 전달 동안 선택비가 높아서 전형적으로 적어도 약 5:1(여기서, 광-차단층은 하드 마스크보다 5배 더 빠르게 에칭됨)인 경우, 크롬(또는 다른 광-차단층)으로 전달되는 패턴에서 임계 치수 편차(전형적으로 에칭 편차로 지칭됨)를 추가로 감소시킨다. 하드 마스크에 대한 다른 요구조건들을 충족시키면서 달성될 수 있는 하드 마스크에 대한 광-차단층의 가장 높은 선택비가 바람직하다. 전술한 프로세스 고려사항들의 조합은 패턴화된 광-차단층의 임계 치수 사이즈 감소를 가능하게 하고, 패턴화된 광-차단층의 패턴 피쳐 무결성의 개선을 제공한다. 직접 기록 패턴 광의 사이즈로부터 패턴화된 광-차단층으로의 임계 치수의 전형적인 증가는 약 5% 내지 7% 이하 범위일 수 있다.
본 발명의 일 실시예에서, 반사-방지 특성들을 가진 하드 마스크는 크롬의 에칭 이후에 크롬의 표면 상에 남겨질 수 있다. 하드 마스크 표면은 레티클을 이용하여 패턴화되는 반도체 기판 상의 포토레지스트 표면에 접하기 때문에, 하드 마스크에 존재하는 적절한 반사-방지 특성들이 레티클을 통한 반도체 포토레지스트의 블랭킷 발광 이미징 동안 발생하는 반사광의 반등(bounce-back) 양을 감소시키는데 사용될 수 있다. 반사광의 반등이란, 반도체 기판에서 레티클로 또는 다른 표면들(레티클과 반도체 기판 사이)로 반사되어 반도체 기판 포토레지스트로 되돌아오는 광을 의미한다.
본 발명의 다른 실시예에서, 레티클의 제조 동안 습식 에칭이 사용되고, 하드 마스크 물질(반사-방지 특성들을 갖거나 갖지 않는)이 제거되어 습식 에칭 프로세스 동안 오염물을 방지한다. 이러한 실시예에서, 하드 마스크를 제거하는데 사용되는 플라즈마 에천트가 레티클 베이스 기판(전형적으로 석영임)을 에칭할 때, 하드 마스크의 제거 동안 크롬을 통과하는 패턴화된 개구들의 적어도 일부분을 충진시키기 위해 보호층이 제공된다. 이것은 하드 마스크의 제거 동안 패턴 개구들의 저면에서 석영의 에칭을 방지한다.
도 1a 내지 도 1c는 패터닝 이전에 레티클 기판로서 사용되었던 3개의 전형적인 구조물들의 개념적 단면도를 나타낸다.
도 1d 및 도 1e는 포토레지스트 풀 백을 유도하는 프로세스 단계들을 나타내는 개념적 단면도들이다. 포토레지스트 풀 백은 기판의 구조가 도 1a 내지 도 1c에 도시된 종류 중 하나일 때 레티클 기판 상의 크롬(또는 다른 광-차단층)의 에칭 동안 통상 발생한다.
도 2a는 본 발명의 실시예들 중 하나인 개선된 레티클 제조 개시 구조물의 개념적 단면도를 도시한다.
도 2b 내지 도 2d는 도 1a에 도시된 레티클 기판 구조물과 비교하여 도 2a에 도시된 레티클 기판 구조물을 이용하여, 크롬 풀 백(크롬을 통과하는 개구의 증가)이 전형적으로 50% 내지 70% 이상만큼 어떻게 실질적으로 감소되는지를 나타내는 개념적 단면도들이다.
도 3a는 패턴화된 크롬 함유 광-차단층(314) 하부에 놓이는 석영 기판(312), 패턴화된 광-차단층(314)의 표면 상의 반사-방지 특성들(316)을 갖는 무기층을 포함하는 레티클 기판의 개념적 단면도를 도시한다.
도 3b는 리소그래픽 스텝퍼의 사용 위치로 변환되는 도 3a의 레티클 구조물을 도시한다.
도 3c는 광-차단층(314)의 표면상에서 반사-방지 특성들(316)을 억제하는 무기층을 갖지 않는 레티클 구조물(303)의 개념적 단면도를 도시한다. 이것은 최종 패터닝 광(308d)이 반도체 웨이퍼(304)의 표면상에 있는 포토레지스트(320)의 표면(306)으로부터 반등될 수 있다. 반등된 광(311)은 레티클(303) 표면으로부터 반사될 수 있고, 포토레지스트(320)의 표면(306)상에 반등 광(313)을 형성할 수 있다.
도 3d는 광-차단층(314)의 표면상에서 반사-방지 특성들을 나타내는 무기층(316)을 갖는 도 3a 및 도 3b에 나타낸 종류의 레티클 구조물(305)의 개념적 단면도를 도시한다. 반등된 광(311)을 형성하는 최종 패터닝 광(308d)은 반등된 광(311)의 대부분이 무기 반사-방지층(316)에 의해 소모되기 때문에 포토레지스트(320)의 표면으로 재반사되지 않는다.
도 4a 내지 도 4e는 레티클 표면상의 패턴화된 크롬층 위에 놓이는 하드 마스크(반사-방지 특성들을 가질 수 있음)를 제거하는데 사용될 수 있는 일련의 프로세스 단계들의 개념적 단면도들을 도시한다. 이러한 과정은 습식 에칭이 위상 시프팅 레티클의 하부 석영층 또는 광-차단층의 일부분상에서 수행될 때 필요할 수 있다.
상세한 설명에 대한 서문으로서, 본 명세서와 청구범위에서 사용되는 바와 같이, 단수 형태들인 "a", "an" 및 "상기(the)"는 그 문맥상 명백히 반대되는 것을 지시하지 않는 한 복수의 대상물들을 포함한다는 것을 유의해야 한다.
보다 작은 크기의 임계 치수들을 갖는 패턴화된 레티클 구조물들을 달성하기 위해, 본 발명은 상기 구조물을 패턴화하는데 사용되는 포토레지스트의 풀-백 및 면 노출의 영향을 감소시키는 방법을 개발하였다. 또한, 본 발명은 포토레지스트로 패턴의 직접 기록 동안 레티클 구조물의 광-차단층으로부터의 이미징 광의 반사량을 감소시키고, 상기 직접 기록 패턴과 하드 마스크로 전달된 패턴 사이의 임계 치수 변화를 추가로 감소시킨다. 하드 마스크로부터 광-차단층으로 패턴의 전달 동안 선택비는 전형적으로 적어도 약 5:1(여기서, 광-차단층은 하드 마스크보다 5배 더 빨리 에칭됨)만큼 높을 때, 크롬(또는 다른 광-차단층)으로 전달되는 패턴에서 임계 치수 편차(통상 에칭 편차로 지칭됨)를 추가로 감소시킨다. 전술한 프로세스 고려사항들의 조합은 패턴화된 광-차단층의 임계 치수 사이즈의 감소를 가능하게 하고 패턴화된 광-차단층의 패턴 피쳐 무결성을 개선한다. 추가로, 본 발명은 반도체 제조 동안 반도체 포토레지스트 표면으로부터 반등, 반사되는 광자들을 감소시키도록 조절될 수 있는 레티클 구조물을 형성한다.
배경기술 부분에서 언급한 바와 같이, 레티클의 광-차단층으로 패턴을 전달하는데 사용되는 포토레지스트 상의 패턴의 직접 기록 동안 하부 물질들로부터 광학 이미징 광의 반사들은 종종 레티클의 제조 동안 문제점들을 발생시킨다. 정상파들은 광학적으로 이미징되는 포토레지스트에서 생성될 수 있다. 현상되는 포토레지스트의 외부 형상에서 존재하는 결함들은 레티클 패턴의 임계 치수들에 영향을 주고, 특히 피쳐 치수들이 100nm 이하의 범위에 있을 때 레티클 패턴의 치수들의 해상도에 영향을 준다. 이에 따라서 레티클을 이용하여 제조되는 반도체 구조물의 임계 치수들에 영향을 미친다.
전술한 바와 같이, 레티클 기판은 예를 들어 이에 제한됨이 없이, 다양한 물질들의 층들의 증착과 증착된 층들의 부분들의 제거를 위해 반도체 산업에 공지된 종류의 장치를 이용하여 마련될 수 있다.
레티클(포토마스크)을 패터닝하기 위한 모든 프로세스들은 크롬층과 같은 마스크의 패턴화된 광-차단층에서 달성될 수 있는 임계 치수 사이즈 및 패턴 무결성 면에서 본 발명의 장점을 갖는다. 반사-방지 물질(광-차단층의 에칭 동안 하드 마스크로서 작용함)의 잔류층을 갖는 레티클은 레티클이 반도체 소자들의 제조 동안 노광 툴과 조합되어 사용될 경우 특히 유용하다. 이러한 점에서, 본 발명은 발광 툴로서 연속파 직접 기록 레이저의 사용과 화학적으로 증대된 DUV 포토레지스트를 참조로 기술된다. 그러나, 이전에 언급한 바와 같이, 레티클의 광-차단층에서 에칭되는 피쳐들의 임계 치수 사이즈 면에서 본 발명의 장점은 레티클들의 제조를 위한 Hitachi 및 Toshiba로부터 이용가능한 종류의 직접-기록 e-빔 발광 툴에 적용될 수도 있다.
이하에 기술되는 본 발명의 실시예들에서, 레티클의 제조 동안 포토레지스트 물질의 이미징은 직접 기록 연속파 레이지를 이용하여, 특히 오리건주 힐스보로 ETEC Systems, Inc로부터 이용가능한 257nm 또는 198nm 연속파 레이저 직접 기록 툴을 이용하여 수행된다. 직접 기록 연속파 레이저는 광학 발광에 대한 노출을 통해 예를 들어 이에 제한됨이 없이, 집적회로 패턴과 같은 패턴 이미지를 레티클 기판상에 코팅된 패턴화되지 않은 포토레지스트에 기록한다. 레티클 기판은 본 발명에서 순차적으로 기술되는 종류의 특정 층들의 조합물을 포함한다. 그 다음, 노출 된 포토레지스트는 패턴화된 포토레지스트를 형성하기 위해 순차적으로 "현상된(developed)" 패턴의 잠상(latent image)을 포함한다. 패턴화된 포토레지스트는 그 다음 패턴화된 레티클을 생성하기 위해 레티클 기판의 하부층들을 통해 패턴을 전달하는데 사용된다. 패턴은 전형적으로 건식 플라즈마 에칭 기술들에 의해 포토레지스트로부터 하부의 레티클 기판 층들로 전달되지만, 몇몇 예들에서 특정한 에칭된 형상들을 달성하기 위해 습식 에칭이 건식 에칭과 조합되어 사용될 수 있다.
레티클의 광-차단층에서 패턴화된 피쳐들의 임계 치수(CD)에 대한 목표된 제어 수행은 사용되는 특정 발광 툴과 레티클 기판에서 다양한 층들의 조성물의 조합에 의존한다. 본 발명은 주어진 발광 툴을 통해 레티클에 대해 개선된 패턴 무결성을 가진 더 작은 영역의 CD를 제공하기 위해 레티클 기판에서 다양한 층들의 사용과 선택에 관련된다.
본 발명과 관련하여 특정 관심사인 레티클 제조 프로세스들은 포토레지스트를 조사(irradiate)하기 위한 직접 기록 프로세스의 사용을 요구하기 때문에, 이미지의 기록 동안, 및 패턴화된 포토레지스트를 제공하는 이미지의 현상에 필요한 시간 동안, 선택된 포토레지스트가 포토레지스트에 기록되는 잠상에 대한 입체적인 안정성을 제공하는 것이어야 하는 것이 중요하다. 포토레지스트의 잠상 안정성은 전형적으로 약 6시간이고 약 20시간 또는 그 이상만큼 오래 연장될 수 있는 시간 주기 동안, 5nm 미만의 CD 변화가 있도록 되어야 한다.
레티클에 사용되는 기판 물질은 전형적으로 석영, 플루오르화 석영, 보로실리케이트 유리, 소다 석회 유리, 및 이들의 조합물들을 포함하는 물질들의 그룹으 로부터 선택된다. 본 발명에서 기술되는 실시예들에서, 레티클 제조를 위해 사용되는 기판은 아래의 표 1에 나타낸 요구조건들을 충족시키는 석영이다.
석영 특성들
물리적 특성 조건 석영 마스크 블랭크
조성 100% SiO2
팽창 계수(α50-200℃×10-7) 5
어닐링 포인트 1,120℃
광학 특성들 굴절율 1.46 nd
화학적 내구성 중량 감소 탈이온수(DI water), 100℃, 1시간 1/100N HNO3, 100℃, 1시간 5% NaOH, 80℃, 1시간 0.000% 0.000% 0.17mg/mm2
또한, 석영 기판은 이하의 물리적 특성들을 갖는다: 7.413kg/mm2의 영(Young) 계수; 3,170kg/mm2의 수직 계수(sheer modulus); 0.18의 푸아송 비(Poisson ratio); 615kg/mm2의 Knoop 경도; 및 210kg/mm2의 랩핑(Lapping) 경도. 전기적 특성들은 1×1019Ω/square의 표면 저항율과 1×1018Ω/square의 벌크 반사율을 포함한다.
하드 마스크 물질층은 플라즈마 에칭 프로세스 동안 하드 마스크들로서 반도체 산업에서 사용되는 임의의 물질들로부터 선택될 수 있다. 몇몇 예들로서, 하드 마스크 물질은 반사-방지 특성들을 가질 수 있다. 다른 예들에서, 이중층 하드 마스크를 사용하는 것이 바람직할 수 있으며, 여기서 하나의 층은 반사-방지 특성들을 갖지 않고 다른 하나의 층은 반사-방지 특성들을 갖는다. 하드 마스크 물질의 선택을 고려함에 있어서, 상기 물질은 위상 시프팅 레티클이 제조될 때 플라즈마 건식 에칭 프로세스 및 습식 에칭 프로세스를 견딜 수 있을 필요가 있거나, 하드 마스크는 광-차단층의 적어도 일부분의 건식 에칭 이후 및 습식 에칭 이전에 제거될 수 있다.
반사-방지 특성들을 제공하는 하드 마스크 물질들의 전형적인 예들은 이에 제한됨이 없이, 크롬 옥시니트라이드, 실리콘 옥시니트라이드, 고-실리콘(silicon-rich) 산화물, 고-실리콘 질화물, 고-실리콘 옥시니트라이드, 티타늄 질화물, 몰리브덴 실리사이드, 및 SiC; SiC:H; SiC:O,H; SiC:N,H; 및 SiC:O,N,H를 포함하는 실리콘 카바이드를 포함한다. 반사-방지 하드 마스크 물질에 대해 광-차단 물질을 에칭하기 위한 플라즈마 에칭 선택비는 적어도 약 5:1 이상이어야 한다. 하드 마스크의 반사-방지 특성들은 사용되는 이미징 광의 관점에서 특정 포토레지스트를 보호하도록 조정될 필요가 있다. 예로서, 이에 제한됨이 없이, 약 150nm 이하의 피쳐 사이즈들에 대해 전형적으로 사용되는 화학적으로 증대된 포토레지스트들은 메타크리레이트-함유 수지들, 하드록시-페닐-기질의 수지들, 방향족 아크릴-기질의 수지들, 및 이소보닐-기질의 수지들과 같은 바인더 폴리머들을 포함한다.
반사-방지 특성들을 제공하지 않는 하드 마스크 물질들의 전형적인 예들은 이에 제한됨이 없이, 다이아몬드형 탄소, 탄소, 텅스텐, SiO2, 및 Si3N4를 포함한다. 이러한 물질들은 종래기술에 공지된 기술들을 이용하여 물질의 광-차단층 상부에 증착되고, 증착 동안 약 450℃ 이상으로 상승되지 않는 기판 온도가 제공된다. 선택된 하드 마스크 물질은 패턴이 하드 마스크로부터 전달되는 광-차단 물질에 의존한다. 다시, 하드 마스크 물질층에 대해 광-차단 물질층을 에칭하기 위한 플라즈마 에칭 선택비는 하드 마스크 물질에 대해 적어도 약 5배의 에칭속도인 광-차단 물질층에 대한 에칭 속도를 제공해야 하며, 즉 광-차단 물질을 에칭하기 위한 선택비는 비록 50:1의 선택비가 달성되고 더 높은 선택비들이 가능할 수 있지만, 적어도 5:1이어야 하고, 전형적으로 약 8:1의 범위에 있다.
예시적인 실시예들
예 1: 레티클 개시 구조물들의 비교예
도 1a는 레티클의 제조에 사용되는 하나의 종류의 레티클 개시 구조물(110)의 개념적 단면도를 나타낸다. 이러한 예에서, 레티클 개시 구조물(110)은 5,000Å 두께의 화학적으로 증대된 DUV 포토레지스트 층(118)인 DX1100(뉴저지 소모빌의 AZ Clariant Corp.로부터 이용가능함); 크롬 옥시니트라이드로 전이되는 약 200Å 두께의 크롬 산화물 층(116); 750Å 두께의 크롬 광-차단 물질층(124); 및 본 예에서 석영인 실리콘 산화물-함유 기판(122)을 상부에서 하부로 포함하는 층들의 적층부(실물 크기로 도시되지 않음)이다.
도 1b는 레티클의 제조에 사용되는 종류의 다른 레티클 개시 구조물(120)의 개념적 단면도를 나타낸다. 이러한 예에서, 레티클 개시 구조물(120)은 5,000Å 두께의 화학적으로 증대된 DUV 포토레지스트 층(128)인 DX1100; KRF 17G(AZ/Clariant로부터 이용가능함)로서 470Å 두께의 유기 ARC 층(127); 750Å 두께의 크롬 마스크 물질층(124); 및 석영인 실리콘 산화물-함유 기판(122)을 상부에서 하부로 포함하는 층들의 적층부(실물 크기로 도시되지 않음)이다. 유기 ARC 층(127)은 반사-방지 코팅으로서 사용되고 크롬 산화물과 포토레지스트 사이의 직접 접촉부가 있을 경우 몇몇 예들에서 발생하는 화학적 반응을 최소화하기 위해 사용된다.
도 1c는 레티클의 제조에 사용되는 종류의 제 3 레티클 개시 구조물(130)의 개념적 단면도를 나타낸다. 이러한 비교예에서, 상기 개시 구조물은 5,000Å 두께의 화학적으로 증대된 DUV 포토레지스트 층(138)인 DX1100; KRF 17G로서 470Å 두께의 유기 ARC 층(237); 크롬 옥시니트라이드로 전이되는 약 250Å 두께의 크롬 산화물 층(무기 ARC 층)(136); 750Å 두께의 크롬 마스크 물질층(134); 및 석영인 실리콘 산화물-함유 기판(132)을 상부에서 하부로 포함하는 층들의 적층부(실물 크기로 도시되지 않음)이다.
도 1a 내지 도 1c에 도시된 레티클 개시 구조물들의 모든 예들은 동일한 문제점을 갖는다. 이들은 모두 크롬 마스크 물질의 에칭을 위한 마스크 물질로서 포토레지스트에 의존한다. 결과적으로, 포토레지스트 층의 두께는 5,000Å이고, 크롬의 에칭이 진행됨에 따라 발생하는 레지스트 풀 백이 존재하여 이러한 모든 예들에서 문제를 야기한다. 이러한 문제는 도시된 도 1a의 레티클 개시 구조물을 이용하여 도 1d 및 도 1e에 나타낸다.
도 1d는 직접 기록 광에 노출된 다음 포토레지스트 제조자에 의해 추천된 액체 현상기를 이용하여 현상되었다. 포토마스크(118)를 통과하는 개구(140)는 예를 들어 트렌치의 폭 또는 콘택 비아의 직경일 수 있는 임계 치수 d1을 갖는다. 이러한 예에서, 에칭된 테스트 패턴의 폭은 720nm이다. 크롬 산화물/크롬 옥시니트라이드의 하부층을 통해 개구(140)를 전달시킨 이후, 개구(140)의 폭 d2는 도 1e에 도시된 것처럼, 약 780nm 내지 790nm이다. d1에 대한 d2의 증가는 약 60nm 내지 70nm일 수 있다.
본 명세서의 배경기술 부분에서 기술된 것처럼, 레티클의 패턴들의 임계 치수들이 보다 작아짐에 따라, 포토레지스트 풀 백에 의해 야기되는 임계 치수의 폭에 미치는 영향은 매우 중요한 문제가 된다.
예 2: 포토레지스트 풀 백 문제의 방지
도 2a 내지 도 2d는 포토레지스트 풀 백 없이, 및 이에 따라 최종 CD 편차 문제들 없이, 레티클의 광-차단층의 패턴 에칭을 허용하는 일반적인 개념을 나타낸다. 도 2a 내지 도 2d는 개시 구조물로 시작하여 패턴화된 레티클로 종료되는 레티클 제조 프로세스의 개념적 단면도들을 도시한다.
도 2a는 도 1a 내지 도 1c를 참조로 기술된 종류의 화학적으로 증대된 포토레지스트 층(218)으로 상부에서 하부로 이루어진 레티클 개시 구조물(200)을 나타내고, 포토레지스트 층(218)의 두께는 약 3,000Å 내지 약 4,000Å이다. 하부 포토레지스트 층(218)은 반사-방지 특성들을 갖는 플라즈마 하드 마스크로서 작용하도록 선택된 SixOyNz의 무기 ARC 층(216)이다. SixOyNz의 하드 마스크 층(216)의 두께는 약 200Å 내지 약 500Å 범위이고, 전형적으로 약 300Å이다. 하부의 SixOyNz의 하드 마스크 층(216)은 석영 기판(212)의 표면상에 있는 약 750Å 두께를 갖는 크롬층(214)이다.
도 2b는 이에 제한됨이 없이 예로서 레티클의 사용 동안 반도체 기판으로 전달되는 콘택 비아의 직경 또는 트렌치의 폭일 수 있는 임계 치수 d4를 갖는 개구를 형성하기 위해 이미징 및 현상 이후 도 2a의 레티클 개시 구조물을 나타낸다.
이러한 특정 실시예에서, 포토레지스트는 Shipley Company로부터 이용가능한 화학적으로 증대된 포토레지스트로서 UV6, 또는 Hoya로부터 이용가능한 화학적으로 증대된 포토레지스트로서 FEP 171이다. 포토레지스트를 이미징하는데 사용되는 광원은 257nm 연속파 레이저 직접 기록 툴인 오리건주 힐스보로의 ETEC Systems,Inc로부터 이용가능한 ALTA 4300이다. SixOyNz의 하드 마스크 층(216)의 조성은 약 0.45 내지 약 0.55 범위인 x; 약 0.2 내지 약 0.3 범위인 y; 및 약 0.2 내지 약 0.3 범위인 z이다(수소를 제외함). 이러한 특정 조성은 약 1.95 내지 약 2.1 범위인 n, 및 약 0.3 내지 약 0.6 범위인 248nm의 k를 제공함으로써, 적어도 200Å의 두께를 보장하여 하부 크롬층으로부터 반사되는 257nm 연속파 레이저 광이 SixOyNz의 하드 마스크 층을 통해 상부의 포토레지스트 층으로 관통하지 않도록 한다. 또한, 에칭되는 크롬층은 약 750Å 두께이고 상기 크롬층에 대한 SixOyNz의 하드 마스크 층의 선택비가 약 7.5:1 보다 더 크기 때문에, 크롬층을 통해 에칭가능한 하드 마스크 층에 대한 최소 요구 두께는 가장 작은 영역들상의 약 100Å 내지 코너들상의 약 200Å 범위이다. 이러한 요구조건들을 모두 고려한 이후, SixOyNz의 하드 마스크 층의 두께는 안전 계수로서 허용가능한 300Å으로 설정된다. 본 실시예에서 사용된 포토레지스트는 Hoya로부터 이용가능한 FEP 171, 또는 Shipley Company로부터 이용가능한 UV6이지만, 예로서 이에 제한됨이 없이, TOK의 REAP 122 또는 Sumitomo/Sumika의 PEK 130, 또는 Clariant의 DX1100P와 같은 다른 유사한 화학적으로 증대된 포토레지스트들이 사용될 수 있다.
포토레지스트가 작용하도록 설계된 nm 파장과 이미징 광의 nm 파장을 가능한 근접하게 일치시키는 것이 바람직할 수 있다.
포토레지스트의 이미징 이후, 포토레지스트는 포토레지스트의 제조자에 의해 추천된 방식으로 현상된다. 임계 치수 d4는 약 720nm 범위의 테스트 패턴 치수이다.
SixOyNz의 ARC 층 아래에 있는 크롬-옥시니트라이드 ARC 층이 존재하는 경우, SixOyNz의 ARC 층은 257nm 노광 파장에서 k=0.3에 근접하게 조정되어야 한다. 이것은 약 0.4-0.45의 하한값으로 (x)를 감소시킴으로써 달성된다. 크롬 옥시니트라이드 ARC 표면층 없는 크롬의 경우, SixOyNz는 노광 파장에서 k=0.5 내지 0.6에 근접하게 조정되어야 한다. 이것은 약 0.5에서 0.6까지의 상한값으로 (x)를 증가시킴으로써 달성된다.
실리콘 옥시니트라이드는 전자빔 ARC로서 사용될 수 없다. e-빔 ARC를 위해 α-Si 또는 α-C와 같은 전도층이 사용되어야 한다.
SixOyNz의 ARC/하드 마스크 층은 플라즈마 강화 화학적 기상 증착(PECVD)을 이용하여 증착된다. PECVD는 평행판과 용량성으로 결합된 플라즈마 처리 장치로 수행된다. PECVD의 프리커서들은 목표된 값들의 x, y, z에 따라 아래의 표 2에 나타낸 부분들에 사용된 SiH4, N2O, 및 He이다. CVD 챔버 압력은 약 3 Torr 내지 약 9 Torr 범위이고 5 Torr에서 양호한 결과들이 달성된다. 이러한 처리 챔버에 대해, 반응 가스들의 전체 흐름율은 약 4,000 sccm 내지 약 4,300 sccm 범위이고, 아래의 표 2에 나타낸 특정 양의 각 가스를 갖는다. 플라즈마 소스 전력은 약 0.25W/cm2 내지 약 1W/cm2 범위이고, 여기서 cm2은 하드 마스크 층이 증착된 레티클 기판의 표면적을 지칭한다. 기판에 어떠한 바이어스 전력도 인가되지 않는다. 레티클 기판 아래에 놓이는 캐소드(지지 페디스털)의 온도는 약 250℃ 내지 약 400℃ 범위이고, 결과적인 레티클 온도는 약 210℃ 내지 약 360℃ 범위이다. 저온들에서 PECVD 증착 처리의 동작은 광-차단층에 대한 SixOyNz의 선택비 감소를 초래한다. 크롬 광-차단층에 대해, 400℃ 캐소드 온도는 하부의 크롬에 영향을 주지 않는 것으로 보인다. 에칭된 크롬 라인의 거칠기는 에칭 이후 나타나지 않고, 상기 거칠기는 SixOyNz 층의 PECVD 증착 동안 사용되는 온도에의 노광으로 인해 크롬에서 결정화 또는 그레인 성장 또는 유사한 변화에 기여한다.
PECVD SixOyNz를 위한 처리 조건들
처리 조건 일반적 범위 바람직한 범위 현재 알려진 최상의 범위
전체 가스 흐름율(sccm) 4190±50% 4190±20% 4190±10%
SiH4 (sccm) 110±50% 110±10% 110±10%
N2O (sccm) 280±50% 280±50% 280±10%
헬륨 (sccm) 3,800±50% 3,800±50% 3,800±10%
기판 온도 (℃) 150 내지 450 250 내지 425 350 내지 400
처리 챔버 온도 (℃) 150 내지 450 250 내지 425 350 내지 400
처리 챔버 압력(Torr) 5±50% 5±20% 5±10%
소스 전력 (W/cm2) 0.4±100% 0.4±25% 0.4±10%
막 증착을 위해 사용된 8인치 웨이퍼 PECVD 챔버에서 기판 상부면과 면판 사이의 간격은 약 350mils(8.9mm) 내지 400mils(10.2mm)이다.
상술한 처리 조건들은 전체 위상 시프트 상쇄에 요구되는 광학 특성들(n, k, 및 d)간의 관계에 따라, 약 2.0±30% 범위, 전형적으로 약 2.0±20%의 노광 λ(257nm)에서 굴절율 n을 가지고, 약 100Å 내지 1,000Å 범위, 하부의 크롬층과 조합되어 사용되기 위해 전형적으로 약 250Å 내지 약 300Å 범위의 막 층 두께를 갖는 SixOyNz 막을 제공하도록 설계되며, 여기서 n은 굴절율, k는 흡광 계수, d는 막의 두께이다.
상술한 처리 조건들은 약 0.4±50%, 전형적으로 0.4±20% 범위의 노광 λ(257nm)에서 흡광 계수 k를 갖지며, 100Å 내지 1,000Å 범위, 하부 크롬층과 조합하여 사용하기 위해 전형적으로 250Å 내지 약 300Å의 막 층의 두께를 갖는다.
도 2c에 나타낸 바와 같이, FEP 171 포토레지스트(218)의 패터닝 이후, 포토레지스트는 하부의 SixOyNz의 하드 마스크 층(216)을 통해 패턴을 전달하는데 사용되며, 상기 플라즈마 소스 가스는 CF4 및 CHF3로 본질적으로 이루어지거나 SF6 및 헬륨으로 본질적으로 이루어진 에천트 플라즈마를 생성하는데 사용된다. 이러한 소스 가스들은 양호한 결과들을 제공한다. CF4/CHF3 플라즈마 소스가 사용될 때, CF4 대 CHF3의 부피 비율은 전형적으로 약 1:10 내지 약 2:1 범위이고 약 1:3에서 양호한 결과들이 달성된다. SF6 및 헬륨 플라즈마 소스 가스가 사용되면, SF6 대 헬륨의 부피 비율은 약 0.02:1 내지 약 0.05:1이고, 약 0.033:1에서 양호한 결과들이 달성된다. 에칭 챔버의 압력은 전형적으로 약 1 mTorr 내지 약 10 mTorr 범위이고, CF4/CHF3 플라즈마에 대해 약 3 mTorr 및 SF6/헬륨 플라즈마에 대해 약 5 mTorr에서 양호한 결과들이 달성된다. 에칭 프로세스는 캘리포니아 산타클레라의어플라이드 머티어리얼스 사로부터 이용가능한 DPS™ 에칭 챔버인 TETRA Ⅱ® 에칭 챔버에서 수행된다.
이러한 에칭 챔버에서, 플라즈마 소스 가스 흐름율은 약 20 내지 약 100 sccm 범위이고, 전형적으로 약 40 sccm이다. 인가되는 플라즈마 소스 전력은 약 200W 내지 약 700W 범위이고, 약 250W에서 양호한 결과들이 달성된다. 에칭 챔버에서의 플라즈마 밀도는 약 1×1011 내지 약 1×1012 범위로서, 즉 높은 밀도의 플라즈마가 사용된다. 레티클 기판은 약 10W 내지 약 200W 범위의 바이어스 전력에서 바이어싱된다. CF4/CHF3 플라즈마 소스 가스 에칭 화학제에 대해, 약 70W의 바이어스 전력이 양호한 결과들을 제공한다. SF6/헬륨 플라즈마 소스 가스 에칭 화학제에 대해, 약 50W의 바이어스 전력이 양호한 결과들을 제공한다. 레티클 기판 아래의 캐소드 온도는 전형적으로 약 20℃이고, 챔버 벽 온도는 전형적으로 약 65℃이다.
기판은 바이어스된 캐소드의 양극산화처리된(anodized) 알루미늄 표면상에 안착되어 중력에 의해 제자리에 유지된다. 캡쳐 링은 기판을 둘러싸고 플라즈마 에천트가 레티클 기판의 후면에 도달하는 것을 방지하는 것을 돕는다. TETRA Ⅱ® 에칭 챔버와 같은 DPS™ 에칭 챔버는 플라즈마 생성과 기판 바이어싱(통상적으로 Decoupled Plasma Source(DPS)로서 지칭됨)을 위한 개별적인 전력 인가를 허용한다. 플라즈마 생성을 위한 전력과 기판 바이어싱을 위한 전력의 개별적인 인가는 플라즈마 밀도의 개별적인 제어와 기판의 표면상에 생성되는 인력들(DC 전압)을 허용한다.
SixOyNz의 하드 마스크 층(216)은 약 733nm의 임계 치수 d5를 제공하고, 포토레지스트의 임계 치수 d4와 단지 약 13nm인 SixOyNz의 하드 마스크의 임계 치수 d5 사이의 차이를 제공함으로써 에칭된다. SixOyNz의 하드 마스크 층(216)을 통해 에칭한 이후 남아 있는 포토레지스트 층(218)의 잔류 부분은 포토레지스트 물질이 크롬층(214)의 에칭 동안 변형되는 경향이 있다면 크롬층(214)의 에칭 이전에 제거될 수 있다. 그러나, 사용된 포토레지스트가 크롬층(214)으로 에칭되는 개구의 에칭 프로파일에 영향을 주는 방식으로 변형되지 않으면, 전형적으로 약 400Å 미만인 하드 마스크(216)의 초기 두께로 인해 SixOyNz의 하드 마스크 층(216)에서 임의의 "핀 홀들"(미도시)의 영향을 감소시키도록 돕기 때문에, 배치된 잔류 포토레지스트 층(218)이 크롬층(214)의 에칭 동안 소모되도록 내버려두는 것이 바람직할 수 있다.
도 2d는 크롬층(214)을 통한 플라즈마 에칭 이후 레티클을 도시한다. 크롬은 SixOyNz의 하드 마스크를 에칭하는 것을 참조로 전술한 것과 동일한 에칭 처리 챔버에서 에칭된다. 플라즈마 에천트의 생성을 위해 사용되는 플라즈마 소스 가스는 Cl2 형태의 염소 및 O2 형태의 산소이다. 예로서 이에 제한됨이 없이 헬륨, 네온, 아르곤, 및 크립톤과 같은 불활성인 다른 가스들이 플라즈마 소스 가스에 첨가될 수 있다. Cl2/O2 플라즈마 소스 가스가 사용되면, Cl2 대 O2의 부피 비율은 전형적으로 약 20:1 내지 약 1:1.2 범위이고, 약 10:1에서 양호한 결과들이 달성된다. 헬륨이 불활성 가스로서 첨가되면, 산소에 대한 헬륨의 부피 비율은 약 15:1 내지 약 1.2:1 범위이다. 에칭 챔버의 압력은 전형적으로 약 3 mTorr 내지 약 10 mTorr 범위이고 약 4 mTorr에서 양호한 결과들이 달성된다.
TETRA Ⅱ® 에칭 챔버에서, 전체 플라즈마 소스 가스 흐름율은 약 100 내지 약 500 sccm 범위이고, 전형적으로 약 400 sccm이다. 인가되는 플라즈마 소스 전력은 약 300W 내지 약 600W 범위이고, 약 350W에서 양호한 결과들이 달성된다. 에칭 챔버의 플라즈마 밀도는 약 1×1011e-/cm2 내지 약 1×1012 e-/cm2 범위로서, 즉 높은 플라즈마 밀도가 사용된다. 레티클 기판은 약 0 W 내지 약 200W 범위의 바이어스 전력에서 바이어싱된다. Cl2/O2 플라즈마 소스 가스 에칭 화학제에 대해, 약 15W의 바이어스 전력이 양호한 결과들을 제공한다. 레티클 기판 아래의 캐소드 온도는 전형적으로 약 20℃이고, 챔버 벽 온도는 전형적으로 약 65℃이다.
크롬 광-차단 층은 약 760nm의 임계 치수 d6를 제공함으로써 에칭되고, 포토레지스트 마스크를 이용하여 크롬이 에칭될 때 관찰된 60nm 내지 70nm와 비교하여, 포토레지스트의 임계 치수 d4와 패턴화된 크롬 광-차단 층의 임계 치수 d6 사이의 차이는 단지 약 40nm이다. 현상된 포토레지스트 임계 치수와 패턴화된 광-차단 층 임계 치수 사이의 에칭 편차의 이러한 중요한 개선점은 더 작은 피쳐 사이즈들을 갖는 레티클의 제조를 가능하게 한다. 여기서 에칭되는 테스트 패턴은 720nm 테스트 패턴이지만, 예를 들어 110nm 범위에서 더 작은 패턴 피쳐들에 대해 이와 유사한 비례적인 에칭 편차 개선이 발생하는 것으로 예상된다.
크롬층을 패턴화하는데 사용된 하드 마스크가 다이아몬드형 탄소와 같은 물질인 경우, 다이아몬드형 탄소 물질을 에칭하기 위해 플라즈마를 생성하는데 사용되는 플라즈마 소스 가스는 예를 들어 산소 및 헬륨일 수 있다. 전형적으로, 산소 대 헬륨의 부피 비율은 약 1:1 내지 약 1:10 범위이다. 에칭 챔버의 압력은 통상적으로 약 3 mTorr 내지 약 15 mTorr 범위이고, TETRA®Ⅱ 에칭 챔버에서 약 8 mTorr에서 양호한 결과들이 달성된다. 약 20 sccm 내지 약 100 sccm의 플라즈마 소스 가스 흐름율, 전형적으로 약 40 sccm의 흐름율이 사용된다. 인가되는 플라즈마 소스 전력은 약 200W 내지 약 700W이다. 챔버의 플라즈마 밀도는 약 1×1011 e-/cm2 내지 약 1×1012 e-/cm2 범위이다. 레티클 기판은 약 20W 내지 약 70W의 바이어스 전력에서 바이어싱된다. 레티클 기판 아래의 캐소드 온도는 전형적으로 약 20℃이고, 챔버 벽 온도는 전형적으로 약 65℃이다.
예 3: 패턴화된 광-차단 층 상부에 ARC를 갖는 레티클의 장점
도 3a 내지 도 3d는 레티클 구조물의 장점들을 보여주는 개념적 단면도들을 도시하며, 여기서 반사-방지 특성들을 갖는 하드 마스크는 레티클을 이용하여 반도체 웨이퍼상의 포토레지스트를 이미징하는 동안 패턴화된 크롬-함유 층(또는 다른 광-차단층)의 표면 상부에 존재한다. 이러한 특징은 포토레지스트의 이미징이 광선으로 수행될 때 유용하다.
도 3a는 석영 기판(312), 하부의 패턴화된 크롬-함유 광-차단층(314), 패턴화된 광-차단층(314)의 상부 표면상에 존재하는 반사-방지 특성부들(316)을 갖는 무기층을 상부에서 하부로 포함하는 레티클 구조물(305)의 개념적 단면도를 도시한다. 이러한 구조물은 도 3d에 나타낸 종류이고, 그 제조 방법은 도 3d를 참조로 상세히 설명된다.
도 3b는 예로서 이에 제한됨이 없이 리소그래픽 노광 툴과 관련된 리소그래픽 스텝퍼에 사용되는 위치로 변환되는 도 3a의 레티클 구조물을 나타낸다.
도 3c는 광-차단층(314)의 표면상에 반바-방지 특성부들(316)을 나타내지 않는 무기층을 갖지 않는 레티클 구조물(303)의 개념적 단면도를 나타낸다. 광원(307)은 집광기(301)를 관통하여 이미징 광(308b)을 제공하는 초기 광(308a)을 형성한다. 이미징 광(308b)은 레티클 구조물(303)을 관통하고 패턴화된 이미징 광(308c)을 제공한다. 패턴화된 이미징 광(308c)은 포토레지스트(320)의 표면(306)에 도달하는 최종 패터닝 광(308d)을 형성하도록 축소(reduction) 렌즈들(318)을 관통한다. 최종 패터닝 광(308d)은 페디스털(302)에 의해 지지되는 반도체 웨이퍼(304) 상에 존재하는 포토레지스트(320)의 표면(306)으로부터 광(311)을 반등시킬 수 있다. 반등된 광(311)은 레티클(303)의 표면으로부터 반사될 수 있고, 포토레지스트(3200의 표면(306)상에 재반등된 광(313)을 형성할 수 있다.
도 3d는 도 3a 및 도 3b에 나타낸 종류의 레티클 구조물(305)의 개념적 단면도를 나타내고, 광-차단층(314)의 표면상에 반사-방지 특성부들(316)을 갖는 무기층을 구비한다. 반사-방지 특성들을 갖는 무기층(316)으로 재반등되는 레티클 구조물(305)을 관통한 최종 패턴화된 광(308)은 포토레지스트(320)의 표면(306)으로 재반사되지 않는다. 이것은 포토레지스트(320)에서 보다 양호한 규정된 이미지를 가능하게 하고 반도체 웨이퍼(304)에 대해 포토레지스트(320)의 이미지의 균일도를 개선시킨다.
예 4: 광-차단층의 표면으로부터 무기 하드 마스크 또는 ARC의 제거
베이스 레티클 기판(예, 석영이나 보로실리케이트 유리, 또는 소다 석회 유리)에 손상을 주지 않으면서 레티클의 패턴화된 광-차단층의 표면으로부터 잔류 하드 마스크 층 또는 ARC 층을 제거하는 것이 바람직한 경우의 예들이 있다. 잔류 하드 마스크 층 또는 잔류 무기 ARC/하드 마스크 층이 베이스 기판 물질로서 통상적인 물질을 포함하는 경우, 하드 마스크 층 또는 ARC/하드 마스크 층의 제거에 문제가 있다. 이러한 예는 패턴화된 광-차단층을 통해 노출되는 레티클의 베이스 기판 층이 실리콘을 포함할 때, 즉 석영인 경우, 실리콘 옥시니트라이드 ARC/하드 마스크 층의 제거이다.
이러한 ARC/하드 마스크 층의 잔류물 제거는 레티클이 위상 시프팅 레티클일 때 필요할 수 있다. 2가지 종류의 위상 시프팅 레티클들이 일반적으로 존재한다. 제 1 종류는 크롬 광 차단층 상부에 놓이는 몰리브덴/실리콘(MoSi) 층을 사용하는 감쇠 위상 시프트 레티클로서 지칭된다. 제 2 종류는 가변하는 깊이들에 대해 석영 베이스 기판 층의 영역들을 통한 에칭을 사용하는 감쇠 위상 시프트 레티클로서 지칭된다. 이러한 각각의 위상 시프팅 레티클들의 제조는 습식 에칭 프로세스의 사용을 요구할 수 있다. 결과적으로, 패턴화된 광-차단층의 표면으로부터 하드 마스크 잔류물의 제거가 필요하며, 이러한 잔류물은 습식 에칭 프로세스 동안 레티클 구조물의 표면들에 증착되는 오염물을 부양시키지 않을 것이다.
도 4a 내지 도 4e는 레티클 표면상의 패턴화된 크롬층 위에 놓이는 하드 마스크(반사-방지 특성들을 가질 수 있음)를 제거하는데 사용될 수 있는 일련의 처리 단계들의 개념적 단면도들을 도시한다.
도 4a는 석영 베이스 기판 층(412), 약 750Å의 두께를 갖는 상부에 놓이는 패턴화된 크롬층(414), 및 약 200Å 내지 약 300Å의 두께를 갖는 SixOyNz 반사-방지 코팅/하드 마스크 층(416)을 상부에서 하부로 포함하는 레티클 기판(400)의 개념적 단면도를 도시한다. 크롬층(414)의 패턴화된 개구(418)는 전체적으로 크롬층(414)을 통해 석영 베이스 기판 층(412)의 상부 표면(420)으로 연장된다.
석영 베이스 기판 층(412)의 표면(420)에 대한 손상 없이 SixOyNz 반사-방지코팅/하드 마스크 층(416)의 플라즈마 에칭 제거를 허용하기 위해, 개구(418)를 충진시키도록 레티클 기판(412)의 표면 상부에 보호 물질(422)을 제공하는 것이 필요하다. 이것은 도 4b에 도시된다. 보호 물질(422)의 층은 반도체 처리 동안 부가층들을 제공하는 임의의 수단에 의해 제공될 수 있다. 그러나, 보호 물질(422)을 제공하는 바람직한 방법은 포토레지스트와 같은 유기 스핀-온 층에 대해 사용되는 종류의 스핀-온 기술들을 이용하는 것이다. 보호 물질(422)의 층을 위한 요구조건들은 하드 마스크 층(416)(이에 제한됨이 없이 예로서 SixOyNz 반사-방지 코팅/하드 마스크인)에 비해 용이하고 저렴하며 양호한 에칭 선택비를 제공하는 것이다.
바람직하게 유기 물질인 보호 물질(422)의 층을 제공한 다음, SixOyNz 반사-방지/하드 마스크 층의 표면이 제거되도록 노출시키기 위해 에칭-백 프로세스가 수행된다. 이러한 단계는 도 4c에 나타낸다. 에칭 백 프로세스는 SixOyNz 반사-방지 코팅/하드 마스크 층의 제거 동안 이러한 층을 보호하기 위해 석영 베이스 층(412)을 커버하기에 충분한 유기 물질을 남겨둔다. 전형적으로 유기 물질의 플라즈마 에칭 백을 위한 에칭 화학제는 산소, 질소 및 수소를 포함하는 플라즈마 소스 가스의 사용을 제공한다. 이러한 화학제를 이용하여 포토레지스트들과 같은 유기 물질들을 에칭하기 위한 플라즈마 에칭 프로세스들은 종래기술에 공지되어 있다.
도 4c에 도시된 것처럼, SixOyNz 반사-방지 코팅/하드 마스크 층이 노출되면, 이러한 층은 도 4d에 도시된 구조물을 형성하기 위해 CF4 및 산소 플라즈마 에칭(또는 실리콘 옥시니트라이드의 제거를 위해 종래기술에 공지된 다른 유시한 불소-함유 에칭)을 이용하여 제거된다.
프로세스의 최종 단계에서, 보호층(420)의 스핀-온 유기 물질은 플라즈마 에칭을 이용하여 제거되고, 여기서 플라즈마는 산소, 질소 및 수소의 혼합물을 포함하는 소스 가스로부터 생성되거나, 유기 물질의 제거를 위해 종래기술에 공지된 습식 에칭 용액을 이용하여 생성된다. 보호 유기 물질의 제거를 위해 종래기술에 공지된 종류의 애슁(ashing) 과정을 이용할 수도 있다.
전술한 예시적인 실시예들은 본 발명의 범주를 제한하려는 의도가 아니며, 통상의 당업자는 본 명세서의 관점에서 이하에 청구되는 본 발명의 청구 대상에 부합하도록 이러한 실시예들을 확장할 수 있다.

Claims (24)

  1. 레티클의 제조 동안 임계 치수 편차를 감소시키는 방법으로서,
    (a) 베이스 기판 층, 상기 베이스 기판 층 상부에 놓이는 광-차단층, 상기 광-차단층 상부에 놓이는 하나 이상의 하드 마스크 층, 및 상기 하드 마스크 층 상부에 놓이는 화학적으로 증대된 포토레지스트 층을 포함하는 레티클 개시 기판을 제공하는 단계;
    (b) 상기 화학적으로 증대된 포토레지스트 층에 잠상(latent image)을 직접 기록하는 단계;
    (c) 상기 잠상을 포함하는 포토레지스트를 패턴화된 포토레지스트로 현상하는 단계;
    (d) 플라즈마 에칭 기술을 이용하여 상기 포토레지스트로부터 상기 하나 이상의 하드 마스크 층으로 패턴을 전달하는 단계; 및
    (e) 플라즈마 에칭 기술을 이용하여 상기 하나 이상의 하드 마스크 층으로부터 상기 광-차단층으로 상기 패턴을 전달하는 단계 - 이에 따라 상기 현상된 포토레지스트 임계 치수로부터 패터닝 이후 상기 광-차단층의 임계 치수 증가는 약 7% 이하임 -
    를 포함하는 임계 치수 편차의 감소 방법.
  2. 제 1 항에 있어서, 상기 하나 이상의 하드 마스크 층은 상기 광-차단층의 표 면으로부터 광선의 반사를 실질적으로 감소시킬 수 있는 반사-방지 특성들을 나타내는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  3. 제 1 항에 있어서, 상기 하나 이상의 하드 마스크 층은 2개의 층들을 나타내고, 상기 광-차단층과 접촉되는 제 1 하드 마스크 층은 상기 광-차단층의 표면으로부터 광선의 반사를 실질적으로 감소시킬 수 있는 반사-방지 특성들을 갖고, 상기 제 1 하드 마스크 층 상부에 놓이는 제 2 하드 마스크 층은 상기 반사-방지 특성들을 갖지 않는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  4. 제 1 항에 있어서, 상기 베이스 기판 층은 석영, 보로실리케이트 유리, 소다 석회 유리, 및 이들의 조합물들로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  5. 제 2 항 또는 제 3 항에 있어서, 상기 베이스 기판 층은 석영, 보로실리케이트 유리, 소다 석회 유리, 및 이들의 조합물들로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  6. 제 1 항에 있어서, 상기 화학적으로 증대된 포토레지스트는 메타크리레이트(methacrylate)-함유 폴리머, 노볼락(novolak), 하이드록시-페닐 폴리머, 방향족 아크릴 폴리머, 아이소보닐-함유 폴리머, 및 이들의 조합물들로 이루어진 그룹에서 선택된 수지를 포함하는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  7. 제 2 항 또는 제 3 항에 있어서, 청구항 제 1 항에 따른 방법의 상기 화학적으로 증대된 포토레지스트는 메타크리레이트-함유 폴리머, 노볼락, 하이드록시-페닐 폴리머, 방향족 아크릴 폴리머, 아이소보닐-함유 폴리머, 및 이들의 조합물들로 이루어진 그룹에서 선택된 수지를 포함하는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  8. 제 1 항에 있어서, 상기 패턴은 약 198nm 내지 약 257nm 범위의 파장에서 동작하는 연속파 레이저를 이용하여 상기 포토레지스트 상에 직접 기록되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  9. 제 2 항 또는 제 3 항에 있어서, 상기 패턴은 약 198nm 내지 약 257nm 범위의 파장에서 동작하는 연속파 레이저를 이용하여 상기 포토레지스트 상에 직접 기록되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  10. 제 1 항에 있어서, 상기 하나 이상의 하드 마스크는 반사-방지 특성들을 나타내고, 크롬 옥시니트라이드, 실리콘 옥시니트라이드, 고-실리콘(silicon-rich) 산화물, 고-실리콘 질화물, 고-실리콘 옥시니트라이드, 티타늄 질화물, 몰리브덴 실리사이드, 및 SiC; SiC:H; SiC:O,H; SiC:N,H; 및 SiC:O,N,H를 포함하는 실리콘 카바이드로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  11. 제 2 항 또는 제 3 항에 있어서, 상기 반사-방지 특성들을 갖는 하드 마스크는 크롬 옥시니트라이드, 실리콘 옥시니트라이드, 고-실리콘 산화물, 고-실리콘 질화물, 고-실리콘 옥시니트라이드, 티타늄 질화물, 몰리브덴 실리사이드, 및 SiC; SiC:H; SiC:O,H; SiC:N,H; 및 SiC:O,N,H를 포함하는 실리콘 카바이드로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  12. 제 10 항에 있어서, 상기 반사-방지 특성들을 갖는 하드 마스크는 플라즈마 강화 화학적 기상 증착을 이용하여 증착되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  13. 제 11 항에 있어서, 상기 반사-방지 특성들을 갖는 하드 마스크는 플라즈마 화학적 기상 증착을 이용하여 증착되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  14. 제 1 항에 있어서, 상기 하나 이상의 하드 마스크는 반사-방지 특성들을 나타내지 않고 다이아몬드형 탄소, 실리콘 산화물, 실리콘, 탄소, 텅스텐, 및 Si3N4로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  15. 제 3 항에 있어서, 상기 반사-방지 특성들을 갖지 않는 제 2 하드 마스크는 다이아몬드형 탄소, 실리콘 산화물, 실리콘, 탄소, 텅스텐, 및 Si3N4로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  16. 레티클을 이용하는 반도체 구조물의 제조 동안 임계 치수 편차를 감소시키는 방법으로서,
    (a) 반사-방지 특성들을 갖는 하나 이상의 하드 마스크 층을 포함하는 레티클을 제공하는 단계 - 상기 반사-방지 특성들을 갖는 하드 마스크 층은 베이스 기판 층 상부에 있는 광-차단층 위에 놓임 -; 및
    (b) 상기 반도체 구조물의 표면 상의 이미징 층을 상기 레티클을 관통하는 광에 노출시키는 단계
    를 포함하는 임계 치수 편차의 감소 방법.
  17. 제 16 항에 있어서, 상기 반사-방지 특성들을 나타내는 하나 이상의 하드 마스크 층은 상기 반도체 기판의 표면으로부터 광선의 반사를 실질적으로 감소시킬 수 있는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  18. 제 16 항에 있어서, 상기 하나 이상의 하드 마스크 층은 2개의 층들로 이루어지고, 상기 광-차단층과 접촉되는 제 1 하드 마스크 층은 상기 광-차단층의 표면으로부터 광선의 반사를 실질적으로 감소시킬 수 있는 반사-방지 특성들을 가지며, 상기 제 1 하드 마스크 층 상부에 놓이는 제 2 하드 마스크 층은 상기 반사-방지 특성들을 갖지 않는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  19. 제 17 항에 있어서, 상기 하나 이상의 하드 마스크는 반사-방지 특성들을 나타내고, 크롬 옥시니트라이드, 실리콘 옥시니트라이드, 고-실리콘 산화물, 고-실리콘 질화물, 고-실리콘 옥시니트라이드, 티타늄 질화물, 몰리브덴 실리사이드, 및 SiC; SiC:H; SiC:O,H; SiC:N,H; 및 SiC:O,N,H를 포함하는 실리콘 카바이드로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  20. 제 18 항에 있어서, 상기 반사-방지 특성들을 갖는 하드 마스크는 크롬 옥시니트라이드, 실리콘 옥시니트라이드, 고-실리콘 산화물, 고-실리콘 질화물, 고-실리콘 옥시니트라이드, 티타늄 질화물, 몰리브덴 실리사이드, 및 SiC; SiC:H; SiC:O,H; SiC:N,H; 및 SiC:O,N,H를 포함하는 실리콘 카바이드로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  21. 제 19 항 또는 제 20 항에 있어서, 상기 반사-방지 특성들을 갖는 하드 마스크는 플라즈마 강화 화학적 기상 증착을 이용하여 증착되는 것을 특징으로 하는 임 계 치수 편차의 감소 방법.
  22. 제 17 항에 있어서, 반사-방지 특성들을 나타내지 않는 상기 하나 이상의 하드 마스크는 다이아몬드형 탄소, 실리콘 산화물, 실리콘, 탄소, 텅스텐, 및 Si3N4로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  23. 제 18 항에 있어서, 상기 반사-방지 특성들을 갖지 않는 제 2 하드 마스크는 다이아몬드형 탄소, 실리콘 산화물, 실리콘, 탄소, 텅스텐, 및 Si3N4로 이루어진 그룹에서 선택되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
  24. 제 16 항 또는 제 18 항에 있어서, 반사 방지 특성들을 나타내지 않는 상기 하드 마스크는 플라즈마 강화 화학적 기상 증착을 이용하여 증착되는 것을 특징으로 하는 임계 치수 편차의 감소 방법.
KR1020067017436A 2004-01-30 2005-01-27 제거가능한 하드 마스크를 이용하는 레티클 제조 방법 KR101092175B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/768,919 2004-01-30
US10/768,919 US7365014B2 (en) 2004-01-30 2004-01-30 Reticle fabrication using a removable hard mask
PCT/US2005/002563 WO2005076081A2 (en) 2004-01-30 2005-01-27 Reticle fabrication using a removable hard mask

Publications (2)

Publication Number Publication Date
KR20070007090A true KR20070007090A (ko) 2007-01-12
KR101092175B1 KR101092175B1 (ko) 2011-12-13

Family

ID=34807999

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067017436A KR101092175B1 (ko) 2004-01-30 2005-01-27 제거가능한 하드 마스크를 이용하는 레티클 제조 방법

Country Status (6)

Country Link
US (2) US7365014B2 (ko)
EP (1) EP1709487A2 (ko)
JP (1) JP4953825B2 (ko)
KR (1) KR101092175B1 (ko)
CN (1) CN1914562A (ko)
WO (1) WO2005076081A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180135806A (ko) * 2017-06-13 2018-12-21 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크 및 그의 제조 방법
KR20190013550A (ko) * 2017-07-28 2019-02-11 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크, 포토마스크 블랭크의 제조 방법 및 포토마스크의 제조 방법

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7185310B2 (en) * 2004-04-14 2007-02-27 Hitachi Global Storage Technologies System and method for charge-balanced, continuous-write mask and wafer process for improved colinearity
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
JP4509050B2 (ja) 2006-03-10 2010-07-21 信越化学工業株式会社 フォトマスクブランク及びフォトマスク
JP4883278B2 (ja) 2006-03-10 2012-02-22 信越化学工業株式会社 フォトマスクブランク及びフォトマスクの製造方法
EP2018598B1 (en) * 2006-05-15 2009-07-29 Micronic Laser Systems Ab Backside immersion lithography
US8198118B2 (en) * 2006-10-31 2012-06-12 Taiwan Semiconductor Manufacturing Co. Method for forming a robust mask with reduced light scattering
US7838174B2 (en) * 2007-01-24 2010-11-23 Sharp Laboratories Of America, Inc. Method of fabricating grayscale mask using smart cut® wafer bonding process
US7678512B2 (en) * 2007-01-24 2010-03-16 Sharp Laboratories Of America, Inc. Method of making a grayscale reticle using step-over lithography for shaping microlenses
US7682761B2 (en) * 2007-02-20 2010-03-23 Sharp Laboratories Of America, Inc. Method of fabricating a grayscale mask using a wafer bonding process
KR100930389B1 (ko) * 2008-03-18 2009-12-08 주식회사 하이닉스반도체 고분자를 이용한 포토마스크 제조방법
BRPI0910045A2 (pt) 2008-03-25 2015-12-29 Mary Kay Inc composições de absorção de sebo
KR100955681B1 (ko) * 2008-04-14 2010-05-06 주식회사 하이닉스반도체 자기조립분자를 이용한 포토마스크의 제조방법
US20090311615A1 (en) * 2008-06-13 2009-12-17 Deming Tang Method of photolithographic patterning
JP4989800B2 (ja) * 2008-09-27 2012-08-01 Hoya株式会社 マスクブランク及び転写用マスクの製造方法
CN102169287B (zh) * 2011-05-31 2012-08-22 北京大学 一种光刻掩膜版及其制备方法
JP4930737B2 (ja) * 2011-09-21 2012-05-16 信越化学工業株式会社 フォトマスクブランク及びバイナリーマスクの製造方法
JP4930736B2 (ja) * 2011-09-21 2012-05-16 信越化学工業株式会社 フォトマスクの製造方法及びフォトマスク
KR101269062B1 (ko) * 2012-06-29 2013-05-29 주식회사 에스앤에스텍 블랭크 마스크 및 이를 이용한 포토 마스크 제조방법
JP6192164B2 (ja) * 2013-11-13 2017-09-06 Hoya株式会社 マスクブランク、および転写用マスクの製造方法
CN105372726A (zh) * 2015-12-14 2016-03-02 中山大学 一种金刚石微透镜阵列及其制备方法
WO2017151383A1 (en) * 2016-02-29 2017-09-08 Tokyo Electron Limited Selective siarc removal
US9964847B2 (en) * 2016-06-20 2018-05-08 Globalfoundries Inc. Mask substrate structure
JP7229929B2 (ja) * 2017-02-01 2023-02-28 アプライド マテリアルズ インコーポレイテッド ハードマスク応用向けのホウ素がドープされた炭化タングステン
US11119405B2 (en) * 2018-10-12 2021-09-14 Applied Materials, Inc. Techniques for forming angled structures
KR20210118885A (ko) * 2019-03-07 2021-10-01 호야 가부시키가이샤 마스크 블랭크, 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5378659A (en) * 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6171764B1 (en) 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6228760B1 (en) 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001326173A (ja) * 2000-05-16 2001-11-22 Hitachi Ltd パターン形成方法
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
JP2002110616A (ja) * 2000-09-27 2002-04-12 Mitsubishi Electric Corp 洗浄処理装置、洗浄処理方法、半導体製造装置および半導体装置
US6589717B1 (en) * 2000-11-17 2003-07-08 Advanced Micro Devices, Inc. Photon assisted deposition of hard mask formation for use in manufacture of both devices and masks
WO2002044812A2 (en) 2000-12-01 2002-06-06 Unaxis Usa Inc. Embedded attenuated phase shift mask and method of making embedded attenuated phase shift mask
US6391794B1 (en) 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
JP2002217080A (ja) * 2001-01-12 2002-08-02 Murata Mfg Co Ltd 電極パターンの形成方法
US20020197509A1 (en) 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
US6703169B2 (en) * 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
TWI276153B (en) * 2001-11-12 2007-03-11 Hynix Semiconductor Inc Method for fabricating semiconductor device
JP3948263B2 (ja) * 2001-11-28 2007-07-25 ソニー株式会社 半導体装置の製造方法
JP3638266B2 (ja) * 2001-12-26 2005-04-13 株式会社半導体先端テクノロジーズ 半導体装置の製造方法
JP2003195474A (ja) * 2001-12-28 2003-07-09 Shin Etsu Chem Co Ltd 位相シフトマスクブランク及び位相シフトマスク並びにこれらの製造方法
US6811959B2 (en) 2002-03-04 2004-11-02 International Business Machines Corporation Hardmask/barrier layer for dry etching chrome films and improving post develop resist profiles on photomasks
US6905621B2 (en) * 2002-10-10 2005-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing the etch transfer of sidelobes in contact hole patterns
US20050221019A1 (en) * 2004-04-02 2005-10-06 Applied Materials, Inc. Method of improving the uniformity of a patterned resist on a photomask

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180135806A (ko) * 2017-06-13 2018-12-21 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크 및 그의 제조 방법
KR20190013550A (ko) * 2017-07-28 2019-02-11 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크, 포토마스크 블랭크의 제조 방법 및 포토마스크의 제조 방법

Also Published As

Publication number Publication date
KR101092175B1 (ko) 2011-12-13
CN1914562A (zh) 2007-02-14
US7737040B2 (en) 2010-06-15
JP4953825B2 (ja) 2012-06-13
US20080096138A1 (en) 2008-04-24
US20050170655A1 (en) 2005-08-04
WO2005076081A2 (en) 2005-08-18
JP2007519979A (ja) 2007-07-19
WO2005076081A3 (en) 2005-12-29
EP1709487A2 (en) 2006-10-11
US7365014B2 (en) 2008-04-29

Similar Documents

Publication Publication Date Title
KR101092175B1 (ko) 제거가능한 하드 마스크를 이용하는 레티클 제조 방법
US6524964B2 (en) Method for forming contact by using ArF lithography
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
EP1686421B1 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
EP1686422B1 (en) Method for photomask plasma etching using a protected mask
US20220367186A1 (en) Patterning scheme to improve euv resist and hard mask selectivity
US6908714B2 (en) Absorber layer for EUV
TW559888B (en) Method of forming a pattern on a semiconductor wafer using an attenuated phase shifting reflective mask
JP2007519979A5 (ko)
JP2006215552A5 (ko)
JP2003051443A (ja) 半導体素子の微細パターン形成方法
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
JP5036726B2 (ja) フォトリソグラフィ用基体の改善されたエッチング方法
EP0569123B1 (en) Mask for X-ray pattern delineation
US20020052107A1 (en) Wiring forming method
TWI338921B (en) Improved method for etching photolithographic substrates
KR100853461B1 (ko) 아르곤플로라이드 광원을 이용한 반도체 소자의 패턴형성방법
Resnick Photomask etching
KR20050009407A (ko) ArF 광원을 이용하여 반도체 소자의 패턴을 형성하는방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
A302 Request for accelerated examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee